Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

signal_processing.sdc 677B

1234567891011121314151617181920212223242526272829303132
  1. # External clock clk_50 has a frequency of 50 MHz
  2. create_clock -period 20 [get_ports clk_input]
  3. derive_pll_clocks
  4. set clk_main u_pll_200|pll_200|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk
  5. # Input delays for singals in 50 MHz domain
  6. set_input_delay \
  7. -clock { clk_input } \
  8. 2 \
  9. [get_ports {reset_n}]
  10. # Input delays for singals in 200 MHz domain
  11. set_false_path \
  12. -from \
  13. [get_ports {key_start}]
  14. # Output delays for singals in 200 MHz domain
  15. set_false_path \
  16. -to \
  17. [get_ports { \
  18. leds[0] \
  19. leds[1] \
  20. leds[2] \
  21. leds[3] \
  22. leds[4] \
  23. leds[5] \
  24. leds[6] \
  25. leds[7] \
  26. }]