Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

signal_processing.h 560B

123456789101112131415161718192021222324252627
  1. #pragma once
  2. #ifdef __cplusplus
  3. extern "C" {
  4. #endif
  5. #include <inttypes.h>
  6. #define TASK_COUNT 7
  7. #define CHANNEL_COUNT 7
  8. extern const uint32_t TASK_CONNECTIONS[ TASK_COUNT ];
  9. typedef struct {
  10. const uint32_t * bindings;
  11. uint32_t tasks[ TASK_COUNT ];
  12. uint32_t channels[ CHANNEL_COUNT ];
  13. } SignalProcessing;
  14. void signal_processing_init( SignalProcessing * system, const uint32_t * bindings );
  15. void signal_processing_run( SignalProcessing * system );
  16. void signal_processing_print_results( SignalProcessing * system );
  17. #ifdef __cplusplus
  18. }
  19. #endif