Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

rand.vhd 14KB

123456789
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. library work;
  4. use work.test_utility.all;
  5. package rand_data is
  6. constant expected : real_array( 0 to 1023 ) := (1.300000e+00,3.200000e+00,-4.799999e+00,-2.799999e+00,-3.599999e+00,-6.399997e+00,-4.799994e+00,-2.799994e+00,-2.249992e-01,6.399951e+00,-1.199976e+00,6.999756e-01,3.599805e+00,-6.399220e+00,-1.199610e+00,6.996100e-01,3.596880e+00,-6.387521e+00,-1.193760e+00,6.937605e-01,3.550084e+00,-6.200335e+00,-1.100167e+00,6.001674e-01,2.801339e+00,-3.602679e+00,-4.006697e-01,1.205358e+00,2.821431e+00,-3.642863e+00,-4.107157e-01,1.285726e+00,7.857258e-01,4.571613e+00,-2.571613e+00,-1.964517e-01,2.858067e-01,2.572907e+00,-3.145815e+00,-2.864537e-01,2.583259e+00,-1.979074e-01,2.916298e-01,2.666076e+00,-2.082595e-01,3.330381e-01,3.328610e+00,-3.321525e-01,8.286101e-01,5.257762e+00,-8.144405e-01,3.144405e-01,3.031048e+00,-2.577621e-01,2.124194e+00,-1.405242e-01,2.496775e+00,-1.870969e-01,3.987101e+00,-4.967754e-01,7.896811e+00,-1.948406e+00,1.896811e+00,1.793623e+00,1.587246e+00,1.174492e+00,2.697970e+00,-3.395941e+00,-5.583763e+00,-3.583763e+00,-6.335051e+00,-4.670102e+00,-2.670102e+00,-2.087628e-01,3.350511e-01,3.360817e+00,-3.402044e-01,3.443270e+00,-5.773082e+00,-9.432705e-01,4.432705e-01,6.184658e+00,-1.092329e+00,2.369315e+00,-1.711644e-01,3.477261e+00,-3.693151e-01,3.909042e+00,-7.636170e+00,-7.272340e+00,-1.636170e+00,1.272340e+00,7.723404e-01,4.357446e+00,-5.893615e-01,2.714892e+00,-3.429785e+00,-5.719140e+00,-3.719140e+00,-4.297851e-01,5.753124e+00,-9.382810e-01,4.382810e-01,1.506248e+00,4.049984e+00,-5.124960e-01,2.099968e+00,-2.199937e+00,-1.499921e-01,2.799747e+00,-3.599495e+00,-6.397979e+00,-1.198989e+00,6.989895e-01,2.244947e-01,3.979789e-01,1.183831e+00,6.838312e-01,3.470650e+00,-5.882600e+00,-9.706501e-01,4.706501e-01,7.060803e+00,-6.121607e+00,-1.060804e+00,5.608035e-01,2.486428e+00,-1.858036e-01,3.945714e+00,-7.782858e+00,-7.565716e+00,-7.131432e+00,-1.565716e+00,1.131432e+00,6.314318e-01,1.907159e-01,4.205817e+00,-2.205817e+00,-2.411635e+00,-2.823270e+00,-3.646540e+00,-4.116349e-01,1.293079e+00,3.172318e+00,-2.930795e-01,6.723181e-01,2.111591e-01,3.446362e-01,3.514179e+00,-6.056718e+00,-1.028359e+00,2.113436e+00,-1.391795e-01,1.533590e-01,2.907489e+00,-2.384362e-01,7.259914e+00,-6.519829e+00,-1.259915e+00,7.599147e-01,2.599147e-01,5.396589e-01,1.448295e-01,2.634543e+00,-3.269087e+00,-5.076347e+00,-7.690867e-01,4.305387e+00,-5.763469e-01,1.631735e-01,2.013469e-01,3.053876e-01,2.886203e+00,-2.357753e-01,7.089622e+00,-1.544811e+00,1.089622e+00,5.896217e-01,2.716974e+00,-2.146217e-01,3.584869e-01,3.735791e+00,-6.943163e+00,-5.886326e+00,-9.715816e-01,4.715816e-01,1.772653e+00,6.181225e+00,-1.090613e+00,2.362451e+00,-2.724903e+00,-2.156128e-01,5.799222e+00,-3.799222e+00,-7.196888e+00,-6.393776e+00,-1.196888e+00,6.968881e-01,2.234440e-01,6.300419e+00,-1.150210e+00,2.600839e+00,-3.201679e+00,-4.806716e+00,-7.016792e-01,2.258396e-01,6.453733e+00,-4.907467e+00,-7.268668e-01,2.384334e-01,7.259738e+00,-1.629869e+00,1.259739e+00,3.038955e+00,-4.155822e+00,-5.389555e-01,1.444777e-01,2.623288e+00,-3.246576e+00,-4.986305e+00,-7.465762e-01,3.972610e+00,-4.931525e-01,1.945220e+00,1.890440e+00,1.780880e+00,6.247042e+00,-1.123521e+00,6.235209e-01,2.988168e+00,-3.976335e+00,-7.905341e+00,-7.810683e+00,-7.621366e+00,-7.242732e+00,-1.621366e+00,1.242732e+00,7.427323e-01,3.941859e+00,-7.767435e+00,-7.534870e+00,-7.069740e+00,-6.139481e+00,-4.278962e+00,-5.697404e-01,1.598702e-01,3.115847e+00,-4.463388e+00,-2.463388e+00,-2.926777e+00,-3.853553e+00,-4.633884e-01,1.707107e+00,1.414214e+00,3.656856e+00,-4.142139e-01,1.313711e+00,8.137114e-01,5.019382e+00,-3.019383e+00,-4.077531e+00,-5.193827e-01,2.155062e+00,-2.310124e+00,-1.637655e-01,3.240497e+00,-3.101243e-01,2.961989e+00,-2.452486e-01,4.809945e-01,1.847956e+00,1.695912e+00,1.391823e+00,3.567294e+00,-3.918234e-01,1.134587e+00,2.538350e+00,-3.076700e+00,-4.306800e+00,-2.306801e+00,-1.633501e-01,3.227203e+00,-4.908812e+00,-7.272030e-01,2.386015e-01,7.270497e+00,-1.635249e+00,1.270497e+00,7.704974e-01,4.327960e+00,-5.819899e-01,1.659950e-01,3.311840e+00,-5.247359e+00,-3.247360e+00,-3.118399e-01,2.989438e+00,-2.486798e-01,4.947191e-01,1.957753e+00,7.662025e+00,-1.831013e+00,6.648101e+00,-1.324051e+00,8.240506e-01,5.184810e+00,-3.184810e+00,-4.739239e+00,-2.739239e+00,-3.478479e+00,-5.913915e+00,-9.784787e-01,7.655660e+00,-1.827830e+00,6.622642e+00,-1.311321e+00,8.113210e-01,4.981135e+00,-7.452839e-01,3.962271e+00,-7.849086e+00,-7.698173e+00,-7.396346e+00,-1.698173e+00,5.585384e+00,-8.963460e-01,3.963460e-01,4.683074e+00,-2.683074e+00,-3.366148e+00,-5.464590e+00,-3.464590e+00,-3.661475e-01,3.858361e+00,-7.433444e+00,-1.716722e+00,1.433444e+00,3.733778e+00,-4.334446e-01,5.870226e+00,-9.675565e-01,7.480905e+00,-6.961811e+00,-5.923622e+00,-9.809054e-01,7.694487e+00,-7.388974e+00,-1.694487e+00,5.555898e+00,-3.555898e+00,-6.223591e+00,-1.111796e+00,2.447182e+00,-2.894365e+00,-3.788729e+00,-7.154918e+00,-1.577459e+00,4.619672e+00,-2.619672e+00,-2.024590e-01,3.098361e-01,7.393445e-01,3.914756e+00,-7.659024e+00,-7.318048e+00,-1.659024e+00,1.318048e+00,3.272192e+00,-3.180481e-01,7.721924e-01,4.355078e+00,-2.355078e+00,-2.710157e+00,-3.420314e+00,-3.550786e-01,3.681257e+00,-4.203143e-01,5.450059e+00,-8.625147e-01,3.625148e-01,9.500591e-01,7.200945e+00,-1.600473e+00,4.803781e+00,-2.803781e+00,-2.254726e-01,6.430247e+00,-1.215124e+00,2.860495e+00,-3.720990e+00,-6.883961e+00,-1.441980e+00,9.419805e-01,7.071689e+00,-6.143379e+00,-1.071689e+00,5.716895e-01,2.573516e+00,-3.147033e+00,-2.867582e-01,2.588131e+00,-3.176263e+00,-4.705052e+00,-6.762630e-01,2.131315e-01,3.525260e-01,3.640417e+00,-4.101042e-01,1.280834e+00,3.123337e+00,-2.808341e-01,6.233366e-01,2.986692e+00,-2.483366e-01,7.893541e+00,-7.787082e+00,-1.893541e+00,7.148329e+00,-1.574165e+00,1.148329e+00,6.483293e-01,3.186634e+00,-4.746538e+00,-2.746538e+00,-3.493076e+00,-5.972303e+00,-3.972303e+00,-4.930758e-01,1.944607e+00,7.556855e+00,-1.778427e+00,1.556855e+00,4.454839e+00,-6.137098e-01,2.909678e+00,-3.819357e+00,-7.277429e+00,-6.554858e+00,-5.109716e+00,-3.109716e+00,-2.774291e-01,6.097165e-01,1.798583e-01,3.755465e+00,-7.021860e+00,-6.043720e+00,-1.021860e+00,2.087441e+00,-1.359301e-01,1.468603e-01,1.687206e-01,3.399058e+00,-5.596232e+00,-3.596232e+00,-3.990580e-01,1.192464e+00,6.924644e-01,2.212322e-01,3.849288e-01,4.317721e+00,-5.794303e-01,2.635442e+00,-3.270885e+00,-3.177213e-01,7.708853e-01,4.334166e+00,-2.334166e+00,-1.667708e-01,2.085416e-01,3.341664e-01,3.346663e+00,-3.366658e-01,3.386653e+00,-3.466632e-01,8.866528e-01,3.866528e-01,4.372889e+00,-5.932223e-01,2.745779e+00,-2.182224e-01,5.966232e+00,-9.915580e-01,7.864928e+00,-7.729856e+00,-1.864928e+00,1.729856e+00,1.459713e+00,3.838852e+00,-4.597130e-01,6.710818e+00,-5.421636e+00,-3.421636e+00,-5.686544e+00,-3.686544e+00,-4.216361e-01,5.492356e+00,-3.492356e+00,-5.969423e+00,-9.923558e-01,7.877693e+00,-1.938847e+00,7.510774e+00,-7.021549e+00,-1.510774e+00,4.086195e+00,-5.215487e-01,2.172390e+00,-2.344781e+00,-2.689562e+00,-3.379123e+00,-5.516493e+00,-3.516493e+00,-3.791232e-01,1.032986e+00,5.329859e-01,1.414929e-01,1.579859e-01,3.055548e+00,-2.638871e-01,2.222193e+00,-2.444387e+00,-1.805483e-01,2.360967e-01,4.443868e-01,1.555094e+00,4.440754e+00,-6.101885e-01,1.800943e-01,2.351885e-01,4.407541e-01,6.104130e+00,-4.208261e+00,-2.208261e+00,-1.510327e-01,1.770654e-01,2.291307e-01,6.664367e+00,-5.328734e+00,-3.328735e+00,-3.321837e-01,8.287347e-01,3.287347e-01,8.149390e-01,5.039023e+00,-3.039023e+00,-2.597559e-01,2.156094e+00,-1.445118e-01,2.624377e+00,-2.030471e-01,4.995015e+00,-7.487537e-01,3.990030e+00,-4.975075e-01,1.980060e+00,1.960119e+00,1.920239e+00,7.361910e+00,-1.680955e+00,1.361910e+00,3.447640e+00,-5.790562e+00,-3.790562e+00,-7.162247e+00,-6.324493e+00,-4.648987e+00,-2.648987e+00,-2.061234e-01,5.191899e+00,-7.979748e-01,4.767598e+00,-2.767598e+00,-3.535196e+00,-3.837990e-01,1.070392e+00,2.281568e+00,-1.601960e-01,3.126272e+00,-2.815680e-01,6.262718e-01,3.010175e+00,-4.040699e+00,-5.101748e-01,1.300874e-01,1.351748e-01,2.325595e+00,-1.656993e-01,2.063987e-01,5.209516e+00,-8.023791e-01,3.023791e-01,7.095164e-01,2.297582e-01,4.190328e-01,1.352263e+00,3.409052e+00,-3.522629e-01,3.636207e+00,-4.090517e-01,1.272414e+00,3.089655e+00,-4.358621e+00,-5.896553e-01,2.717243e+00,-2.146554e-01,5.737945e+00,-3.737945e+00,-4.344863e-01,5.903563e+00,-9.758907e-01,4.758907e-01,1.807126e+00,6.457008e+00,-1.228504e+00,2.914016e+00,-3.828032e+00,-4.570080e-01,6.624255e+00,-5.248511e+00,-3.248511e+00,-4.994045e+00,-7.485113e-01,2.492556e-01,7.952360e+00,-7.904721e+00,-7.809442e+00,-1.904721e+00,7.237769e+00,-1.618884e+00,1.237769e+00,2.951075e+00,-3.902149e+00,-7.608598e+00,-7.217196e+00,-6.434393e+00,-4.868787e+00,-2.868787e+00,-2.335984e-01,4.343934e-01,5.900589e+00,-3.900589e+00,-7.602357e+00,-7.204715e+00,-1.602358e+00,1.204715e+00,2.818860e+00,-3.637721e+00,-4.094302e-01,5.101766e+00,-3.101766e+00,-4.407063e+00,-2.407063e+00,-1.758829e-01,2.267658e-01,6.513009e+00,-5.026018e+00,-7.565044e-01,4.104071e+00,-5.260177e-01,1.380088e-01,1.510177e-01,2.832567e+00,-3.665133e+00,-4.162834e-01,5.321068e+00,-8.302671e-01,3.302671e-01,8.210683e-01,3.210684e-01,7.842736e-01,4.548377e+00,-2.548377e+00,-1.935472e-01,4.387020e+00,-5.967549e-01,2.774039e+00,-2.217549e-01,6.192316e+00,-1.096158e+00,5.961578e-01,2.769262e+00,-2.211578e-01,3.846312e-01,1.077050e+00,2.308200e+00,-2.616400e+00,-2.020501e-01,3.082002e-01,7.328010e-01,3.862408e+00,-7.449632e+00,-1.724816e+00,1.449632e+00,9.496319e-01,7.194111e+00,-1.597056e+00,1.194111e+00,2.776445e+00,-3.552891e+00,-6.211565e+00,-1.105782e+00,2.423130e+00,-2.846260e+00,-3.692519e+00,-4.231299e-01,1.385039e+00,3.540157e+00,-6.160629e+00,-1.080315e+00,5.803146e-01,1.651573e-01,3.285033e+00,-5.140133e+00,-7.850332e-01,4.560532e+00,-2.560532e+00,-1.950665e-01,2.802662e-01,6.210647e-01,2.968517e+00,-2.460647e-01,7.748140e+00,-1.874070e+00,1.748140e+00,5.985121e+00,-9.962803e-01,4.962803e-01,1.970243e+00,7.761942e+00,-7.523884e+00,-7.047769e+00,-6.095538e+00,-1.047769e+00,2.191077e+00,-2.382153e+00,-2.764307e+00,-3.528614e+00,-6.114455e+00,-4.228909e+00,-2.228909e+00,-1.536137e-01,1.822274e-01,2.394548e-01,4.578193e-01,6.650216e+00,-5.300433e+00,-8.251082e-01,3.251083e-01,8.004331e-01,3.004331e-01,2.806930e+00,-3.613860e+00,-6.455439e+00,-1.227720e+00,2.910878e+00,-2.388598e-01,7.287027e+00,-1.643514e+00,1.287027e+00,3.148109e+00,-4.592437e+00,-6.481092e-01,1.990546e-01,4.739494e+00,-2.739494e+00,-3.478989e+00,-3.697472e-01,3.915955e+00,-4.789889e-01,1.831911e+00,6.655287e+00,-1.327643e+00,8.276434e-01,5.242294e+00,-8.105736e-01,4.969178e+00,-2.969178e+00,-3.938357e+00,-7.753428e+00,-1.876714e+00,7.013715e+00,-1.506858e+00,4.054862e+00,-5.137156e-01,1.318578e-01,2.219450e+00,-2.438900e+00,-2.877800e+00,-3.755601e+00,-7.022403e+00,-1.511202e+00,1.022403e+00,5.224031e-01,1.362015e-01,1.474031e-01,1.698062e-01,3.433798e+00,-5.735194e+00,-3.735194e+00,-4.337985e-01,1.470388e+00,9.703880e-01,4.703880e-01,1.763104e+00,6.104832e+00,-1.052416e+00,2.209665e+00,-2.419330e+00,-1.774163e-01,3.677320e+00,-6.709282e+00,-5.418565e+00,-3.418565e+00,-3.546413e-01,9.185651e-01,4.185651e-01,1.348521e+00,3.394083e+00,-5.576334e+00,-8.940837e-01,6.305339e+00,-1.152670e+00,2.610678e+00,-3.221356e+00,-3.053391e-01,2.885426e+00,-2.356783e-01,7.083408e+00,-6.166817e+00,-1.083409e+00,5.834086e-01,2.667269e+00,-2.084086e-01,3.336344e-01,3.338151e+00,-5.352604e+00,-3.352604e+00,-3.381510e-01,8.526041e-01,3.526041e-01,3.641665e+00,-4.104164e-01,5.133325e+00,-7.833312e-01,4.533299e+00,-6.333248e-01,3.066598e+00,-2.666496e-01,2.266394e+00,-2.532789e+00,-1.915986e-01,4.262310e+00,-5.655774e-01,1.577887e-01,3.049238e+00,-2.623096e-01,5.492386e-01,1.496193e-01,2.787818e+00,-2.234773e-01,3.939091e-01,1.151273e+00,2.605093e+00,-2.006366e-01,3.025463e-01,7.101852e-01,2.300926e-01,6.725929e+00,-5.451858e+00,-8.629645e-01,3.629645e-01,3.807431e+00,-4.518578e-01,1.614863e+00,4.918902e+00,-2.918903e+00,-2.398628e-01,7.351222e+00,-1.675611e+00,5.404889e+00,-3.404889e+00,-5.619555e+00,-9.048886e-01,4.048886e-01,4.956436e+00,-2.956436e+00,-3.912872e+00,-4.782181e-01,7.302979e+00,-1.651489e+00,5.211915e+00,-3.211915e+00,-3.029788e-01,7.119151e-01,3.695321e+00,-6.781285e+00,-5.562570e+00,-3.562570e+00,-3.906425e-01,4.500560e+00,-6.251401e-01,1.875701e-01,4.004484e+00,-2.004484e+00,-1.255605e-01,2.017935e+00,-2.035870e+00,-1.294838e-01,1.339676e-01,2.286964e+00,-1.608705e-01,1.967410e-01,2.869641e-01,6.478565e-01,1.989283e-01,2.957131e-01,6.828524e-01,2.164262e-01,3.657050e-01,3.851279e+00,-4.628198e-01,1.702559e+00,5.620471e+00,-9.051178e-01,4.051178e-01,4.963768e+00,-7.409420e-01,3.927536e+00,-7.710145e+00,-7.420290e+00,-1.710145e+00,5.681158e+00,-3.681158e+00,-4.202896e-01,1.362317e+00,3.449268e+00,-5.797072e+00,-3.797073e+00,-7.188291e+00,-1.594146e+00,4.753164e+00,-2.753164e+00,-2.191455e-01,6.025314e+00,-1.012657e+00,2.050629e+00,-2.101258e+00,-2.202516e+00,-1.503146e-01,1.756291e-01,3.620132e+00,-6.480529e+00,-1.240265e+00,7.402648e-01,2.451324e-01,4.805298e-01,1.844238e+00,1.688476e+00,5.507812e+00,-3.507812e+00,-3.769529e-01,1.015623e+00,2.062493e+00,-2.124986e+00,-2.249972e+00,-2.499945e+00,-1.874931e-01,3.999780e+00,-7.999120e+00,-1.999560e+00,1.999120e+00,1.998240e+00,1.996481e+00,1.992962e+00,1.985924e+00,7.887390e+00,-7.774780e+00,-7.549560e+00,-7.099120e+00,-6.198240e+00,-4.396480e+00,-2.396480e+00,-2.792961e+00,-3.585922e+00,-6.343688e+00,-4.687375e+00,-2.687375e+00,-3.374750e+00,-3.436876e-01,8.747503e-01,3.747503e-01,3.996005e+00,-7.984021e+00,-1.992010e+00,1.984021e+00,7.872168e+00,-1.936084e+00,7.488672e+00,-1.744336e+00,5.954689e+00,-3.954689e+00,-7.818755e+00,-7.637511e+00,-7.275022e+00,-6.550044e+00,-5.100088e+00,-3.100088e+00,-2.750221e-01,2.400353e+00,-2.800706e+00,-3.601413e+00,-6.405650e+00,-4.811300e+00,-7.028251e-01,3.622601e+00,-4.056503e-01,1.245203e+00,7.452029e-01,3.961623e+00,-7.846493e+00,-1.923247e+00,1.846493e+00,6.771948e+00,-5.543896e+00);
  7. end package rand_data;