Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

avalon_slave.vhd 526B

123456789101112131415161718192021222324
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. package avalon_slave is
  4. type State is (
  5. SLAVE_IDLE,
  6. SLAVE_READ,
  7. SLAVE_READ_DATA,
  8. SLAVE_WRITE
  9. );
  10. type Request is record
  11. address : std_logic_vector( 3 downto 0 );
  12. read : std_logic;
  13. write : std_logic;
  14. writedata : std_logic_vector( 31 downto 0 );
  15. end record Request;
  16. type Response is record
  17. readdata : std_logic_vector( 31 downto 0 );
  18. end record Response;
  19. end package avalon_slave;