Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

data_channel.cmp.vhd 685B

12345678910111213141516171819202122232425
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity data_channel is
  4. generic (
  5. DEPTH : positive := 1024
  6. );
  7. port (
  8. clk : in std_logic;
  9. reset : in std_logic;
  10. ctrl_address : in std_logic_vector( 3 downto 0 );
  11. ctrl_read : in std_logic;
  12. ctrl_readdata : out std_logic_vector( 31 downto 0 );
  13. ctrl_write : in std_logic;
  14. ctrl_writedata : in std_logic_vector( 31 downto 0 );
  15. hw_sink_write : in std_logic;
  16. hw_sink_writedata : in std_logic_vector( 31 downto 0 );
  17. hw_source_read : in std_logic;
  18. hw_source_readdata : out std_logic_vector( 31 downto 0 )
  19. );
  20. end entity data_channel;