Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

data_sink_mux.vhd 610B

1234567891011121314151617181920212223242526
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity data_sink_mux is
  4. port (
  5. sel : in std_logic;
  6. sw_write : in std_logic;
  7. sw_writedata : in std_logic_vector( 31 downto 0 );
  8. hw_write : in std_logic;
  9. hw_writedata : in std_logic_vector( 31 downto 0 );
  10. write : out std_logic;
  11. writedata : out std_logic_vector( 31 downto 0 )
  12. );
  13. end entity data_sink_mux;
  14. architecture rtl of data_sink_mux is
  15. begin
  16. write <= sw_write when sel = '0' else hw_write;
  17. writedata <= sw_writedata when sel = '0' else
  18. hw_writedata;
  19. end architecture rtl;