Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

data_source_mux.vhd 594B

1234567891011121314151617181920212223242526
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity data_source_mux is
  4. port (
  5. sel : in std_logic;
  6. sw_read : in std_logic;
  7. sw_readdata : out std_logic_vector( 31 downto 0 );
  8. hw_read : in std_logic;
  9. hw_readdata : out std_logic_vector( 31 downto 0 );
  10. read : out std_logic;
  11. readdata : in std_logic_vector( 31 downto 0 )
  12. );
  13. end entity data_source_mux;
  14. architecture rtl of data_source_mux is
  15. begin
  16. read <= sw_read when sel = '0' else hw_read;
  17. sw_readdata <= readdata;
  18. hw_readdata <= readdata;
  19. end architecture rtl;