Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

hardware_task.vhd 909B

12345678910111213141516171819202122232425262728293031
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity hardware_task is
  4. port (
  5. clk : in std_logic;
  6. reset : in std_logic;
  7. ctrl_address : in std_logic_vector( 3 downto 0 );
  8. ctrl_read : in std_logic;
  9. ctrl_readdata : out std_logic_vector( 31 downto 0 );
  10. ctrl_write : in std_logic;
  11. ctrl_writedata : in std_logic_vector( 31 downto 0 );
  12. task_address : out std_logic_vector( 3 downto 0 );
  13. task_read : out std_logic;
  14. task_readdata : in std_logic_vector( 31 downto 0 );
  15. task_write : out std_logic;
  16. task_writedata : out std_logic_vector( 31 downto 0 )
  17. );
  18. end entity hardware_task;
  19. architecture rtl of hardware_task is
  20. begin
  21. task_address <= ctrl_address;
  22. task_read <= ctrl_read;
  23. ctrl_readdata <= task_readdata;
  24. task_write <= ctrl_write;
  25. task_writedata <= ctrl_writedata;
  26. end architecture rtl;