Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

hardware_timestamp.cmp.vhd 335B

12345678910111213
  1. entity timer is
  2. port (
  3. clk : in std_logic;
  4. reset : in std_logic;
  5. address : in std_logic_vector( 3 downto 0 );
  6. read : in std_logic;
  7. readdata : out std_logic_vector( 31 downto 0 );
  8. write : in std_logic;
  9. writedata : in std_logic_vector( 31 downto 0 )
  10. );
  11. end entity timer;