Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

pll_main.cmp 240B

123456789
  1. component pll_main is
  2. port (
  3. refclk : in std_logic := 'X'; -- clk
  4. rst : in std_logic := 'X'; -- reset
  5. outclk_0 : out std_logic; -- clk
  6. locked : out std_logic -- export
  7. );
  8. end component pll_main;