Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

pll_main.vhd 17KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271
  1. -- megafunction wizard: %PLL Intel FPGA IP v21.1%
  2. -- GENERATION: XML
  3. -- pll_main.vhd
  4. -- Generated using ACDS version 21.1 850
  5. library IEEE;
  6. use IEEE.std_logic_1164.all;
  7. use IEEE.numeric_std.all;
  8. entity pll_main is
  9. port (
  10. refclk : in std_logic := '0'; -- refclk.clk
  11. rst : in std_logic := '0'; -- reset.reset
  12. outclk_0 : out std_logic; -- outclk0.clk
  13. locked : out std_logic -- locked.export
  14. );
  15. end entity pll_main;
  16. architecture rtl of pll_main is
  17. component pll_main_0002 is
  18. port (
  19. refclk : in std_logic := 'X'; -- clk
  20. rst : in std_logic := 'X'; -- reset
  21. outclk_0 : out std_logic; -- clk
  22. locked : out std_logic -- export
  23. );
  24. end component pll_main_0002;
  25. begin
  26. pll_main_inst : component pll_main_0002
  27. port map (
  28. refclk => refclk, -- refclk.clk
  29. rst => rst, -- reset.reset
  30. outclk_0 => outclk_0, -- outclk0.clk
  31. locked => locked -- locked.export
  32. );
  33. end architecture rtl; -- of pll_main
  34. -- Retrieval info: <?xml version="1.0"?>
  35. --<!--
  36. -- Generated by Altera MegaWizard Launcher Utility version 1.0
  37. -- ************************************************************
  38. -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
  39. -- ************************************************************
  40. -- Copyright (C) 1991-2022 Altera Corporation
  41. -- Any megafunction design, and related net list (encrypted or decrypted),
  42. -- support information, device programming or simulation file, and any other
  43. -- associated documentation or information provided by Altera or a partner
  44. -- under Altera's Megafunction Partnership Program may be used only to
  45. -- program PLD devices (but not masked PLD devices) from Altera. Any other
  46. -- use of such megafunction design, net list, support information, device
  47. -- programming or simulation file, or any other related documentation or
  48. -- information is prohibited for any other purpose, including, but not
  49. -- limited to modification, reverse engineering, de-compiling, or use with
  50. -- any other silicon devices, unless such use is explicitly licensed under
  51. -- a separate agreement with Altera or a megafunction partner. Title to
  52. -- the intellectual property, including patents, copyrights, trademarks,
  53. -- trade secrets, or maskworks, embodied in any such megafunction design,
  54. -- net list, support information, device programming or simulation file, or
  55. -- any other related documentation or information provided by Altera or a
  56. -- megafunction partner, remains with Altera, the megafunction partner, or
  57. -- their respective licensors. No other licenses, including any licenses
  58. -- needed under any third party's intellectual property, are provided herein.
  59. ---->
  60. -- Retrieval info: <instance entity-name="altera_pll" version="21.1" >
  61. -- Retrieval info: <generic name="debug_print_output" value="false" />
  62. -- Retrieval info: <generic name="debug_use_rbc_taf_method" value="false" />
  63. -- Retrieval info: <generic name="device_family" value="Cyclone V" />
  64. -- Retrieval info: <generic name="device" value="5CEBA2F17A7" />
  65. -- Retrieval info: <generic name="gui_device_speed_grade" value="1" />
  66. -- Retrieval info: <generic name="gui_pll_mode" value="Integer-N PLL" />
  67. -- Retrieval info: <generic name="gui_reference_clock_frequency" value="50.0" />
  68. -- Retrieval info: <generic name="gui_channel_spacing" value="0.0" />
  69. -- Retrieval info: <generic name="gui_operation_mode" value="direct" />
  70. -- Retrieval info: <generic name="gui_feedback_clock" value="Global Clock" />
  71. -- Retrieval info: <generic name="gui_fractional_cout" value="32" />
  72. -- Retrieval info: <generic name="gui_dsm_out_sel" value="1st_order" />
  73. -- Retrieval info: <generic name="gui_use_locked" value="true" />
  74. -- Retrieval info: <generic name="gui_en_adv_params" value="false" />
  75. -- Retrieval info: <generic name="gui_number_of_clocks" value="1" />
  76. -- Retrieval info: <generic name="gui_multiply_factor" value="1" />
  77. -- Retrieval info: <generic name="gui_frac_multiply_factor" value="1" />
  78. -- Retrieval info: <generic name="gui_divide_factor_n" value="1" />
  79. -- Retrieval info: <generic name="gui_cascade_counter0" value="false" />
  80. -- Retrieval info: <generic name="gui_output_clock_frequency0" value="100.0" />
  81. -- Retrieval info: <generic name="gui_divide_factor_c0" value="1" />
  82. -- Retrieval info: <generic name="gui_actual_output_clock_frequency0" value="0 MHz" />
  83. -- Retrieval info: <generic name="gui_ps_units0" value="ps" />
  84. -- Retrieval info: <generic name="gui_phase_shift0" value="0" />
  85. -- Retrieval info: <generic name="gui_phase_shift_deg0" value="0.0" />
  86. -- Retrieval info: <generic name="gui_actual_phase_shift0" value="0" />
  87. -- Retrieval info: <generic name="gui_duty_cycle0" value="50" />
  88. -- Retrieval info: <generic name="gui_cascade_counter1" value="false" />
  89. -- Retrieval info: <generic name="gui_output_clock_frequency1" value="100.0" />
  90. -- Retrieval info: <generic name="gui_divide_factor_c1" value="1" />
  91. -- Retrieval info: <generic name="gui_actual_output_clock_frequency1" value="0 MHz" />
  92. -- Retrieval info: <generic name="gui_ps_units1" value="ps" />
  93. -- Retrieval info: <generic name="gui_phase_shift1" value="0" />
  94. -- Retrieval info: <generic name="gui_phase_shift_deg1" value="0.0" />
  95. -- Retrieval info: <generic name="gui_actual_phase_shift1" value="0" />
  96. -- Retrieval info: <generic name="gui_duty_cycle1" value="50" />
  97. -- Retrieval info: <generic name="gui_cascade_counter2" value="false" />
  98. -- Retrieval info: <generic name="gui_output_clock_frequency2" value="100.0" />
  99. -- Retrieval info: <generic name="gui_divide_factor_c2" value="1" />
  100. -- Retrieval info: <generic name="gui_actual_output_clock_frequency2" value="0 MHz" />
  101. -- Retrieval info: <generic name="gui_ps_units2" value="ps" />
  102. -- Retrieval info: <generic name="gui_phase_shift2" value="0" />
  103. -- Retrieval info: <generic name="gui_phase_shift_deg2" value="0.0" />
  104. -- Retrieval info: <generic name="gui_actual_phase_shift2" value="0" />
  105. -- Retrieval info: <generic name="gui_duty_cycle2" value="50" />
  106. -- Retrieval info: <generic name="gui_cascade_counter3" value="false" />
  107. -- Retrieval info: <generic name="gui_output_clock_frequency3" value="100.0" />
  108. -- Retrieval info: <generic name="gui_divide_factor_c3" value="1" />
  109. -- Retrieval info: <generic name="gui_actual_output_clock_frequency3" value="0 MHz" />
  110. -- Retrieval info: <generic name="gui_ps_units3" value="ps" />
  111. -- Retrieval info: <generic name="gui_phase_shift3" value="0" />
  112. -- Retrieval info: <generic name="gui_phase_shift_deg3" value="0.0" />
  113. -- Retrieval info: <generic name="gui_actual_phase_shift3" value="0" />
  114. -- Retrieval info: <generic name="gui_duty_cycle3" value="50" />
  115. -- Retrieval info: <generic name="gui_cascade_counter4" value="false" />
  116. -- Retrieval info: <generic name="gui_output_clock_frequency4" value="100.0" />
  117. -- Retrieval info: <generic name="gui_divide_factor_c4" value="1" />
  118. -- Retrieval info: <generic name="gui_actual_output_clock_frequency4" value="0 MHz" />
  119. -- Retrieval info: <generic name="gui_ps_units4" value="ps" />
  120. -- Retrieval info: <generic name="gui_phase_shift4" value="0" />
  121. -- Retrieval info: <generic name="gui_phase_shift_deg4" value="0.0" />
  122. -- Retrieval info: <generic name="gui_actual_phase_shift4" value="0" />
  123. -- Retrieval info: <generic name="gui_duty_cycle4" value="50" />
  124. -- Retrieval info: <generic name="gui_cascade_counter5" value="false" />
  125. -- Retrieval info: <generic name="gui_output_clock_frequency5" value="100.0" />
  126. -- Retrieval info: <generic name="gui_divide_factor_c5" value="1" />
  127. -- Retrieval info: <generic name="gui_actual_output_clock_frequency5" value="0 MHz" />
  128. -- Retrieval info: <generic name="gui_ps_units5" value="ps" />
  129. -- Retrieval info: <generic name="gui_phase_shift5" value="0" />
  130. -- Retrieval info: <generic name="gui_phase_shift_deg5" value="0.0" />
  131. -- Retrieval info: <generic name="gui_actual_phase_shift5" value="0" />
  132. -- Retrieval info: <generic name="gui_duty_cycle5" value="50" />
  133. -- Retrieval info: <generic name="gui_cascade_counter6" value="false" />
  134. -- Retrieval info: <generic name="gui_output_clock_frequency6" value="100.0" />
  135. -- Retrieval info: <generic name="gui_divide_factor_c6" value="1" />
  136. -- Retrieval info: <generic name="gui_actual_output_clock_frequency6" value="0 MHz" />
  137. -- Retrieval info: <generic name="gui_ps_units6" value="ps" />
  138. -- Retrieval info: <generic name="gui_phase_shift6" value="0" />
  139. -- Retrieval info: <generic name="gui_phase_shift_deg6" value="0.0" />
  140. -- Retrieval info: <generic name="gui_actual_phase_shift6" value="0" />
  141. -- Retrieval info: <generic name="gui_duty_cycle6" value="50" />
  142. -- Retrieval info: <generic name="gui_cascade_counter7" value="false" />
  143. -- Retrieval info: <generic name="gui_output_clock_frequency7" value="100.0" />
  144. -- Retrieval info: <generic name="gui_divide_factor_c7" value="1" />
  145. -- Retrieval info: <generic name="gui_actual_output_clock_frequency7" value="0 MHz" />
  146. -- Retrieval info: <generic name="gui_ps_units7" value="ps" />
  147. -- Retrieval info: <generic name="gui_phase_shift7" value="0" />
  148. -- Retrieval info: <generic name="gui_phase_shift_deg7" value="0.0" />
  149. -- Retrieval info: <generic name="gui_actual_phase_shift7" value="0" />
  150. -- Retrieval info: <generic name="gui_duty_cycle7" value="50" />
  151. -- Retrieval info: <generic name="gui_cascade_counter8" value="false" />
  152. -- Retrieval info: <generic name="gui_output_clock_frequency8" value="100.0" />
  153. -- Retrieval info: <generic name="gui_divide_factor_c8" value="1" />
  154. -- Retrieval info: <generic name="gui_actual_output_clock_frequency8" value="0 MHz" />
  155. -- Retrieval info: <generic name="gui_ps_units8" value="ps" />
  156. -- Retrieval info: <generic name="gui_phase_shift8" value="0" />
  157. -- Retrieval info: <generic name="gui_phase_shift_deg8" value="0.0" />
  158. -- Retrieval info: <generic name="gui_actual_phase_shift8" value="0" />
  159. -- Retrieval info: <generic name="gui_duty_cycle8" value="50" />
  160. -- Retrieval info: <generic name="gui_cascade_counter9" value="false" />
  161. -- Retrieval info: <generic name="gui_output_clock_frequency9" value="100.0" />
  162. -- Retrieval info: <generic name="gui_divide_factor_c9" value="1" />
  163. -- Retrieval info: <generic name="gui_actual_output_clock_frequency9" value="0 MHz" />
  164. -- Retrieval info: <generic name="gui_ps_units9" value="ps" />
  165. -- Retrieval info: <generic name="gui_phase_shift9" value="0" />
  166. -- Retrieval info: <generic name="gui_phase_shift_deg9" value="0.0" />
  167. -- Retrieval info: <generic name="gui_actual_phase_shift9" value="0" />
  168. -- Retrieval info: <generic name="gui_duty_cycle9" value="50" />
  169. -- Retrieval info: <generic name="gui_cascade_counter10" value="false" />
  170. -- Retrieval info: <generic name="gui_output_clock_frequency10" value="100.0" />
  171. -- Retrieval info: <generic name="gui_divide_factor_c10" value="1" />
  172. -- Retrieval info: <generic name="gui_actual_output_clock_frequency10" value="0 MHz" />
  173. -- Retrieval info: <generic name="gui_ps_units10" value="ps" />
  174. -- Retrieval info: <generic name="gui_phase_shift10" value="0" />
  175. -- Retrieval info: <generic name="gui_phase_shift_deg10" value="0.0" />
  176. -- Retrieval info: <generic name="gui_actual_phase_shift10" value="0" />
  177. -- Retrieval info: <generic name="gui_duty_cycle10" value="50" />
  178. -- Retrieval info: <generic name="gui_cascade_counter11" value="false" />
  179. -- Retrieval info: <generic name="gui_output_clock_frequency11" value="100.0" />
  180. -- Retrieval info: <generic name="gui_divide_factor_c11" value="1" />
  181. -- Retrieval info: <generic name="gui_actual_output_clock_frequency11" value="0 MHz" />
  182. -- Retrieval info: <generic name="gui_ps_units11" value="ps" />
  183. -- Retrieval info: <generic name="gui_phase_shift11" value="0" />
  184. -- Retrieval info: <generic name="gui_phase_shift_deg11" value="0.0" />
  185. -- Retrieval info: <generic name="gui_actual_phase_shift11" value="0" />
  186. -- Retrieval info: <generic name="gui_duty_cycle11" value="50" />
  187. -- Retrieval info: <generic name="gui_cascade_counter12" value="false" />
  188. -- Retrieval info: <generic name="gui_output_clock_frequency12" value="100.0" />
  189. -- Retrieval info: <generic name="gui_divide_factor_c12" value="1" />
  190. -- Retrieval info: <generic name="gui_actual_output_clock_frequency12" value="0 MHz" />
  191. -- Retrieval info: <generic name="gui_ps_units12" value="ps" />
  192. -- Retrieval info: <generic name="gui_phase_shift12" value="0" />
  193. -- Retrieval info: <generic name="gui_phase_shift_deg12" value="0.0" />
  194. -- Retrieval info: <generic name="gui_actual_phase_shift12" value="0" />
  195. -- Retrieval info: <generic name="gui_duty_cycle12" value="50" />
  196. -- Retrieval info: <generic name="gui_cascade_counter13" value="false" />
  197. -- Retrieval info: <generic name="gui_output_clock_frequency13" value="100.0" />
  198. -- Retrieval info: <generic name="gui_divide_factor_c13" value="1" />
  199. -- Retrieval info: <generic name="gui_actual_output_clock_frequency13" value="0 MHz" />
  200. -- Retrieval info: <generic name="gui_ps_units13" value="ps" />
  201. -- Retrieval info: <generic name="gui_phase_shift13" value="0" />
  202. -- Retrieval info: <generic name="gui_phase_shift_deg13" value="0.0" />
  203. -- Retrieval info: <generic name="gui_actual_phase_shift13" value="0" />
  204. -- Retrieval info: <generic name="gui_duty_cycle13" value="50" />
  205. -- Retrieval info: <generic name="gui_cascade_counter14" value="false" />
  206. -- Retrieval info: <generic name="gui_output_clock_frequency14" value="100.0" />
  207. -- Retrieval info: <generic name="gui_divide_factor_c14" value="1" />
  208. -- Retrieval info: <generic name="gui_actual_output_clock_frequency14" value="0 MHz" />
  209. -- Retrieval info: <generic name="gui_ps_units14" value="ps" />
  210. -- Retrieval info: <generic name="gui_phase_shift14" value="0" />
  211. -- Retrieval info: <generic name="gui_phase_shift_deg14" value="0.0" />
  212. -- Retrieval info: <generic name="gui_actual_phase_shift14" value="0" />
  213. -- Retrieval info: <generic name="gui_duty_cycle14" value="50" />
  214. -- Retrieval info: <generic name="gui_cascade_counter15" value="false" />
  215. -- Retrieval info: <generic name="gui_output_clock_frequency15" value="100.0" />
  216. -- Retrieval info: <generic name="gui_divide_factor_c15" value="1" />
  217. -- Retrieval info: <generic name="gui_actual_output_clock_frequency15" value="0 MHz" />
  218. -- Retrieval info: <generic name="gui_ps_units15" value="ps" />
  219. -- Retrieval info: <generic name="gui_phase_shift15" value="0" />
  220. -- Retrieval info: <generic name="gui_phase_shift_deg15" value="0.0" />
  221. -- Retrieval info: <generic name="gui_actual_phase_shift15" value="0" />
  222. -- Retrieval info: <generic name="gui_duty_cycle15" value="50" />
  223. -- Retrieval info: <generic name="gui_cascade_counter16" value="false" />
  224. -- Retrieval info: <generic name="gui_output_clock_frequency16" value="100.0" />
  225. -- Retrieval info: <generic name="gui_divide_factor_c16" value="1" />
  226. -- Retrieval info: <generic name="gui_actual_output_clock_frequency16" value="0 MHz" />
  227. -- Retrieval info: <generic name="gui_ps_units16" value="ps" />
  228. -- Retrieval info: <generic name="gui_phase_shift16" value="0" />
  229. -- Retrieval info: <generic name="gui_phase_shift_deg16" value="0.0" />
  230. -- Retrieval info: <generic name="gui_actual_phase_shift16" value="0" />
  231. -- Retrieval info: <generic name="gui_duty_cycle16" value="50" />
  232. -- Retrieval info: <generic name="gui_cascade_counter17" value="false" />
  233. -- Retrieval info: <generic name="gui_output_clock_frequency17" value="100.0" />
  234. -- Retrieval info: <generic name="gui_divide_factor_c17" value="1" />
  235. -- Retrieval info: <generic name="gui_actual_output_clock_frequency17" value="0 MHz" />
  236. -- Retrieval info: <generic name="gui_ps_units17" value="ps" />
  237. -- Retrieval info: <generic name="gui_phase_shift17" value="0" />
  238. -- Retrieval info: <generic name="gui_phase_shift_deg17" value="0.0" />
  239. -- Retrieval info: <generic name="gui_actual_phase_shift17" value="0" />
  240. -- Retrieval info: <generic name="gui_duty_cycle17" value="50" />
  241. -- Retrieval info: <generic name="gui_pll_auto_reset" value="Off" />
  242. -- Retrieval info: <generic name="gui_pll_bandwidth_preset" value="Auto" />
  243. -- Retrieval info: <generic name="gui_en_reconf" value="false" />
  244. -- Retrieval info: <generic name="gui_en_dps_ports" value="false" />
  245. -- Retrieval info: <generic name="gui_en_phout_ports" value="false" />
  246. -- Retrieval info: <generic name="gui_phout_division" value="1" />
  247. -- Retrieval info: <generic name="gui_mif_generate" value="false" />
  248. -- Retrieval info: <generic name="gui_enable_mif_dps" value="false" />
  249. -- Retrieval info: <generic name="gui_dps_cntr" value="C0" />
  250. -- Retrieval info: <generic name="gui_dps_num" value="1" />
  251. -- Retrieval info: <generic name="gui_dps_dir" value="Positive" />
  252. -- Retrieval info: <generic name="gui_refclk_switch" value="false" />
  253. -- Retrieval info: <generic name="gui_refclk1_frequency" value="100.0" />
  254. -- Retrieval info: <generic name="gui_switchover_mode" value="Automatic Switchover" />
  255. -- Retrieval info: <generic name="gui_switchover_delay" value="0" />
  256. -- Retrieval info: <generic name="gui_active_clk" value="false" />
  257. -- Retrieval info: <generic name="gui_clk_bad" value="false" />
  258. -- Retrieval info: <generic name="gui_enable_cascade_out" value="false" />
  259. -- Retrieval info: <generic name="gui_cascade_outclk_index" value="0" />
  260. -- Retrieval info: <generic name="gui_enable_cascade_in" value="false" />
  261. -- Retrieval info: <generic name="gui_pll_cascading_mode" value="Create an adjpllin signal to connect with an upstream PLL" />
  262. -- Retrieval info: </instance>
  263. -- IPFS_FILES : pll_main.vho
  264. -- RELATED_FILES: pll_main.vhd, pll_main_0002.v