Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

msim_setup.tcl 13KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278
  1. # (C) 2001-2022 Altera Corporation. All rights reserved.
  2. # Your use of Altera Corporation's design tools, logic functions and
  3. # other software and tools, and its AMPP partner logic functions, and
  4. # any output files any of the foregoing (including device programming
  5. # or simulation files), and any associated documentation or information
  6. # are expressly subject to the terms and conditions of the Altera
  7. # Program License Subscription Agreement, Altera MegaCore Function
  8. # License Agreement, or other applicable license agreement, including,
  9. # without limitation, that your use is for the sole purpose of
  10. # programming logic devices manufactured by Altera and sold by Altera
  11. # or its authorized distributors. Please refer to the applicable
  12. # agreement for further details.
  13. # ----------------------------------------
  14. # Auto-generated simulation script msim_setup.tcl
  15. # ----------------------------------------
  16. # This script provides commands to simulate the following IP detected in
  17. # your Quartus project:
  18. # pll_main
  19. #
  20. # Altera recommends that you source this Quartus-generated IP simulation
  21. # script from your own customized top-level script, and avoid editing this
  22. # generated script.
  23. #
  24. # To write a top-level script that compiles Altera simulation libraries and
  25. # the Quartus-generated IP in your project, along with your design and
  26. # testbench files, copy the text from the TOP-LEVEL TEMPLATE section below
  27. # into a new file, e.g. named "mentor.do", and modify the text as directed.
  28. #
  29. # ----------------------------------------
  30. # # TOP-LEVEL TEMPLATE - BEGIN
  31. # #
  32. # # QSYS_SIMDIR is used in the Quartus-generated IP simulation script to
  33. # # construct paths to the files required to simulate the IP in your Quartus
  34. # # project. By default, the IP script assumes that you are launching the
  35. # # simulator from the IP script location. If launching from another
  36. # # location, set QSYS_SIMDIR to the output directory you specified when you
  37. # # generated the IP script, relative to the directory from which you launch
  38. # # the simulator.
  39. # #
  40. # set QSYS_SIMDIR <script generation output directory>
  41. # #
  42. # # Source the generated IP simulation script.
  43. # source $QSYS_SIMDIR/mentor/msim_setup.tcl
  44. # #
  45. # # Set any compilation options you require (this is unusual).
  46. # set USER_DEFINED_COMPILE_OPTIONS <compilation options>
  47. # set USER_DEFINED_VHDL_COMPILE_OPTIONS <compilation options for VHDL>
  48. # set USER_DEFINED_VERILOG_COMPILE_OPTIONS <compilation options for Verilog>
  49. # #
  50. # # Call command to compile the Quartus EDA simulation library.
  51. # dev_com
  52. # #
  53. # # Call command to compile the Quartus-generated IP simulation files.
  54. # com
  55. # #
  56. # # Add commands to compile all design files and testbench files, including
  57. # # the top level. (These are all the files required for simulation other
  58. # # than the files compiled by the Quartus-generated IP simulation script)
  59. # #
  60. # vlog <compilation options> <design and testbench files>
  61. # #
  62. # # Set the top-level simulation or testbench module/entity name, which is
  63. # # used by the elab command to elaborate the top level.
  64. # #
  65. # set TOP_LEVEL_NAME <simulation top>
  66. # #
  67. # # Set any elaboration options you require.
  68. # set USER_DEFINED_ELAB_OPTIONS <elaboration options>
  69. # #
  70. # # Call command to elaborate your design and testbench.
  71. # elab
  72. # #
  73. # # Run the simulation.
  74. # run -a
  75. # #
  76. # # Report success to the shell.
  77. # exit -code 0
  78. # #
  79. # # TOP-LEVEL TEMPLATE - END
  80. # ----------------------------------------
  81. #
  82. # IP SIMULATION SCRIPT
  83. # ----------------------------------------
  84. # If pll_main is one of several IP cores in your
  85. # Quartus project, you can generate a simulation script
  86. # suitable for inclusion in your top-level simulation
  87. # script by running the following command line:
  88. #
  89. # ip-setup-simulation --quartus-project=<quartus project>
  90. #
  91. # ip-setup-simulation will discover the Altera IP
  92. # within the Quartus project, and generate a unified
  93. # script which supports all the Altera IP within the design.
  94. # ----------------------------------------
  95. # ACDS 21.1 850 linux 2022.08.29.18:38:18
  96. # ----------------------------------------
  97. # Initialize variables
  98. if ![info exists SYSTEM_INSTANCE_NAME] {
  99. set SYSTEM_INSTANCE_NAME ""
  100. } elseif { ![ string match "" $SYSTEM_INSTANCE_NAME ] } {
  101. set SYSTEM_INSTANCE_NAME "/$SYSTEM_INSTANCE_NAME"
  102. }
  103. if ![info exists TOP_LEVEL_NAME] {
  104. set TOP_LEVEL_NAME "pll_main"
  105. }
  106. if ![info exists QSYS_SIMDIR] {
  107. set QSYS_SIMDIR "./../"
  108. }
  109. if ![info exists QUARTUS_INSTALL_DIR] {
  110. set QUARTUS_INSTALL_DIR "/datadisk/opt/quartus-lite-21.1.1/quartus/"
  111. }
  112. if ![info exists USER_DEFINED_COMPILE_OPTIONS] {
  113. set USER_DEFINED_COMPILE_OPTIONS ""
  114. }
  115. if ![info exists USER_DEFINED_VHDL_COMPILE_OPTIONS] {
  116. set USER_DEFINED_VHDL_COMPILE_OPTIONS ""
  117. }
  118. if ![info exists USER_DEFINED_VERILOG_COMPILE_OPTIONS] {
  119. set USER_DEFINED_VERILOG_COMPILE_OPTIONS ""
  120. }
  121. if ![info exists USER_DEFINED_ELAB_OPTIONS] {
  122. set USER_DEFINED_ELAB_OPTIONS ""
  123. }
  124. # ----------------------------------------
  125. # Initialize simulation properties - DO NOT MODIFY!
  126. set ELAB_OPTIONS ""
  127. set SIM_OPTIONS ""
  128. if ![ string match "*-64 vsim*" [ vsim -version ] ] {
  129. } else {
  130. }
  131. # ----------------------------------------
  132. # Copy ROM/RAM files to simulation directory
  133. alias file_copy {
  134. echo "\[exec\] file_copy"
  135. }
  136. # ----------------------------------------
  137. # Create compilation libraries
  138. proc ensure_lib { lib } { if ![file isdirectory $lib] { vlib $lib } }
  139. ensure_lib ./libraries/
  140. ensure_lib ./libraries/work/
  141. vmap work ./libraries/work/
  142. vmap work_lib ./libraries/work/
  143. if ![ string match "*Intel*FPGA*" [ vsim -version ] ] {
  144. ensure_lib ./libraries/altera/
  145. vmap altera ./libraries/altera/
  146. ensure_lib ./libraries/lpm/
  147. vmap lpm ./libraries/lpm/
  148. ensure_lib ./libraries/sgate/
  149. vmap sgate ./libraries/sgate/
  150. ensure_lib ./libraries/altera_mf/
  151. vmap altera_mf ./libraries/altera_mf/
  152. ensure_lib ./libraries/altera_lnsim/
  153. vmap altera_lnsim ./libraries/altera_lnsim/
  154. ensure_lib ./libraries/cyclonev/
  155. vmap cyclonev ./libraries/cyclonev/
  156. ensure_lib ./libraries/cyclonev_hssi/
  157. vmap cyclonev_hssi ./libraries/cyclonev_hssi/
  158. }
  159. # ----------------------------------------
  160. # Compile device library files
  161. alias dev_com {
  162. echo "\[exec\] dev_com"
  163. if ![ string match "*Intel*FPGA*" [ vsim -version ] ] {
  164. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_syn_attributes.vhd" -work altera
  165. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_standard_functions.vhd" -work altera
  166. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/alt_dspbuilder_package.vhd" -work altera
  167. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_europa_support_lib.vhd" -work altera
  168. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives_components.vhd" -work altera
  169. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives.vhd" -work altera
  170. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/220pack.vhd" -work lpm
  171. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/220model.vhd" -work lpm
  172. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate_pack.vhd" -work sgate
  173. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate.vhd" -work sgate
  174. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf_components.vhd" -work altera_mf
  175. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf.vhd" -work altera_mf
  176. eval vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/mentor/altera_lnsim_for_vhdl.sv" -work altera_lnsim
  177. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim_components.vhd" -work altera_lnsim
  178. eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/mentor/cyclonev_atoms_ncrypt.v" -work cyclonev
  179. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_atoms.vhd" -work cyclonev
  180. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_components.vhd" -work cyclonev
  181. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_hssi_components.vhd" -work cyclonev_hssi
  182. eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/mentor/cyclonev_hssi_atoms_ncrypt.v" -work cyclonev_hssi
  183. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_hssi_atoms.vhd" -work cyclonev_hssi
  184. }
  185. }
  186. # ----------------------------------------
  187. # Compile the design files in correct order
  188. alias com {
  189. echo "\[exec\] com"
  190. eval vcom $USER_DEFINED_VHDL_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/pll_main.vho"
  191. }
  192. # ----------------------------------------
  193. # Elaborate top level design
  194. alias elab {
  195. echo "\[exec\] elab"
  196. eval vsim -t ps $ELAB_OPTIONS $USER_DEFINED_ELAB_OPTIONS -L work -L work_lib -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cyclonev -L cyclonev_hssi $TOP_LEVEL_NAME
  197. }
  198. # ----------------------------------------
  199. # Elaborate the top level design with -voptargs=+acc option
  200. alias elab_debug {
  201. echo "\[exec\] elab_debug"
  202. eval vsim -voptargs=+acc -t ps $ELAB_OPTIONS $USER_DEFINED_ELAB_OPTIONS -L work -L work_lib -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cyclonev -L cyclonev_hssi $TOP_LEVEL_NAME
  203. }
  204. # ----------------------------------------
  205. # Compile all the design files and elaborate the top level design
  206. alias ld "
  207. dev_com
  208. com
  209. elab
  210. "
  211. # ----------------------------------------
  212. # Compile all the design files and elaborate the top level design with -voptargs=+acc
  213. alias ld_debug "
  214. dev_com
  215. com
  216. elab_debug
  217. "
  218. # ----------------------------------------
  219. # Print out user commmand line aliases
  220. alias h {
  221. echo "List Of Command Line Aliases"
  222. echo
  223. echo "file_copy -- Copy ROM/RAM files to simulation directory"
  224. echo
  225. echo "dev_com -- Compile device library files"
  226. echo
  227. echo "com -- Compile the design files in correct order"
  228. echo
  229. echo "elab -- Elaborate top level design"
  230. echo
  231. echo "elab_debug -- Elaborate the top level design with -voptargs=+acc option"
  232. echo
  233. echo "ld -- Compile all the design files and elaborate the top level design"
  234. echo
  235. echo "ld_debug -- Compile all the design files and elaborate the top level design with -voptargs=+acc"
  236. echo
  237. echo
  238. echo
  239. echo "List Of Variables"
  240. echo
  241. echo "TOP_LEVEL_NAME -- Top level module name."
  242. echo " For most designs, this should be overridden"
  243. echo " to enable the elab/elab_debug aliases."
  244. echo
  245. echo "SYSTEM_INSTANCE_NAME -- Instantiated system module name inside top level module."
  246. echo
  247. echo "QSYS_SIMDIR -- Platform Designer base simulation directory."
  248. echo
  249. echo "QUARTUS_INSTALL_DIR -- Quartus installation directory."
  250. echo
  251. echo "USER_DEFINED_COMPILE_OPTIONS -- User-defined compile options, added to com/dev_com aliases."
  252. echo
  253. echo "USER_DEFINED_ELAB_OPTIONS -- User-defined elaboration options, added to elab/elab_debug aliases."
  254. echo
  255. echo "USER_DEFINED_VHDL_COMPILE_OPTIONS -- User-defined vhdl compile options, added to com/dev_com aliases."
  256. echo
  257. echo "USER_DEFINED_VERILOG_COMPILE_OPTIONS -- User-defined verilog compile options, added to com/dev_com aliases."
  258. }
  259. file_copy
  260. h