Übungen der VHDL-Einführung
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

top_entity.vhd 1.1KB

1 month ago
1234567891011121314151617181920212223242526272829
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. entity top_entity is
  5. port (
  6. CLK : in std_logic; -- Eingangssignal fuer den Takt
  7. RESET : in std_logic; -- Eingangssignal zum Zuruecksetzen des Zaehlers
  8. CNT : out std_logic_vector(6 downto 0) -- Ausgangssignal fuer den aktuellen Zaehlerstand
  9. );
  10. end top_entity;
  11. architecture Behavioral of top_entity is
  12. -- Legen Sie eine Konstante mit den Wert 57 an, welche Sie der Komponente Backward_Counter als INITIAL_VALUE uebergeben koennen
  13. -- Legen Sie ein Signal an um das Ergebnis aus COUNT_OUT der Komponente entgegenzunehmen zu koennen
  14. begin
  15. -- Instanzieren Sie direkt die Backward_Counter Komponente
  16. -- Als Takt und Reset sollen die jeweiligen Eingaenge der top_entity uebergeben werden
  17. -- Als Anfangswert fuer den Zaehler Ihre angelegte Konstante
  18. -- Der aktuelle Zaehlerstand soll Ihrem angelegten signal uebergeben werden
  19. -- Machhen Sie eine Zuweisung damit der Ausgang CNT der top_entity dem aktuellen Zaehlerstand entspricht
  20. end Behavioral;