library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity top_entity is port ( CLK : in std_logic; -- Eingangssignal fuer den Takt RESET : in std_logic; -- Eingangssignal zum Zuruecksetzen des Zaehlers CNT : out std_logic_vector(6 downto 0) -- Ausgangssignal fuer den aktuellen Zaehlerstand ); end top_entity; architecture Behavioral of top_entity is -- Legen Sie eine Konstante mit den Wert 57 an, welche Sie der Komponente Backward_Counter als INITIAL_VALUE uebergeben koennen -- Legen Sie ein Signal an um das Ergebnis aus COUNT_OUT der Komponente entgegenzunehmen zu koennen begin -- Instanzieren Sie direkt die Backward_Counter Komponente -- Als Takt und Reset sollen die jeweiligen Eingaenge der top_entity uebergeben werden -- Als Anfangswert fuer den Zaehler Ihre angelegte Konstante -- Der aktuelle Zaehlerstand soll Ihrem angelegten signal uebergeben werden -- Machhen Sie eine Zuweisung damit der Ausgang CNT der top_entity dem aktuellen Zaehlerstand entspricht end Behavioral;