Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

crc.vhd 208B

123456789
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. library work;
  4. use work.test_utility.all;
  5. package crc_data is
  6. constant expected : std_logic_vector( 31 downto 0 ) := x"4d540e72";
  7. end package crc_data;