Studentenversion des ESY6/A Praktikums "signal_processing".
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

sine_cosine.vhd 14KB

123456789
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. library work;
  4. use work.test_utility.all;
  5. package sine_cosine_data is
  6. constant expected : real_array( 0 to 1023 ) := (2.000000e+00,2.779374e+00,3.526787e+00,4.213405e+00,4.812656e+00,5.301255e+00,5.660092e+00,5.874974e+00,5.937166e+00,5.843728e+00,5.597631e+00,5.207640e+00,4.687980e+00,4.057790e+00,3.340388e+00,2.562374e+00,1.752613e+00,9.411229e-01,1.579220e-01,-5.681200e-01,-1.210393e+00,-1.745568e+00,-2.154491e+00,-2.422919e+00,-2.542063e+00,-2.508927e+00,-2.326424e+00,-2.003255e+00,-1.553580e+00,-9.964672e-01,-3.551633e-01,3.438057e-01,1.071653e+00,1.798444e+00,2.494241e+00,3.130262e+00,3.679986e+00,4.120174e+00,4.431767e+00,4.600617e+00,4.618034e+00,4.481123e+00,4.192898e+00,3.762165e+00,3.203190e+00,2.535150e+00,1.781400e+00,9.685777e-01,1.255806e-01,-7.175396e-01,-1.530734e+00,-2.285103e+00,-2.954008e+00,-3.514094e+00,-3.946185e+00,-4.236010e+00,-4.374763e+00,-4.359427e+00,-4.192897e+00,-3.883861e+00,-3.446462e+00,-2.899757e+00,-2.266983e+00,-1.574657e+00,-8.515586e-01,-1.276198e-01,5.672264e-01,1.204198e+00,1.756773e+00,2.201711e+00,2.519947e+00,2.697327e+00,2.725152e+00,2.600517e+00,2.326424e+00,1.911665e+00,1.370490e+00,7.220587e-01,-1.029217e-02,-7.999491e-01,-1.618035e+00,-2.434522e+00,-3.219390e+00,-3.943765e+00,-4.581040e+00,-5.107891e+00,-5.505172e+00,-5.758650e+00,-5.859553e+00,-5.804903e+00,-5.597631e+00,-5.246466e+00,-4.765594e+00,-4.174115e+00,-3.495308e+00,-2.755738e+00,-1.984229e+00,-1.210763e+00,-4.653196e-01,2.232679e-01,8.284271e-01,1.326865e+00,1.699465e+00,1.932017e+00,2.015770e+00,1.947764e+00,1.730944e+00,1.374045e+00,8.912609e-01,3.016934e-01,-3.713790e-01,-1.101400e+00,-1.859553e+00,-2.615870e+00,-3.340388e+00,-4.004294e+00,-4.581040e+00,-5.047362e+00,-5.384174e+00,-5.577302e+00,-5.618033e+00,-5.503450e+00,-5.236544e+00,-4.826100e+00,-4.286365e+00,-3.636495e+00,-2.899828e+00,-2.102985e+00,-1.274848e+00,-4.454529e-01,3.551632e-01,1.098114e+00,1.756774e+00,2.307796e+00,2.732011e+00,3.015160e+00,3.148441e+00,3.128845e+00,2.959269e+00,2.648403e+00,2.210393e+00,1.664299e+00,1.033354e+00,3.440749e-01,-3.747628e-01,-1.093230e+00,-1.781400e+00,-2.410498e+00,-2.954008e+00,-3.388699e+00,-3.695518e+00,-3.860319e+00,-3.874418e+00,-3.734925e+00,-3.444852e+00,-3.013011e+00,-2.453665e+00,-1.785994e+00,-1.033353e+00,-2.223782e-01,6.180345e-01,1.457838e+00,2.266983e+00,3.016577e+00,3.679986e+00,4.233859e+00,4.659025e+00,4.941224e+00,5.071653e+00,5.047308e+00,4.871089e+00,4.551693e+00,4.103275e+00,3.544904e+00,2.899828e+00,2.194575e+00,1.457937e+00,7.198612e-01,1.029289e-02,-6.419711e-01,-1.210393e+00,-1.671717e+00,-2.006862e+00,-2.201657e+00,-2.247386e+00,-2.141128e+00,-1.885864e+00,-1.490369e+00,-9.688745e-01,-3.405195e-01,3.713791e-01,1.140226e+00,1.937166e+00,2.732195e+00,3.495308e+00,4.197658e+00,4.812656e+00,5.317002e+00,5.691571e+00,5.922154e+00,6.000000e+00,5.922154e+00,5.691572e+00,5.317002e+00,4.812656e+00,4.197658e+00,3.495308e+00,2.732195e+00,1.937166e+00,1.140226e+00,3.713793e-01,-3.405197e-01,-9.688740e-01,-1.490369e+00,-1.885864e+00,-2.141128e+00,-2.247387e+00,-2.201657e+00,-2.006862e+00,-1.671718e+00,-1.210394e+00,-6.419711e-01,1.029277e-02,7.198613e-01,1.457937e+00,2.194575e+00,2.899828e+00,3.544905e+00,4.103275e+00,4.551692e+00,4.871089e+00,5.047307e+00,5.071653e+00,4.941224e+00,4.659025e+00,4.233860e+00,3.679986e+00,3.016576e+00,2.266983e+00,1.457837e+00,6.180337e-01,-2.223787e-01,-1.033354e+00,-1.785995e+00,-2.453664e+00,-3.013009e+00,-3.444852e+00,-3.734925e+00,-3.874419e+00,-3.860320e+00,-3.695518e+00,-3.388700e+00,-2.954008e+00,-2.410498e+00,-1.781400e+00,-1.093230e+00,-3.747630e-01,3.440749e-01,1.033354e+00,1.664299e+00,2.210393e+00,2.648403e+00,2.959269e+00,3.128845e+00,3.148441e+00,3.015160e+00,2.732011e+00,2.307796e+00,1.756773e+00,1.098114e+00,3.551632e-01,-4.454526e-01,-1.274849e+00,-2.102985e+00,-2.899828e+00,-3.636495e+00,-4.286364e+00,-4.826100e+00,-5.236544e+00,-5.503451e+00,-5.618034e+00,-5.577302e+00,-5.384174e+00,-5.047363e+00,-4.581041e+00,-4.004294e+00,-3.340388e+00,-2.615870e+00,-1.859553e+00,-1.101400e+00,-3.713791e-01,3.016937e-01,8.912607e-01,1.374045e+00,1.730944e+00,1.947764e+00,2.015770e+00,1.932017e+00,1.699465e+00,1.326866e+00,8.284271e-01,2.232677e-01,-4.653195e-01,-1.210763e+00,-1.984230e+00,-2.755738e+00,-3.495308e+00,-4.174115e+00,-4.765593e+00,-5.246465e+00,-5.597631e+00,-5.804903e+00,-5.859553e+00,-5.758650e+00,-5.505172e+00,-5.107892e+00,-4.581041e+00,-3.943766e+00,-3.219390e+00,-2.434522e+00,-1.618034e+00,-7.999483e-01,-1.029229e-02,7.220592e-01,1.370490e+00,1.911665e+00,2.326424e+00,2.600517e+00,2.725152e+00,2.697327e+00,2.519948e+00,2.201712e+00,1.756774e+00,1.204198e+00,5.672265e-01,-1.276198e-01,-8.515589e-01,-1.574657e+00,-2.266983e+00,-2.899757e+00,-3.446461e+00,-3.883860e+00,-4.192898e+00,-4.359427e+00,-4.374763e+00,-4.236010e+00,-3.946184e+00,-3.514095e+00,-2.954008e+00,-2.285102e+00,-1.530733e+00,-7.175391e-01,1.255814e-01,9.685774e-01,1.781400e+00,2.535149e+00,3.203189e+00,3.762165e+00,4.192898e+00,4.481124e+00,4.618034e+00,4.600617e+00,4.431767e+00,4.120174e+00,3.679986e+00,3.130261e+00,2.494241e+00,1.798444e+00,1.071653e+00,3.438064e-01,-3.551625e-01,-9.964671e-01,-1.553579e+00,-2.003254e+00,-2.326424e+00,-2.508927e+00,-2.542063e+00,-2.422919e+00,-2.154491e+00,-1.745569e+00,-1.210393e+00,-5.681200e-01,1.579223e-01,9.411232e-01,1.752614e+00,2.562375e+00,3.340388e+00,4.057790e+00,4.687980e+00,5.207640e+00,5.597631e+00,5.843728e+00,5.937166e+00,5.874974e+00,5.660093e+00,5.301255e+00,4.812656e+00,4.213405e+00,3.526787e+00,2.779374e+00,2.000000e+00,1.218652e+00,4.653198e-01,-2.311573e-01,-8.441975e-01,-1.350501e+00,-1.730944e+00,-1.971308e+00,-2.062834e+00,-2.002554e+00,-1.793405e+00,-1.444117e+00,-9.688747e-01,-3.867719e-01,2.789203e-01,1.001652e+00,1.752613e+00,2.501845e+00,3.219389e+00,3.876442e+00,4.446461e+00,4.906188e+00,5.236545e+00,5.423363e+00,5.457937e+00,5.337355e+00,5.064612e+00,4.648502e+00,4.103275e+00,3.448095e+00,2.706304e+00,1.904528e+00,1.071653e+00,2.377216e-01,-5.672266e-01,-1.314301e+00,-1.976869e+00,-2.531582e+00,-2.959269e+00,-3.245665e+00,-3.381966e+00,-3.365159e+00,-3.198138e+00,-2.889592e+00,-2.453665e+00,-1.909412e+00,-1.280067e+00,-5.921444e-01,1.255809e-01,8.431827e-01,1.530734e+00,2.159459e+00,2.702846e+00,3.137662e+00,3.444851e+00,3.610272e+00,3.625237e+00,3.486855e+00,3.198138e+00,2.767896e+00,2.210393e+00,1.544805e+00,7.944849e-01,-1.393455e-02,-8.515590e-01,-1.688342e+00,-2.494241e+00,-3.240364e+00,-3.900081e+00,-4.450045e+00,-4.871089e+00,-5.148955e+00,-5.274848e+00,-5.245765e+00,-5.064612e+00,-4.740092e+00,-4.286365e+00,-3.722503e+00,-3.071760e+00,-2.360671e+00,-1.618034e+00,-8.738002e-01,-1.579223e-01,5.007972e-01,1.075814e+00,1.543865e+00,1.885864e+00,2.087632e+00,2.140447e+00,2.041379e+00,1.793405e+00,1.405291e+00,8.912607e-01,2.704473e-01,-4.338405e-01,-1.195015e+00,-1.984230e+00,-2.771485e+00,-3.526787e+00,-4.221294e+00,-4.828427e+00,-5.324891e+00,-5.691571e+00,-5.914265e+00,-5.984230e+00,-5.898518e+00,-5.660092e+00,-5.277712e+00,-4.765594e+00,-4.142869e+00,-3.432847e+00,-2.662122e+00,-1.859553e+00,-1.055148e+00,-2.789202e-01,4.402682e-01,1.075813e+00,1.604394e+00,2.006862e+00,2.268980e+00,2.381966e+00,2.342831e+00,2.154492e+00,1.825657e+00,1.370490e+00,8.080670e-01,1.616396e-01,-5.422627e-01,-1.274848e+00,-2.006175e+00,-2.706304e+00,-3.346448e+00,-3.900080e+00,-4.343961e+00,-4.659025e+00,-4.831122e+00,-4.851559e+00,-4.717437e+00,-4.431767e+00,-4.003355e+00,-3.446461e+00,-2.780263e+00,-2.028113e+00,-1.216647e+00,-3.747624e-01,4.674926e-01,1.280068e+00,2.034065e+00,2.702846e+00,3.263057e+00,3.695518e+00,3.985963e+00,4.125581e+00,4.111357e+00,3.946184e+00,3.638747e+00,3.203189e+00,2.658568e+00,2.028114e+00,1.338344e+00,6.180342e-01,-1.028846e-01,-7.944849e-01,-1.427986e+00,-1.976868e+00,-2.417897e+00,-2.732011e+00,-2.905058e+00,-2.928347e+00,-2.798974e+00,-2.519947e+00,-2.100065e+00,-1.553580e+00,-8.996575e-01,-1.616395e-01,6.338526e-01,1.457937e+00,2.280583e+00,3.071760e+00,3.802591e+00,4.446461e+00,4.980040e+00,5.384174e+00,5.644625e+00,5.752614e+00,5.705154e+00,5.505173e+00,5.161388e+00,4.687980e+00,4.104043e+00,3.432847e+00,2.700948e+00,1.937166e+00,1.171472e+00,4.338409e-01,-2.469045e-01,-8.441974e-01,-1.334754e+00,-1.699465e+00,-1.924128e+00,-2.000000e+00,-1.924128e+00,-1.699464e+00,-1.334755e+00,-8.441982e-01,-2.469045e-01,4.338408e-01,1.171473e+00,1.937166e+00,2.700949e+00,3.432847e+00,4.104043e+00,4.687980e+00,5.161387e+00,5.505172e+00,5.705154e+00,5.752613e+00,5.644625e+00,5.384174e+00,4.980040e+00,4.446461e+00,3.802591e+00,3.071760e+00,2.280583e+00,1.457937e+00,6.338525e-01,-1.616396e-01,-8.996575e-01,-1.553579e+00,-2.100064e+00,-2.519948e+00,-2.798975e+00,-2.928347e+00,-2.905058e+00,-2.732011e+00,-2.417898e+00,-1.976869e+00,-1.427986e+00,-7.944845e-01,-1.028850e-01,6.180341e-01,1.338344e+00,2.028114e+00,2.658567e+00,3.203190e+00,3.638747e+00,3.946184e+00,4.111358e+00,4.125581e+00,3.985962e+00,3.695518e+00,3.263057e+00,2.702846e+00,2.034064e+00,1.280067e+00,4.674920e-01,-3.747633e-01,-1.216647e+00,-2.028113e+00,-2.780263e+00,-3.446461e+00,-4.003354e+00,-4.431767e+00,-4.717437e+00,-4.851559e+00,-4.831122e+00,-4.659025e+00,-4.343962e+00,-3.900081e+00,-3.346448e+00,-2.706305e+00,-2.006175e+00,-1.274848e+00,-5.422627e-01,1.616393e-01,8.080676e-01,1.370490e+00,1.825656e+00,2.154492e+00,2.342831e+00,2.381966e+00,2.268980e+00,2.006862e+00,1.604395e+00,1.075814e+00,4.402674e-01,-2.789204e-01,-1.055148e+00,-1.859553e+00,-2.662122e+00,-3.432847e+00,-4.142869e+00,-4.765593e+00,-5.277711e+00,-5.660092e+00,-5.898518e+00,-5.984230e+00,-5.914265e+00,-5.691571e+00,-5.324892e+00,-4.828427e+00,-4.221294e+00,-3.526787e+00,-2.771485e+00,-1.984229e+00,-1.195015e+00,-4.338406e-01,2.704475e-01,8.912609e-01,1.405291e+00,1.793405e+00,2.041379e+00,2.140447e+00,2.087632e+00,1.885864e+00,1.543866e+00,1.075813e+00,5.007964e-01,-1.579221e-01,-8.737996e-01,-1.618034e+00,-2.360671e+00,-3.071760e+00,-3.722503e+00,-4.286365e+00,-4.740092e+00,-5.064612e+00,-5.245765e+00,-5.274848e+00,-5.148955e+00,-4.871089e+00,-4.450046e+00,-3.900080e+00,-3.240364e+00,-2.494241e+00,-1.688342e+00,-8.515586e-01,-1.393449e-02,7.944847e-01,1.544805e+00,2.210393e+00,2.767896e+00,3.198138e+00,3.486855e+00,3.625237e+00,3.610272e+00,3.444852e+00,3.137662e+00,2.702846e+00,2.159460e+00,1.530734e+00,8.431832e-01,1.255811e-01,-5.921449e-01,-1.280068e+00,-1.909413e+00,-2.453665e+00,-2.889591e+00,-3.198138e+00,-3.365158e+00,-3.381965e+00,-3.245665e+00,-2.959269e+00,-2.531583e+00,-1.976869e+00,-1.314300e+00,-5.672265e-01,2.377218e-01,1.071653e+00,1.904529e+00,2.706305e+00,3.448095e+00,4.103275e+00,4.648502e+00,5.064612e+00,5.337355e+00,5.457937e+00,5.423363e+00,5.236545e+00,4.906189e+00,4.446461e+00,3.876442e+00,3.219390e+00,2.501845e+00,1.752613e+00,1.001652e+00,2.789205e-01,-3.867718e-01,-9.688737e-01,-1.444116e+00,-1.793405e+00,-2.002554e+00,-2.062834e+00,-1.971308e+00,-1.730943e+00,-1.350502e+00,-8.441981e-01,-2.311572e-01,4.653198e-01,1.218652e+00,2.000000e+00,2.779374e+00,3.526787e+00,4.213405e+00,4.812656e+00,5.301255e+00,5.660092e+00,5.874974e+00,5.937166e+00,5.843728e+00,5.597631e+00,5.207640e+00,4.687980e+00,4.057790e+00,3.340388e+00,2.562374e+00,1.752613e+00,9.411229e-01,1.579220e-01,-5.681200e-01,-1.210393e+00,-1.745568e+00,-2.154491e+00,-2.422919e+00,-2.542063e+00,-2.508927e+00,-2.326424e+00,-2.003255e+00,-1.553580e+00,-9.964672e-01,-3.551633e-01,3.438057e-01,1.071653e+00,1.798444e+00,2.494241e+00,3.130262e+00,3.679986e+00,4.120174e+00,4.431767e+00,4.600617e+00,4.618034e+00,4.481123e+00,4.192898e+00,3.762165e+00,3.203190e+00,2.535150e+00,1.781400e+00,9.685777e-01,1.255806e-01,-7.175396e-01,-1.530734e+00,-2.285103e+00,-2.954008e+00,-3.514094e+00,-3.946185e+00,-4.236010e+00,-4.374763e+00,-4.359427e+00,-4.192897e+00,-3.883861e+00,-3.446462e+00,-2.899757e+00,-2.266983e+00,-1.574657e+00,-8.515586e-01,-1.276198e-01,5.672264e-01,1.204198e+00,1.756773e+00,2.201711e+00,2.519947e+00,2.697327e+00,2.725152e+00,2.600517e+00,2.326424e+00,1.911665e+00,1.370490e+00,7.220587e-01,-1.029217e-02,-7.999491e-01,-1.618035e+00,-2.434522e+00,-3.219390e+00,-3.943765e+00,-4.581040e+00,-5.107891e+00,-5.505172e+00,-5.758650e+00,-5.859553e+00,-5.804903e+00,-5.597631e+00,-5.246466e+00,-4.765594e+00,-4.174115e+00,-3.495308e+00,-2.755738e+00,-1.984229e+00,-1.210763e+00,-4.653196e-01,2.232679e-01,8.284271e-01,1.326865e+00,1.699465e+00,1.932017e+00,2.015770e+00,1.947764e+00,1.730944e+00,1.374045e+00,8.912609e-01,3.016934e-01,-3.713790e-01,-1.101400e+00,-1.859553e+00,-2.615870e+00,-3.340388e+00,-4.004294e+00,-4.581040e+00,-5.047362e+00,-5.384174e+00,-5.577302e+00,-5.618033e+00,-5.503450e+00,-5.236544e+00,-4.826100e+00,-4.286365e+00,-3.636495e+00,-2.899828e+00,-2.102985e+00,-1.274848e+00,-4.454529e-01,3.551632e-01,1.098114e+00,1.756774e+00,2.307796e+00,2.732011e+00,3.015160e+00,3.148441e+00,3.128845e+00,2.959269e+00,2.648403e+00,2.210393e+00,1.664299e+00,1.033354e+00,3.440749e-01,-3.747628e-01,-1.093230e+00,-1.781400e+00,-2.410498e+00,-2.954008e+00,-3.388699e+00,-3.695518e+00,-3.860319e+00,-3.874418e+00,-3.734925e+00,-3.444852e+00,-3.013011e+00,-2.453665e+00,-1.785994e+00,-1.033353e+00,-2.223782e-01,6.180345e-01,1.457838e+00,2.266983e+00,3.016577e+00,3.679986e+00,4.233859e+00,4.659025e+00,4.941224e+00,5.071653e+00,5.047308e+00,4.871089e+00,4.551693e+00,4.103275e+00,3.544904e+00,2.899828e+00,2.194575e+00,1.457937e+00,7.198612e-01,1.029289e-02,-6.419711e-01,-1.210393e+00,-1.671717e+00,-2.006862e+00,-2.201657e+00,-2.247386e+00,-2.141128e+00,-1.885864e+00,-1.490369e+00,-9.688745e-01,-3.405195e-01,3.713791e-01,1.140226e+00,1.937166e+00,2.732195e+00,3.495308e+00,4.197658e+00,4.812656e+00,5.317002e+00,5.691571e+00,5.922154e+00,6.000000e+00,5.922154e+00,5.691572e+00,5.317002e+00,4.812656e+00,4.197658e+00,3.495308e+00,2.732195e+00,1.937166e+00,1.140226e+00,3.713793e-01,-3.405197e-01,-9.688740e-01,-1.490369e+00,-1.885864e+00,-2.141128e+00,-2.247387e+00,-2.201657e+00,-2.006862e+00,-1.671718e+00,-1.210394e+00,-6.419711e-01,1.029277e-02,7.198613e-01);
  7. end package sine_cosine_data;