Browse Source

Initalized top level design

top_level_design
sessleral71711 1 year ago
parent
commit
6a72019f25
1 changed files with 8 additions and 0 deletions
  1. 8
    0
      Top/Top.sv

+ 8
- 0
Top/Top.sv View File

`include "../spi_interface.v" `include "../spi_interface.v"
`include "../fsm/Fsm.sv" `include "../fsm/Fsm.sv"
<<<<<<< HEAD
`include "../Bus_if/Bus_if.sv" `include "../Bus_if/Bus_if.sv"
=======
>>>>>>> b8d8341 (Initalized top level design)


module Top( module Top(
input wire clk input wire clk
); );
// Bus (Interface) // Bus (Interface)
<<<<<<< HEAD
Bus_if bus(.clk(clk)); Bus_if bus(.clk(clk));
// SPI Interface // SPI Interface
// FSM // FSM
.outSendData(bus.SendData), .outSendData(bus.SendData),
.outTimerEN(bus.TimerEN) .outTimerEN(bus.TimerEN)
); );
=======
// SPI Interface
// FSM
>>>>>>> b8d8341 (Initalized top level design)
// Parallelport // Parallelport
// FRAM-Controller // FRAM-Controller
// Timer // Timer

Loading…
Cancel
Save