Browse Source

modports angepasst

main
sessleral71711 1 year ago
parent
commit
97113a9804
1 changed files with 4 additions and 8 deletions
  1. 4
    8
      spi_interface_radiant/spi_interface.sv

spi_interface_radiant/spi_interface.v → spi_interface_radiant/spi_interface.sv View File

@@ -30,16 +30,12 @@ interface spi_interface_ports (input clk);
logic spi1_sck_io; // Clock for SPI-Slave
// MODPORT form BUS perspective (internal)
// modport output from BUS (internal)
modport BUS (output sb_clk_i, sb_stb_i, sb_wr_i, sb_adr_i, sb_dat_i, spi1_miso_io);
// modport input to BUS (internal)
modport BUS (input sb_dat_o, sb_ack_o, spi1_mosi_io, spi1_mcs_n_o, spi_sck_io);
// modport input and output from BUS (internal)
modport BUS (output sb_clk_i, sb_stb_i, sb_wr_i, sb_adr_i, sb_dat_i, spi1_miso_io, input sb_dat_o, sb_ack_o, spi1_mosi_io, spi1_mcs_n_o, spi1_sck_io);
// MODPORT from SPI perspective (external)
// modport output from SPI (external)
modport SPI (output spi1_miso_io);
// modport input to SPI (external)
modport SPI (input spi1_mosi_io, spi1_mcs_n_o, spi_sck_io);
// modport input and output from SPI (external)
modport SPI (output spi1_miso_io, input spi1_mosi_io, spi1_mcs_n_o, spi1_sck_io);

endinterface


Loading…
Cancel
Save