module Clk_generator #(CLK_PERIOD = 2) (output logic clk); initial #0 clk <= 0; always #(CLK_PERIOD/2) clk=~clk; endmodule