Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
sessleral71711 019dc2868e Added parallelport, timer and ampelsteuerung 1 year ago
..
LED_control.sv Ampel 1 year ago
rgb_led_top.sv Added parallelport, timer and ampelsteuerung 1 year ago
testbench.sv Ampel 1 year ago
testbench_random.sv Ampel 1 year ago