Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Top.sv 1.7KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172
  1. `include "../spi_interface.v"
  2. `include "../fsm/Fsm.sv"
  3. <<<<<<< HEAD
  4. <<<<<<< HEAD
  5. =======
  6. >>>>>>> b8d8341 (Initalized top level design)
  7. =======
  8. `include "../Bus_if/Bus_if.sv"
  9. <<<<<<< HEAD
  10. >>>>>>> c93bdaf (Added bus_if and fsm to top level design)
  11. =======
  12. `include "../timer_port/timer_top.sv"
  13. >>>>>>> 026899b (Added parallelport, timer and ampelsteuerung)
  14. module Top(
  15. input wire clk,
  16. input wire rst,
  17. input wire endOfConv,
  18. output wire LEDg,
  19. output wire LEDr,
  20. output wire AlarmAmpel
  21. );
  22. // Bus (Interface)
  23. Bus_if bus(.clk(clk));
  24. // SPI Interface
  25. // FSM
  26. <<<<<<< HEAD
  27. >>>>>>> b8d8341 (Initalized top level design)
  28. =======
  29. Fsm fsm(
  30. .clk(clk),
  31. .inAlarmAmpel(bus.AlarmAmpel),
  32. .inDataValid(bus.DataValid),
  33. .inTasteAktiv(bus.TasteAktiv),
  34. .outAlarm_R(bus.Alarm_R),
  35. .outSendData(bus.SendData),
  36. .outTimerEN(bus.TimerEN)
  37. );
  38. >>>>>>> c93bdaf (Added bus_if and fsm to top level design)
  39. // Parallelport
  40. parallelport parallelport1 (
  41. .inClk(clk),
  42. .inTimerMeas(bus.TimerMeas),
  43. .inEndOfConv(endOfConv),
  44. .inData(bus.Data),
  45. .outDataValid(bus.DataValid),
  46. .outData(bus.Data)
  47. );
  48. // FRAM-Controller
  49. // Timer
  50. timer timer1 (
  51. .inClk(clk),
  52. .inTaste(bus.Taste),
  53. .inEN(bus.TimerEN),
  54. .outReadTemp(bus.ReadTemp),
  55. .outTasteAktiv(bus.TasteAktiv)
  56. );
  57. // Ampelsteuerung
  58. led_top ampelsteuerung (
  59. .clk12M(clk),
  60. .rst(rst),
  61. .data_input(bus.Data),
  62. .data_valid(bus.DataValid),
  63. .RED(LEDr),
  64. .GRN(LEDg),
  65. .alarm(bus.AlarmAmpel)
  66. );
  67. assign AlarmAmpel = bus.AlarmAmpel;
  68. endmodule