Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Top.sv 378B

12345678910111213141516171819202122
  1. `include "../spi_interface.v"
  2. `include "../fsm/Fsm.sv"
  3. <<<<<<< HEAD
  4. =======
  5. >>>>>>> b8d834144be80086a32a76f1769deccce6eaee15
  6. module Top(
  7. input wire clk
  8. );
  9. // Bus (Interface)
  10. <<<<<<< HEAD
  11. =======
  12. // SPI Interface
  13. // FSM
  14. >>>>>>> b8d834144be80086a32a76f1769deccce6eaee15
  15. // Parallelport
  16. // FRAM-Controller
  17. // Timer
  18. // Ampelsteuerung
  19. endmodule