Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Top.sv 2.0KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283
  1. `include "../spi_interface.v"
  2. `include "../fsm/Fsm.sv"
  3. <<<<<<< HEAD
  4. <<<<<<< HEAD
  5. <<<<<<< HEAD
  6. =======
  7. >>>>>>> b8d8341 (Initalized top level design)
  8. =======
  9. `include "../Bus_if/Bus_if.sv"
  10. <<<<<<< HEAD
  11. >>>>>>> c93bdaf (Added bus_if and fsm to top level design)
  12. =======
  13. `include "../timer_port/timer_top.sv"
  14. >>>>>>> 026899b (Added parallelport, timer and ampelsteuerung)
  15. =======
  16. `include "../Bus_if/Bus_if.sv"
  17. `include "../timer_port/timer_top.sv"
  18. >>>>>>> 026899b930835597e8ea85d65177e75bdc2b1a06
  19. module Top(
  20. input wire clk,
  21. input wire rst,
  22. input wire endOfConv,
  23. output wire LEDg,
  24. output wire LEDr,
  25. output wire AlarmAmpel
  26. );
  27. // Bus (Interface)
  28. Bus_if bus(.clk(clk));
  29. // SPI Interface
  30. // FSM
  31. <<<<<<< HEAD
  32. <<<<<<< HEAD
  33. >>>>>>> b8d8341 (Initalized top level design)
  34. =======
  35. =======
  36. >>>>>>> 026899b930835597e8ea85d65177e75bdc2b1a06
  37. Fsm fsm(
  38. .clk(clk),
  39. .inAlarmAmpel(bus.AlarmAmpel),
  40. .inDataValid(bus.DataValid),
  41. .inTasteAktiv(bus.TasteAktiv),
  42. .outAlarm_R(bus.Alarm_R),
  43. .outSendData(bus.SendData),
  44. .outTimerEN(bus.TimerEN)
  45. );
  46. <<<<<<< HEAD
  47. >>>>>>> c93bdaf (Added bus_if and fsm to top level design)
  48. =======
  49. >>>>>>> 026899b930835597e8ea85d65177e75bdc2b1a06
  50. // Parallelport
  51. parallelport parallelport1 (
  52. .inClk(clk),
  53. .inTimerMeas(bus.TimerMeas),
  54. .inEndOfConv(endOfConv),
  55. .inData(bus.Data),
  56. .outDataValid(bus.DataValid),
  57. .outData(bus.Data)
  58. );
  59. // FRAM-Controller
  60. // Timer
  61. timer timer1 (
  62. .inClk(clk),
  63. .inTaste(bus.Taste),
  64. .inEN(bus.TimerEN),
  65. .outReadTemp(bus.ReadTemp),
  66. .outTasteAktiv(bus.TasteAktiv)
  67. );
  68. // Ampelsteuerung
  69. led_top ampelsteuerung (
  70. .clk12M(clk),
  71. .rst(rst),
  72. .data_input(bus.Data),
  73. .data_valid(bus.DataValid),
  74. .RED(LEDr),
  75. .GRN(LEDg),
  76. .alarm(bus.AlarmAmpel)
  77. );
  78. assign AlarmAmpel = bus.AlarmAmpel;
  79. endmodule