Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Bus_if.sv 434B

12345678910111213141516171819202122
  1. interface Bus_if (input clk);
  2. logic sbclk;
  3. logic sbstb;
  4. logic sbrw;
  5. logic[7:0] sbadr;
  6. logic[7:0] sbdat_r;
  7. logic[7:0] sbdat_w;
  8. logic sback;
  9. logic[9:0] Data;
  10. logic SendData;
  11. logic TimerMeas;
  12. logic Clk;
  13. logic DataValid;
  14. logic AlarmAmpel;
  15. logic TasteAktiv;
  16. logic Alarm_R;
  17. logic TimerEN;
  18. logic Taste;
  19. logic ReadTemp;
  20. logic LEDg;
  21. logic LEDr;
  22. endinterface //Bus