Projektdaten für das ESY1B Praktikum im Sommersemester 2022
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Top.sv 552B

1234567891011121314151617181920212223242526
  1. `include "../spi_interface.v"
  2. `include "../fsm/Fsm.sv"
  3. `include "../Bus_if/Bus_if.sv"
  4. module Top(
  5. input wire clk
  6. );
  7. // Bus (Interface)
  8. Bus_if bus(.clk(clk));
  9. // SPI Interface
  10. // FSM
  11. Fsm fsm(
  12. .clk(clk),
  13. .inAlarmAmpel(bus.AlarmAmpel),
  14. .inDataValid(bus.DataValid),
  15. .inTasteAktiv(bus.TasteAktiv),
  16. .outAlarm_R(bus.Alarm_R),
  17. .outSendData(bus.SendData),
  18. .outTimerEN(bus.TimerEN)
  19. );
  20. // Parallelport
  21. // FRAM-Controller
  22. // Timer
  23. // Ampelsteuerung
  24. endmodule