onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate -radix binary /top_tb/stim_dip_if/dip add wave -noupdate -radix binary /top_tb/t1/fpga_bus/dip add wave -noupdate -radix binary /top_tb/stim_clock_if/clk add wave -noupdate -radix binary /top_tb/t1/fpga_bus/clk add wave -noupdate -radix binary /top_tb/t1/fpga_bus/timer add wave -noupdate -radix hexadecimal /top_tb/t1/s/FRAM_Adr add wave -noupdate -radix binary /top_tb/t1/s/clk_cntr add wave -noupdate -radix hexadecimal /top_tb/t1/s/FRAM_DATA_IN add wave -noupdate -radix hexadecimal /top_tb/t1/s/FRAM_DATA_OUT add wave -noupdate -radix binary /top_tb/t1/f/mosi add wave -noupdate -radix binary /top_tb/t1/f/sclk add wave -noupdate -radix binary /top_tb/t1/fpga_bus/spi_read TreeUpdate [SetDefaultTree] WaveRestoreCursors {{Cursor 1} {0 ns} 0} quietly wave cursor active 0 configure wave -namecolwidth 150 configure wave -valuecolwidth 100 configure wave -justifyvalue left configure wave -signalnamewidth 0 configure wave -snapdistance 10 configure wave -datasetprefix 0 configure wave -rowmargin 4 configure wave -childrowmargin 2 configure wave -gridoffset 0 configure wave -gridperiod 1 configure wave -griddelta 40 configure wave -timeline 0 configure wave -timelineunits ns update WaveRestoreZoom {50 ns} {1050 ns}