You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

modelsim.ini 94KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181118211831184118511861187118811891190119111921193119411951196119711981199120012011202120312041205120612071208120912101211121212131214121512161217121812191220122112221223122412251226122712281229123012311232123312341235123612371238123912401241124212431244124512461247124812491250125112521253125412551256125712581259126012611262126312641265126612671268126912701271127212731274127512761277127812791280128112821283128412851286128712881289129012911292129312941295129612971298129913001301130213031304130513061307130813091310131113121313131413151316131713181319132013211322132313241325132613271328132913301331133213331334133513361337133813391340134113421343134413451346134713481349135013511352135313541355135613571358135913601361136213631364136513661367136813691370137113721373137413751376137713781379138013811382138313841385138613871388138913901391139213931394139513961397139813991400140114021403140414051406140714081409141014111412141314141415141614171418141914201421142214231424142514261427142814291430143114321433143414351436143714381439144014411442144314441445144614471448144914501451145214531454145514561457145814591460146114621463146414651466146714681469147014711472147314741475147614771478147914801481148214831484148514861487148814891490149114921493149414951496149714981499150015011502150315041505150615071508150915101511151215131514151515161517151815191520152115221523152415251526152715281529153015311532153315341535153615371538153915401541154215431544154515461547154815491550155115521553155415551556155715581559156015611562156315641565156615671568156915701571157215731574157515761577157815791580158115821583158415851586158715881589159015911592159315941595159615971598159916001601160216031604160516061607160816091610161116121613161416151616161716181619162016211622162316241625162616271628162916301631163216331634163516361637163816391640164116421643164416451646164716481649165016511652165316541655165616571658165916601661166216631664166516661667166816691670167116721673167416751676167716781679168016811682168316841685168616871688168916901691169216931694169516961697169816991700170117021703170417051706170717081709171017111712171317141715171617171718171917201721172217231724172517261727172817291730173117321733173417351736173717381739174017411742174317441745174617471748174917501751175217531754175517561757175817591760176117621763176417651766176717681769177017711772177317741775177617771778177917801781178217831784178517861787178817891790179117921793179417951796179717981799180018011802180318041805180618071808180918101811181218131814181518161817181818191820182118221823182418251826182718281829183018311832183318341835183618371838183918401841184218431844184518461847184818491850185118521853185418551856185718581859186018611862186318641865186618671868186918701871187218731874187518761877187818791880188118821883188418851886188718881889189018911892189318941895189618971898189919001901190219031904190519061907190819091910191119121913191419151916191719181919192019211922192319241925192619271928192919301931193219331934193519361937193819391940194119421943194419451946194719481949195019511952195319541955195619571958195919601961196219631964196519661967196819691970197119721973197419751976197719781979198019811982198319841985198619871988198919901991199219931994199519961997199819992000200120022003200420052006200720082009201020112012201320142015201620172018201920202021202220232024202520262027202820292030203120322033203420352036203720382039204020412042204320442045204620472048204920502051205220532054205520562057205820592060206120622063206420652066206720682069207020712072207320742075207620772078207920802081208220832084208520862087208820892090209120922093209420952096209720982099210021012102210321042105210621072108210921102111211221132114211521162117211821192120212121222123212421252126212721282129213021312132213321342135213621372138213921402141214221432144214521462147214821492150215121522153215421552156215721582159216021612162216321642165216621672168216921702171
  1. ; vsim modelsim.ini file
  2. [Version]
  3. INIVersion = "2019.4"
  4. ; Copyright 1991-2019 Mentor Graphics Corporation
  5. ;
  6. ; All Rights Reserved.
  7. ;
  8. ; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
  9. ; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
  10. ;
  11. [Library]
  12. others = $MODEL_TECH/../modelsim.ini
  13. ;
  14. ; VITAL concerns:
  15. ;
  16. ; The library ieee contains (among other packages) the packages of the
  17. ; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use
  18. ; the physical library ieee (recommended), or use the physical library
  19. ; vital2000, but not both. The design can use logical library ieee and/or
  20. ; vital2000 as long as each of these maps to the same physical library, either
  21. ; ieee or vital2000.
  22. ;
  23. ; A design using the 1995 version of the VITAL packages, whether or not
  24. ; it also uses the 2000 version of the VITAL packages, must have logical library
  25. ; name ieee mapped to physical library vital1995. (A design cannot use library
  26. ; vital1995 directly because some packages in this library use logical name ieee
  27. ; when referring to the other packages in the library.) The design source
  28. ; should use logical name ieee when referring to any packages there except the
  29. ; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical
  30. ; name vital2000 (mapped to physical library vital2000) to refer to those
  31. ; packages.
  32. ; ieee = $MODEL_TECH/../vital1995
  33. ;
  34. ; For compatiblity with previous releases, logical library name vital2000 maps
  35. ; to library vital2000 (a different library than library ieee, containing the
  36. ; same packages).
  37. ; A design should not reference VITAL from both the ieee library and the
  38. ; vital2000 library because the vital packages are effectively different.
  39. ; A design that references both the ieee and vital2000 libraries must have
  40. ; both logical names ieee and vital2000 mapped to the same library, either of
  41. ; these:
  42. ; $MODEL_TECH/../ieee
  43. ; $MODEL_TECH/../vital2000
  44. ;
  45. ; added mapping for ADMS
  46. ;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release
  47. ;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
  48. ;mvc_lib = $MODEL_TECH/../mvc_lib
  49. ; Automatically perform logical->physical mapping for physical libraries that
  50. ; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
  51. ; The tail of the filesystem path name is chosen as the logical library name.
  52. ; For example, in the command "vopt -L ./path/to/lib1 -o opttop top",
  53. ; vopt automatically performs the mapping "lib1 -> ./path/to/lib1".
  54. ; See the User Manual for more details.
  55. ;
  56. ; AutoLibMapping = 0
  57. work = ./work
  58. [DefineOptionset]
  59. ; Define optionset entries for the various compilers, vmake, and vsim.
  60. ; These option sets can be used with the "-optionset <optionsetname>" syntax.
  61. ; i.e.
  62. ; vlog -optionset COMPILEDEBUG top.sv
  63. ; vsim -optionset UVMDEBUG my_top
  64. ;
  65. ; Following are some useful examples.
  66. ; define a vsim optionset for uvm debugging
  67. UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
  68. ; define a vopt optionset for debugging
  69. VOPTDEBUG = +acc -debugdb
  70. [encryption]
  71. ; For vencrypt and vhencrypt.
  72. ; Controls whether to encrypt whole files by ignoring all protect directives
  73. ; (except "viewport" and "interface_viewport") that are present in the input.
  74. ; The default is 0, use embedded protect directives to control the encryption.
  75. ; Set this to 1 to encrypt whole files by ignoring embedded protect directives.
  76. ; wholefile = 0
  77. ; Sets the data_method to use for the symmetric session key.
  78. ; The session key is a symmetric key that is randomly generated for each
  79. ; protected region (envelope) and is the heart of all encryption. This is used
  80. ; to set the length of the session key to generate and use when encrypting the
  81. ; HDL text. Supported values are aes128, aes192, and aes256.
  82. ; data_method = aes128
  83. ; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption
  84. ; "recipe" comprising an optional common block, at least one tool block (which
  85. ; contains the key public key), and the text to be encrypted. The common block
  86. ; and any of the tool blocks may contain rights in the form of the "control"
  87. ; directive. The text to be encrypted is specified either by setting
  88. ; "wholefile" to 1 or by embedding protect "begin" and "end" directives in
  89. ; the input HDL files.
  90. ; Common recipe specification file. This file is optional. Its presence will
  91. ; require at least one "toolblock" to be specified.
  92. ; Directives such as "author" "author_info" and "data_method",
  93. ; as well as the common block license specification, go in this file.
  94. ; common = <file name>
  95. ; Tool block specification recipe(s). Public key file with optional tool block
  96. ; file name. May be multiply-defined; at least one tool block is required if
  97. ; a recipe is being specified.
  98. ; Key file is a file name with no extension (.deprecated or .active will be
  99. ; supplied by the encryption tool).
  100. ; Rights file name is optional.
  101. ; toolblock = <key file name>[,<rights file name>]{:<key file name>[,<rights file name>]}
  102. ; Location of directory containing recipe files.
  103. ; The default location is in the product installation directory.
  104. ; keyring = $MODEL_TECH/../keyring
  105. ; Enable encryption statistics. Specify one or more arguments:
  106. ; [all,none,time,cmd,msg,perf,verbose,list]
  107. ; Add '-' to disable specific statistics. Default is [cmd,msg].
  108. Stats = cmd,msg
  109. [vcom]
  110. ; VHDL93 variable selects language version as the default.
  111. ; Default is VHDL-2002.
  112. ; Value of 0 or 1987 for VHDL-1987.
  113. ; Value of 1 or 1993 for VHDL-1993.
  114. ; Default or value of 2 or 2002 for VHDL-2002.
  115. ; Value of 3 or 2008 for VHDL-2008
  116. ; Value of 4 or ams99 for VHDL-AMS-1999
  117. ; Value of 5 or ams07 for VHDL-AMS-2007
  118. VHDL93 = 2002
  119. ; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
  120. ; ignoreStandardRealVector = 1
  121. ; Show source line containing error. Default is off.
  122. ; Show_source = 1
  123. ; Turn off unbound-component warnings. Default is on.
  124. ; Show_Warning1 = 0
  125. ; Turn off process-without-a-wait-statement warnings. Default is on.
  126. ; Show_Warning2 = 0
  127. ; Turn off null-range warnings. Default is on.
  128. ; Show_Warning3 = 0
  129. ; Turn off no-space-in-time-literal warnings. Default is on.
  130. ; Show_Warning4 = 0
  131. ; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
  132. ; Show_Warning5 = 0
  133. ; Turn off optimization for IEEE std_logic_1164 package. Default is on.
  134. ; Optimize_1164 = 0
  135. ; Enable compiler statistics. Specify one or more arguments:
  136. ; [all,none,time,cmd,msg,perf,verbose,list]
  137. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  138. ; Stats = time,cmd,msg
  139. ; Turn on resolving of ambiguous function overloading in favor of the
  140. ; "explicit" function declaration (not the one automatically created by
  141. ; the compiler for each type declaration). Default is off.
  142. ; The .ini file has Explicit enabled so that std_logic_signed/unsigned
  143. ; will match the behavior of synthesis tools.
  144. Explicit = 1
  145. ; Turn off acceleration of the VITAL packages. Default is to accelerate.
  146. ; NoVital = 1
  147. ; Turn off VITAL compliance checking. Default is checking on.
  148. ; NoVitalCheck = 1
  149. ; Ignore VITAL compliance checking errors. Default is to not ignore.
  150. ; IgnoreVitalErrors = 1
  151. ; Turn off VITAL compliance checking warnings. Default is to show warnings.
  152. ; Show_VitalChecksWarnings = 0
  153. ; Turn off PSL assertion warning messages. Default is to show warnings.
  154. ; Show_PslChecksWarnings = 0
  155. ; Enable parsing of embedded PSL assertions. Default is enabled.
  156. ; EmbeddedPsl = 0
  157. ; Keep silent about case statement static warnings.
  158. ; Default is to give a warning.
  159. ; NoCaseStaticError = 1
  160. ; Keep silent about warnings caused by aggregates that are not locally static.
  161. ; Default is to give a warning.
  162. ; NoOthersStaticError = 1
  163. ; Treat as errors:
  164. ; case statement static warnings
  165. ; warnings caused by aggregates that are not locally static
  166. ; Overrides NoCaseStaticError, NoOthersStaticError settings.
  167. ; PedanticErrors = 1
  168. ; Turn off inclusion of debugging info within design units.
  169. ; Default is to include debugging info.
  170. ; NoDebug = 1
  171. ; Turn off "Loading..." messages. Default is messages on.
  172. ; Quiet = 1
  173. ; Turn on some limited synthesis rule compliance checking. Checks only:
  174. ; -- signals used (read) by a process must be in the sensitivity list
  175. ; CheckSynthesis = 1
  176. ; Activate optimizations on expressions that do not involve signals,
  177. ; waits, or function/procedure/task invocations. Default is off.
  178. ; ScalarOpts = 1
  179. ; Turns on lint-style checking.
  180. ; Show_Lint = 1
  181. ; Require the user to specify a configuration for all bindings,
  182. ; and do not generate a compile time default binding for the
  183. ; component. This will result in an elaboration error of
  184. ; 'component not bound' if the user fails to do so. Avoids the rare
  185. ; issue of a false dependency upon the unused default binding.
  186. ; RequireConfigForAllDefaultBinding = 1
  187. ; Perform default binding at compile time.
  188. ; Default is to do default binding at load time.
  189. ; BindAtCompile = 1;
  190. ; Inhibit range checking on subscripts of arrays. Range checking on
  191. ; scalars defined with subtypes is inhibited by default.
  192. ; NoIndexCheck = 1
  193. ; Inhibit range checks on all (implicit and explicit) assignments to
  194. ; scalar objects defined with subtypes.
  195. ; NoRangeCheck = 1
  196. ; Set the prefix to be honored for synthesis/coverage pragma recognition.
  197. ; Default is "".
  198. ; AddPragmaPrefix = ""
  199. ; Ignore synthesis and coverage pragmas with this prefix.
  200. ; Default is "".
  201. ; IgnorePragmaPrefix = ""
  202. ; Turn on code coverage in VHDL design units. Default is off.
  203. ; Coverage = sbceft
  204. ; Turn off code coverage in VHDL subprograms. Default is on.
  205. ; CoverSub = 0
  206. ; Automatically exclude VHDL case statement OTHERS choice branches.
  207. ; This includes OTHERS choices in selected signal assigment statements.
  208. ; Default is to not exclude.
  209. ; CoverExcludeDefault = 1
  210. ; Control compiler and VOPT optimizations that are allowed when
  211. ; code coverage is on. Refer to the comment for this in the [vlog] area.
  212. ; CoverOpt = 3
  213. ; Turn on or off clkOpt optimization for code coverage. Default is on.
  214. ; CoverClkOpt = 1
  215. ; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
  216. ; CoverClkOptBuiltins = 0
  217. ; Inform code coverage optimizations to respect VHDL 'H' and 'L'
  218. ; values on signals in conditions and expressions, and to not automatically
  219. ; convert them to '1' and '0'. Default is to not convert.
  220. ; CoverRespectHandL = 0
  221. ; Increase or decrease the maximum number of rows allowed in a UDP table
  222. ; implementing a VHDL condition coverage or expression coverage expression.
  223. ; More rows leads to a longer compile time, but more expressions covered.
  224. ; CoverMaxUDPRows = 192
  225. ; Increase or decrease the maximum number of input patterns that are present
  226. ; in FEC table. This leads to a longer compile time with more expressions
  227. ; covered with FEC metric.
  228. ; CoverMaxFECRows = 192
  229. ; Increase or decrease the limit on the size of expressions and conditions
  230. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  231. ; to higher compile, optimize and simulation time, but more expressions and
  232. ; conditions are considered for coverage in the design. FecUdpEffort can
  233. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  234. ; 1 - (low) Only small expressions and conditions considered for coverage.
  235. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  236. ; 3 - (high) Very large expressions and conditions considered for coverage.
  237. ; The default setting is 1 (low).
  238. ; FecUdpEffort = 1
  239. ; Enable or disable Focused Expression Coverage analysis for conditions and
  240. ; expressions. Focused Expression Coverage data is provided by default when
  241. ; expression and/or condition coverage is active.
  242. ; CoverFEC = 0
  243. ; Enable or disable UDP Coverage analysis for conditions and expressions.
  244. ; UDP Coverage data is disabled by default when expression and/or condition
  245. ; coverage is active.
  246. ; CoverUDP = 1
  247. ; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
  248. ; Disabling this would convert non-masking conditions in FEC tables to matching
  249. ; input patterns.
  250. ; CoverREC = 1
  251. ; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
  252. ; for expression/condition coverage.
  253. ; NOTE: Enabling this may have a negative impact on simulation performance.
  254. ; CoverExpandReductionPrefix = 0
  255. ; Enable or disable short circuit evaluation of conditions and expressions when
  256. ; condition or expression coverage is active. Short circuit evaluation is enabled
  257. ; by default.
  258. ; CoverShortCircuit = 0
  259. ; Enable code coverage reporting of code that has been optimized away.
  260. ; The default is not to report.
  261. ; CoverReportCancelled = 1
  262. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  263. ; Default is no deglitching.
  264. ; CoverDeglitchOn = 1
  265. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  266. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  267. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  268. ; CoverDeglitchPeriod = 0
  269. ; Use this directory for compiler temporary files instead of "work/_temp"
  270. ; CompilerTempDir = /tmp
  271. ; Set this to cause the compilers to force data to be committed to disk
  272. ; when the files are closed.
  273. ; SyncCompilerFiles = 1
  274. ; Add VHDL-AMS declarations to package STANDARD
  275. ; Default is not to add
  276. ; AmsStandard = 1
  277. ; Range and length checking will be performed on array indices and discrete
  278. ; ranges, and when violations are found within subprograms, errors will be
  279. ; reported. Default is to issue warnings for violations, because subprograms
  280. ; may not be invoked.
  281. ; NoDeferSubpgmCheck = 0
  282. ; Turn ON detection of FSMs having single bit current state variable.
  283. ; FsmSingle = 1
  284. ; Turn off reset state transitions in FSM.
  285. ; FsmResetTrans = 0
  286. ; Turn ON detection of FSM Implicit Transitions.
  287. ; FsmImplicitTrans = 1
  288. ; Controls whether or not to show immediate assertions with constant expressions
  289. ; in GUI/report/UCDB etc. By default, immediate assertions with constant
  290. ; expressions are shown in GUI/report/UCDB etc. This does not affect
  291. ; evaluation of immediate assertions.
  292. ; ShowConstantImmediateAsserts = 0
  293. ; Controls how VHDL basic identifiers are stored with the design unit.
  294. ; Does not make the language case-sensitive, affects only how declarations
  295. ; declared with basic identifiers have their names stored and printed
  296. ; (in the GUI, examine, etc.).
  297. ; Default is to preserve the case as originally depicted in the VHDL source.
  298. ; Value of 0 indicates to change all basic identifiers to lower case.
  299. ; PreserveCase = 0
  300. ; For Configuration Declarations, controls the effect that USE clauses have
  301. ; on visibility inside the configuration items being configured. If 1
  302. ; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
  303. ; extend the visibility of objects made visible through USE clauses into nested
  304. ; component configurations.
  305. ; OldVHDLConfigurationVisibility = 0
  306. ; Allows VHDL configuration declarations to be in a different library from
  307. ; the corresponding configured entity. Default is to not allow this for
  308. ; stricter LRM-compliance.
  309. ; SeparateConfigLibrary = 1;
  310. ; Determine how mode OUT subprogram parameters of type array and record are treated.
  311. ; If 0 (the default), then only VHDL 2008 will do this initialization.
  312. ; If 1, always initialize the mode OUT parameter to its default value.
  313. ; If 2, do not initialize the mode OUT out parameter.
  314. ; Note that prior to release 10.1, all language versions did not initialize mode
  315. ; OUT array and record type parameters, unless overridden here via this mechanism.
  316. ; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
  317. ; initialization, unless overridden here.
  318. ; InitOutCompositeParam = 0
  319. ; Generate symbols debugging database in only some special cases to save on
  320. ; the number of files in the library. For other design-units, this database is
  321. ; generated on-demand in vsim.
  322. ; Default is to to generate debugging database for all design-units.
  323. ; SmartDbgSym = 1
  324. ; Enable or disable automatic creation of missing libraries.
  325. ; Default is 1 (enabled)
  326. ; CreateLib = 1
  327. ; Describe compilation options according to matching file patterns.
  328. ; File pattern * matches all printing characters other than '/'.
  329. ; File pattern **/x matches all paths containing file/directory x.
  330. ; File pattern x/** matches all paths beginning at directory x.
  331. ; FileOptMap = (**/*.vhd => -2008);
  332. ; Describe library targets of compilation according to matching file patterns.
  333. ; LibMap = (**/*.vhd => work);
  334. [vlog]
  335. ; Turn off inclusion of debugging info within design units.
  336. ; Default is to include debugging info.
  337. ; NoDebug = 1
  338. ; Turn off "Loading..." messages. Default is messages on.
  339. ; Quiet = 1
  340. ; Turn on Verilog hazard checking (order-dependent accessing of global vars).
  341. ; Default is off.
  342. ; Hazard = 1
  343. ; Turn on converting regular Verilog identifiers to uppercase. Allows case
  344. ; insensitivity for module names. Default is no conversion.
  345. ; UpCase = 1
  346. ; Activate optimizations on expressions that do not involve signals,
  347. ; waits, or function/procedure/task invocations. Default is off.
  348. ; ScalarOpts = 1
  349. ; Turns on lint-style checking.
  350. ; Show_Lint = 1
  351. ; Show source line containing error. Default is off.
  352. ; Show_source = 1
  353. ; Turn on bad option warning. Default is off.
  354. ; Show_BadOptionWarning = 1
  355. ; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
  356. ; vlog95compat = 1
  357. ; Turn off PSL warning messages. Default is to show warnings.
  358. ; Show_PslChecksWarnings = 0
  359. ; Enable parsing of embedded PSL assertions. Default is enabled.
  360. ; EmbeddedPsl = 0
  361. ; Enable compiler statistics. Specify one or more arguments:
  362. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  363. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  364. ; Stats = time,cmd,msg
  365. ; Set the threshold for automatically identifying sparse Verilog memories.
  366. ; A memory with total size in bytes equal to or more than the sparse memory
  367. ; threshold gets marked as sparse automatically, unless specified otherwise
  368. ; in source code or by the +nosparse commandline option of vlog or vopt.
  369. ; The default is 1M. (i.e. memories with total size equal
  370. ; to or greater than 1Mb are marked as sparse)
  371. ; SparseMemThreshold = 1048576
  372. ; Set the prefix to be honored for synthesis and coverage pragma recognition.
  373. ; Default is "".
  374. ; AddPragmaPrefix = ""
  375. ; Ignore synthesis and coverage pragmas with this prefix.
  376. ; Default is "".
  377. ; IgnorePragmaPrefix = ""
  378. ; Set the option to treat all files specified in a vlog invocation as a
  379. ; single compilation unit. The default value is set to 0 which will treat
  380. ; each file as a separate compilation unit as specified in the P1800 draft standard.
  381. ; MultiFileCompilationUnit = 1
  382. ; Turn on code coverage in Verilog design units. Default is off.
  383. ; Coverage = sbceft
  384. ; Automatically exclude Verilog case statement default branches.
  385. ; Default is to not automatically exclude defaults.
  386. ; CoverExcludeDefault = 1
  387. ; Increase or decrease the maximum number of rows allowed in a UDP table
  388. ; implementing a VHDL condition coverage or expression coverage expression.
  389. ; More rows leads to a longer compile time, but more expressions covered.
  390. ; CoverMaxUDPRows = 192
  391. ; Increase or decrease the maximum number of input patterns that are present
  392. ; in FEC table. This leads to a longer compile time with more expressions
  393. ; covered with FEC metric.
  394. ; CoverMaxFECRows = 192
  395. ; Enable Multi Bit Expression Coverage in a Design, If design has expression with
  396. ; multi bit operands, this option enables its Expression Coverage.
  397. ; The default value is 0.
  398. ; CoverFecMultiBit = 1
  399. ; Increase or decrease the limit on the size of expressions and conditions
  400. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  401. ; to higher compile, optimize and simulation time, but more expressions and
  402. ; conditions are considered for coverage in the design. FecUdpEffort can
  403. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  404. ; 1 - (low) Only small expressions and conditions considered for coverage.
  405. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  406. ; 3 - (high) Very large expressions and conditions considered for coverage.
  407. ; The default setting is 1 (low).
  408. ; FecUdpEffort = 1
  409. ; Enable or disable Focused Expression Coverage analysis for conditions and
  410. ; expressions. Focused Expression Coverage data is provided by default when
  411. ; expression and/or condition coverage is active.
  412. ; CoverFEC = 0
  413. ; Enable or disable UDP Coverage analysis for conditions and expressions.
  414. ; UDP Coverage data is disabled by default when expression and/or condition
  415. ; coverage is active.
  416. ; CoverUDP = 1
  417. ; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
  418. ; Disabling this would convert non-masking conditions in FEC tables to matching
  419. ; input patterns.
  420. ; CoverREC = 1
  421. ; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
  422. ; for expression/condition coverage.
  423. ; NOTE: Enabling this may have a negative impact on simulation performance.
  424. ; CoverExpandReductionPrefix = 0
  425. ; Enable or disable short circuit evaluation of conditions and expressions when
  426. ; condition or expression coverage is active. Short circuit evaluation is enabled
  427. ; by default.
  428. ; CoverShortCircuit = 0
  429. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  430. ; Default is no deglitching.
  431. ; CoverDeglitchOn = 1
  432. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  433. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  434. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  435. ; CoverDeglitchPeriod = 0
  436. ; Turn on code coverage in VLOG `celldefine modules, modules containing
  437. ; specify blocks, and modules included using vlog -v and -y. Default is off.
  438. ; CoverCells = 1
  439. ; Enable code coverage reporting of code that has been optimized away.
  440. ; The default is not to report.
  441. ; CoverReportCancelled = 1
  442. ; Control compiler and VOPT optimizations that are allowed when
  443. ; code coverage is on. This is a number from 0 to 5, with the following
  444. ; meanings (the default is 3):
  445. ; 5 -- All allowable optimizations are on.
  446. ; 4 -- Turn off removing unreferenced code.
  447. ; 3 -- Turn off process, always block and if statement merging.
  448. ; 2 -- Turn off expression optimization, converting primitives
  449. ; to continuous assignments, VHDL subprogram inlining.
  450. ; and VHDL clkOpt (converting FF's to builtins).
  451. ; 1 -- Turn off continuous assignment optimizations and clock suppression.
  452. ; 0 -- Turn off Verilog module inlining and VHDL arch inlining.
  453. ; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
  454. ; level 3, with also turning off converting primitives to continuous assigns.
  455. ; CoverOpt = 3
  456. ; Specify the override for the default value of "cross_num_print_missing"
  457. ; option for the Cross in Covergroups. If not specified then LRM default
  458. ; value of 0 (zero) is used. This is a compile time option.
  459. ; SVCrossNumPrintMissingDefault = 0
  460. ; Setting following to 1 would cause creation of variables which
  461. ; would represent the value of Coverpoint expressions. This is used
  462. ; in conjunction with "SVCoverpointExprVariablePrefix" option
  463. ; in the modelsim.ini
  464. ; EnableSVCoverpointExprVariable = 0
  465. ; Specify the override for the prefix used in forming the variable names
  466. ; which represent the Coverpoint expressions. This is used in conjunction with
  467. ; "EnableSVCoverpointExprVariable" option of the modelsim.ini
  468. ; The default prefix is "expr".
  469. ; The variable name is
  470. ; variable name => <prefix>_<coverpoint name>
  471. ; SVCoverpointExprVariablePrefix = expr
  472. ; Override for the default value of the SystemVerilog covergroup,
  473. ; coverpoint, and cross option.goal (defined to be 100 in the LRM).
  474. ; NOTE: It does not override specific assignments in SystemVerilog
  475. ; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
  476. ; in the [vsim] section can override this value.
  477. ; SVCovergroupGoalDefault = 100
  478. ; Override for the default value of the SystemVerilog covergroup,
  479. ; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
  480. ; NOTE: It does not override specific assignments in SystemVerilog
  481. ; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
  482. ; in the [vsim] section can override this value.
  483. ; SVCovergroupTypeGoalDefault = 100
  484. ; Specify the override for the default value of "strobe" option for the
  485. ; Covergroup Type. This is a compile time option which forces "strobe" to
  486. ; a user specified default value and supersedes SystemVerilog specified
  487. ; default value of '0'(zero). NOTE: This can be overriden by a runtime
  488. ; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
  489. ; SVCovergroupStrobeDefault = 0
  490. ; Specify the override for the default value of "per_instance" option for the
  491. ; Covergroup variables. This is a compile time option which forces "per_instance"
  492. ; to a user specified default value and supersedes SystemVerilog specified
  493. ; default value of '0'(zero).
  494. ; SVCovergroupPerInstanceDefault = 0
  495. ; Specify the override for the default value of "get_inst_coverage" option for the
  496. ; Covergroup variables. This is a compile time option which forces
  497. ; "get_inst_coverage" to a user specified default value and supersedes
  498. ; SystemVerilog specified default value of '0'(zero).
  499. ; SVCovergroupGetInstCoverageDefault = 0
  500. ;
  501. ; A space separated list of resource libraries that contain precompiled
  502. ; packages. The behavior is identical to using the "-L" switch.
  503. ;
  504. ; LibrarySearchPath = <path/lib> [<path/lib> ...]
  505. LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
  506. ; The behavior is identical to the "-mixedansiports" switch. Default is off.
  507. ; MixedAnsiPorts = 1
  508. ; Enable SystemVerilog 3.1a $typeof() function. Default is off.
  509. ; EnableTypeOf = 1
  510. ; Only allow lower case pragmas. Default is disabled.
  511. ; AcceptLowerCasePragmaOnly = 1
  512. ; Set the maximum depth permitted for a recursive include file nesting.
  513. ; IncludeRecursionDepthMax = 5
  514. ; Turn ON detection of FSMs having single bit current state variable.
  515. ; FsmSingle = 1
  516. ; Turn off reset state transitions in FSM.
  517. ; FsmResetTrans = 0
  518. ; Turn off detections of FSMs having x-assignment.
  519. ; FsmXAssign = 0
  520. ; Turn ON detection of FSM Implicit Transitions.
  521. ; FsmImplicitTrans = 1
  522. ; List of file suffixes which will be read as SystemVerilog. White space
  523. ; in extensions can be specified with a back-slash: "\ ". Back-slashes
  524. ; can be specified with two consecutive back-slashes: "\\";
  525. ; SvFileSuffixes = sv svp svh
  526. ; This setting is the same as the vlog -sv command line switch.
  527. ; Enables SystemVerilog features and keywords when true (1).
  528. ; When false (0), the rules of IEEE Std 1364-2005 are followed and
  529. ; SystemVerilog keywords are ignored.
  530. ; Svlog = 0
  531. ; Prints attribute placed upon SV packages during package import
  532. ; when true (1). The attribute will be ignored when this
  533. ; entry is false (0). The attribute name is "package_load_message".
  534. ; The value of this attribute is a string literal.
  535. ; Default is true (1).
  536. ; PrintSVPackageLoadingAttribute = 1
  537. ; Do not show immediate assertions with constant expressions in
  538. ; GUI/reports/UCDB etc. By default immediate assertions with constant
  539. ; expressions are shown in GUI/reports/UCDB etc. This does not affect
  540. ; evaluation of immediate assertions.
  541. ; ShowConstantImmediateAsserts = 0
  542. ; Controls if untyped parameters that are initialized with values greater
  543. ; than 2147483647 are mapped to generics of type INTEGER or ignored.
  544. ; If mapped to VHDL Integers, values greater than 2147483647
  545. ; are mapped to negative values.
  546. ; Default is to map these parameter to generic of type INTEGER
  547. ; ForceUnsignedToVHDLInteger = 1
  548. ; Enable AMS wreal (wired real) extensions. Default is 0.
  549. ; WrealType = 1
  550. ; Controls SystemVerilog Language Extensions. These options enable
  551. ; some non-LRM compliant behavior.
  552. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  553. ; Generate symbols debugging database in only some special cases to save on
  554. ; the number of files in the library. For other design-units, this database is
  555. ; generated on-demand in vsim.
  556. ; Default is to to generate debugging database for all design-units.
  557. ; SmartDbgSym = 1
  558. ; Controls how $unit library entries are named. Valid options are:
  559. ; "file" (generate name based on the first file on the command line)
  560. ; "du" (generate name based on first design unit following an item
  561. ; found in $unit scope)
  562. ; CUAutoName = file
  563. ; Enable or disable automatic creation of missing libraries.
  564. ; Default is 1 (enabled)
  565. ; CreateLib = 1
  566. [sccom]
  567. ; Enable use of SCV include files and library. Default is off.
  568. ; UseScv = 1
  569. ; Add C++ compiler options to the sccom command line by using this variable.
  570. ; CppOptions = -g
  571. ; Use custom C++ compiler located at this path rather than the default path.
  572. ; The path should point directly at a compiler executable.
  573. ; CppPath = /usr/bin/g++
  574. ; Specify the compiler version from the list of support GNU compilers.
  575. ; examples 4.3.3, 4.5.0
  576. ; CppInstall = 4.5.0
  577. ; Enable verbose messages from sccom. Default is off.
  578. ; SccomVerbose = 1
  579. ; sccom logfile. Default is no logfile.
  580. ; SccomLogfile = sccom.log
  581. ; Enable use of SC_MS include files and library. Default is off.
  582. ; UseScMs = 1
  583. ; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
  584. ; Sc22Mode = 1
  585. ; Enable compiler statistics. Specify one or more arguments:
  586. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  587. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  588. ; Stats = time,cmd,msg
  589. ; Enable or disable automatic creation of missing libraries.
  590. ; Default is 1 (enabled)
  591. ; CreateLib = 1
  592. ; Enable use of UVMC library. Default is off.
  593. ; UseUvmc = 1
  594. [vopt]
  595. ; Turn on code coverage in vopt. Default is off.
  596. ; Coverage = sbceft
  597. ; enable or disable param saving in UCDB.
  598. ; CoverageSaveParam = 0
  599. ; Control compiler optimizations that are allowed when
  600. ; code coverage is on. Refer to the comment for this in the [vlog] area.
  601. ; CoverOpt = 3
  602. ; Controls set of CoverConstructs that are being considered for Coverage
  603. ; Collection.
  604. ; Some of Valid options are: default,set1,set2
  605. ; Covermode = default
  606. ; Controls set of HDL cover constructs that would be considered(or not considered)
  607. ; for Coverage Collection. (Default corresponds to covermode default).
  608. ; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
  609. ; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva
  610. ; Increase or decrease the maximum number of rows allowed in a UDP table
  611. ; implementing a VHDL condition coverage or expression coverage expression.
  612. ; More rows leads to a longer compile time, but more expressions covered.
  613. ; CoverMaxUDPRows = 192
  614. ; Increase or decrease the maximum number of input patterns that are present
  615. ; in FEC table. This leads to a longer compile time with more expressions
  616. ; covered with FEC metric.
  617. ; CoverMaxFECRows = 192
  618. ; Enable Multi Bit Expression Coverage in a Design, If design has expression with
  619. ; multi bit operands, this option enables its Expression Coverage.
  620. ; The default value is 0.
  621. ; CoverFecMultiBit = 1
  622. ; Increase or decrease the limit on the size of expressions and conditions
  623. ; considered for expression and condition coverages. Higher FecUdpEffort leads
  624. ; to higher compile, optimize and simulation time, but more expressions and
  625. ; conditions are considered for coverage in the design. FecUdpEffort can
  626. ; be set to a number ranging from 1 (low) to 3 (high), defined as:
  627. ; 1 - (low) Only small expressions and conditions considered for coverage.
  628. ; 2 - (medium) Bigger expressions and conditions considered for coverage.
  629. ; 3 - (high) Very large expressions and conditions considered for coverage.
  630. ; The default setting is 1 (low).
  631. ; FecUdpEffort = 1
  632. ; Enable code coverage reporting of code that has been optimized away.
  633. ; The default is not to report.
  634. ; CoverReportCancelled = 1
  635. ; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
  636. ; Default is no deglitching.
  637. ; CoverDeglitchOn = 1
  638. ; Enable compiler statistics. Specify one or more arguments:
  639. ; [all,none,time,cmd,msg,perf,verbose,list,kb]
  640. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  641. ; Stats = time,cmd,msg
  642. ; Control the code coverage deglitching period. A period of 0, eliminates delta
  643. ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
  644. ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  645. ; CoverDeglitchPeriod = 0
  646. ; Do not show immediate assertions with constant expressions in
  647. ; GUI/reports/UCDB etc. By default immediate assertions with constant
  648. ; expressions are shown in GUI/reports/UCDB etc. This does not affect
  649. ; evaluation of immediate assertions.
  650. ; ShowConstantImmediateAsserts = 0
  651. ; Set the maximum number of iterations permitted for a generate loop.
  652. ; Restricting this permits the implementation to recognize infinite
  653. ; generate loops.
  654. ; GenerateLoopIterationMax = 100000
  655. ; Set the maximum depth permitted for a recursive generate instantiation.
  656. ; Restricting this permits the implementation to recognize infinite
  657. ; recursions.
  658. ; GenerateRecursionDepthMax = 200
  659. ; Set the number of processes created during the code generation phase.
  660. ; By default a heuristic is used to set this value. This may be set to 0
  661. ; to disable this feature completely.
  662. ; ParallelJobs = 0
  663. ; Controls SystemVerilog Language Extensions. These options enable
  664. ; some non-LRM compliant behavior.
  665. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  666. ; Load the specified shared objects with the RTLD_GLOBAL flag.
  667. ; This gives global visibility to all symbols in the shared objects,
  668. ; meaning that subsequently loaded shared objects can bind to symbols
  669. ; in the global shared objects. The list of shared objects should
  670. ; be whitespace delimited. This option is not supported on the
  671. ; Windows or AIX platforms.
  672. ; GlobalSharedObjectList = example1.so example2.so example3.so
  673. ; Disable SystemVerilog elaboration system task messages
  674. ; IgnoreSVAInfo = 1
  675. ; IgnoreSVAWarning = 1
  676. ; IgnoreSVAError = 1
  677. ; IgnoreSVAFatal = 1
  678. ; Enable or disable automatic creation of missing libraries.
  679. ; Default is 1 (enabled)
  680. ; CreateLib = 1
  681. [vsim]
  682. ; vopt flow
  683. ; Set to turn on automatic optimization of a design.
  684. ; Default is on
  685. VoptFlow = 1
  686. ; Simulator resolution
  687. ; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
  688. Resolution = ns
  689. ; Disable certain code coverage exclusions automatically.
  690. ; Assertions and FSM are exluded from the code coverage by default
  691. ; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
  692. ; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
  693. ; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
  694. ; Or specify comma or space separated list
  695. ;AutoExclusionsDisable = fsm,assertions
  696. ; User time unit for run commands
  697. ; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
  698. ; unit specified for Resolution. For example, if Resolution is 100ps,
  699. ; then UserTimeUnit defaults to ps.
  700. ; Should generally be set to default.
  701. UserTimeUnit = default
  702. ; Default run length
  703. RunLength = 100
  704. ; Maximum iterations that can be run without advancing simulation time
  705. IterationLimit = 10000000
  706. ; Specify libraries to be searched for precompiled modules
  707. ; LibrarySearchPath = <path/lib> [<path/lib> ...]
  708. ; Set XPROP assertion fail limit. Default is 5.
  709. ; Any positive integer, -1 for infinity.
  710. ; XpropAssertionLimit = 5
  711. ; Control PSL and Verilog Assume directives during simulation
  712. ; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
  713. ; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
  714. ; SimulateAssumeDirectives = 1
  715. ; Control the simulation of PSL and SVA
  716. ; These switches can be overridden by the vsim command line switches:
  717. ; -psl, -nopsl, -sva, -nosva.
  718. ; Set SimulatePSL = 0 to disable PSL simulation
  719. ; Set SimulatePSL = 1 to enable PSL simulation (default)
  720. ; SimulatePSL = 1
  721. ; Set SimulateSVA = 0 to disable SVA simulation
  722. ; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
  723. ; SimulateSVA = 1
  724. ; Control SVA and VHDL immediate assertion directives during simulation
  725. ; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts
  726. ; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
  727. ; SimulateImmedAsserts = 1
  728. ; License feature mappings for Verilog and VHDL
  729. ; qhsimvh Single language VHDL license
  730. ; qhsimvl Single language Verilog license
  731. ; msimhdlsim Language neutral license for either Verilog or VHDL
  732. ; msimhdlmix Second language only, language neutral license for either
  733. ; Verilog or VHDL
  734. ;
  735. ; Directives to license manager can be set either as single value or as
  736. ; space separated multi-values:
  737. ; vhdl Immediately checkout and hold a VHDL license (i.e., one of
  738. ; qhsimvh, msimhdlsim, or msimhdlmix)
  739. ; vlog Immediately checkout and hold a Verilog license (i.e., one of
  740. ; qhsimvl, msimhdlsim, or msimhdlmix)
  741. ; plus Immediately checkout and hold a VHDL license and a Verilog license
  742. ; noqueue Do not wait in the license queue when a license is not available
  743. ; viewsim Try for viewer license but accept simulator license(s) instead
  744. ; of queuing for viewer license (PE ONLY)
  745. ; noviewer Disable checkout of msimviewer license feature (PE ONLY)
  746. ; noslvhdl Disable checkout of qhsimvh license feature
  747. ; noslvlog Disable checkout of qhsimvl license feature
  748. ; nomix Disable checkout of msimhdlmix license feature
  749. ; nolnl Disable checkout of msimhdlsim license feature
  750. ; mixedonly Disable checkout of qhsimvh and qhsimvl license features
  751. ; lnlonly Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
  752. ;
  753. ; Examples (remove ";" comment character to activate licensing directives):
  754. ; Single directive:
  755. ; License = plus
  756. ; Multi-directive (Note: space delimited directives):
  757. ; License = noqueue plus
  758. ; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
  759. ; which will cause a running simulation to stop.
  760. ; VHDL assertions and SystemVerilog severity system task that occur with the
  761. ; given severity or higher will cause a running simulation to stop.
  762. ; This value is ignored during elaboration.
  763. ; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  764. BreakOnAssertion = 3
  765. ; Severity level of a tool message which will cause a running simulation to
  766. ; stop. This value is ignored during elaboration. Default is to not break.
  767. ; 0 = Note 1 = Warning 2 = Error 3 = Fatal
  768. ;BreakOnMessage = 2
  769. ; The class debug feature enables more visibility and tracking of class instances
  770. ; during simulation. By default this feature is disabled (0). To enable this
  771. ; feature set ClassDebug to 1.
  772. ; ClassDebug = 1
  773. ; Message Format conversion specifications:
  774. ; %S - Severity Level of message/assertion
  775. ; %R - Text of message
  776. ; %T - Time of message
  777. ; %D - Delta value (iteration number) of Time
  778. ; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
  779. ; %i - Instance/Region/Signal pathname with Process name (if available)
  780. ; %I - shorthand for one of these:
  781. ; " %K: %i"
  782. ; " %K: %i File: %F" (when path is not Process or Signal)
  783. ; except that the %i in this case does not report the Process name
  784. ; %O - Process name
  785. ; %P - Instance/Region path without leaf process
  786. ; %F - File name
  787. ; %L - Line number; if assertion message, then line number of assertion or, if
  788. ; assertion is in a subprogram, line from which the call is made
  789. ; %u - Design unit name in form library.primary
  790. ; %U - Design unit name in form library.primary(secondary)
  791. ; %% - The '%' character itself
  792. ;
  793. ; If specific format for Severity Level is defined, use that format.
  794. ; Else, for a message that occurs during elaboration:
  795. ; -- Failure/Fatal message in VHDL region that is not a Process, and in
  796. ; certain non-VHDL regions, uses MessageFormatBreakLine;
  797. ; -- Failure/Fatal message otherwise uses MessageFormatBreak;
  798. ; -- Note/Warning/Error message uses MessageFormat.
  799. ; Else, for a message that occurs during runtime and triggers a breakpoint because
  800. ; of the BreakOnAssertion setting:
  801. ; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
  802. ; -- otherwise uses MessageFormatBreak.
  803. ; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
  804. ;
  805. ; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n"
  806. ; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n"
  807. ; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  808. ; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  809. ; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  810. ; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n"
  811. ; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n"
  812. ; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n"
  813. ; Error File - alternate file for storing error messages
  814. ; ErrorFile = error.log
  815. ; Simulation Breakpoint messages
  816. ; This flag controls the display of function names when reporting the location
  817. ; where the simulator stops because of a breakpoint or fatal error.
  818. ; Example with function name: # Break in Process ctr at counter.vhd line 44
  819. ; Example without function name: # Break at counter.vhd line 44
  820. ; Default value is 1.
  821. ShowFunctions = 1
  822. ; Default radix for all windows and commands.
  823. ; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
  824. ; Flags may be one of: enumnumeric, showbase, wreal
  825. DefaultRadix = hexadecimal
  826. DefaultRadixFlags = showbase
  827. ; Set to 1 for make the signal_force VHDL and Verilog functions use the
  828. ; default radix when processing the force value. Prior to 10.2 signal_force
  829. ; used the default radix, now it always uses symbolic unless value explicitly indicates base
  830. ;SignalForceFunctionUseDefaultRadix = 0
  831. ; VSIM Startup command
  832. ; Startup = do startup.do
  833. ; VSIM Shutdown file
  834. ; Filename to save u/i formats and configurations.
  835. ; ShutdownFile = restart.do
  836. ; To explicitly disable auto save:
  837. ; ShutdownFile = --disable-auto-save
  838. ; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
  839. ; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
  840. ; BatchMode = 1
  841. ; File for saving command transcript when -batch option used
  842. ; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
  843. ; default is unset so command transcript only goes to stdout for better performance
  844. ; BatchTranscriptFile = transcript
  845. ; File for saving command transcript, this option is ignored when -batch option is used
  846. TranscriptFile = transcript
  847. ; Transcript file long line wrapping mode(s)
  848. ; mode == 0 :: no wrapping, line recorded as is
  849. ; mode == 1 :: wrap at first whitespace after WSColumn
  850. ; or at Column.
  851. ; mode == 2 :: wrap as above, but add continuation
  852. ; character ('\') at end of each wrapped line
  853. ;
  854. ; WrapMode = 0
  855. ; WrapColumn = 30000
  856. ; WrapWSColumn = 27000
  857. ; File for saving command history
  858. ; CommandHistory = cmdhist.log
  859. ; Specify whether paths in simulator commands should be described
  860. ; in VHDL or Verilog format.
  861. ; For VHDL, PathSeparator = /
  862. ; For Verilog, PathSeparator = .
  863. ; Must not be the same character as DatasetSeparator.
  864. PathSeparator = /
  865. ; Specify the dataset separator for fully rooted contexts.
  866. ; The default is ':'. For example: sim:/top
  867. ; Must not be the same character as PathSeparator.
  868. DatasetSeparator = :
  869. ; Specify a unique path separator for the Signal Spy set of functions.
  870. ; The default will be to use the PathSeparator variable.
  871. ; Must not be the same character as DatasetSeparator.
  872. ; SignalSpyPathSeparator = /
  873. ; Used to control parsing of HDL identifiers input to the tool.
  874. ; This includes CLI commands, vsim/vopt/vlog/vcom options,
  875. ; string arguments to FLI/VPI/DPI calls, etc.
  876. ; If set to 1, accept either Verilog escaped Id syntax or
  877. ; VHDL extended id syntax, regardless of source language.
  878. ; If set to 0, the syntax of the source language must be used.
  879. ; Each identifier in a hierarchical name may need different syntax,
  880. ; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
  881. ; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
  882. ; GenerousIdentifierParsing = 1
  883. ; Disable VHDL assertion messages
  884. ; IgnoreNote = 1
  885. ; IgnoreWarning = 1
  886. ; IgnoreError = 1
  887. ; IgnoreFailure = 1
  888. ; Disable SystemVerilog assertion messages
  889. ; IgnoreSVAInfo = 1
  890. ; IgnoreSVAWarning = 1
  891. ; IgnoreSVAError = 1
  892. ; IgnoreSVAFatal = 1
  893. ; Do not print any additional information from Severity System tasks.
  894. ; Only the message provided by the user is printed along with severity
  895. ; information.
  896. ; SVAPrintOnlyUserMessage = 1;
  897. ; Default force kind. May be freeze, drive, deposit, or default
  898. ; or in other terms, fixed, wired, or charged.
  899. ; A value of "default" will use the signal kind to determine the
  900. ; force kind, drive for resolved signals, freeze for unresolved signals
  901. ; DefaultForceKind = freeze
  902. ; Control the iteration of events when a VHDL signal is forced to a value
  903. ; This flag can be set to honour the signal update event in next iteration,
  904. ; the default is to update and propagate in the same iteration.
  905. ; ForceSigNextIter = 1
  906. ; Enable simulation statistics. Specify one or more arguments:
  907. ; [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
  908. ; Add '-' to disable specific statistics. Default is [time,cmd,msg].
  909. ; Stats = time,cmd,msg
  910. ; If zero, open files when elaborated; otherwise, open files on
  911. ; first read or write. Default is 0.
  912. ; DelayFileOpen = 1
  913. ; Control VHDL files opened for write.
  914. ; 0 = Buffered, 1 = Unbuffered
  915. UnbufferedOutput = 0
  916. ; Control the number of VHDL files open concurrently.
  917. ; This number should always be less than the current ulimit
  918. ; setting for max file descriptors.
  919. ; 0 = unlimited
  920. ConcurrentFileLimit = 40
  921. ; If nonzero, close files as soon as there is either an explicit call to
  922. ; file_close, or when the file variable's scope is closed. When zero, a
  923. ; file opened in append mode is not closed in case it is immediately
  924. ; reopened in append mode; otherwise, the file will be closed at the
  925. ; point it is reopened.
  926. ; AppendClose = 1
  927. ; Control the number of hierarchical regions displayed as
  928. ; part of a signal name shown in the Wave window.
  929. ; A value of zero tells VSIM to display the full name.
  930. ; The default is 0.
  931. ; WaveSignalNameWidth = 0
  932. ; Turn off warnings when changing VHDL constants and generics
  933. ; Default is 1 to generate warning messages
  934. ; WarnConstantChange = 0
  935. ; Turn off warnings from accelerated versions of the std_logic_arith,
  936. ; std_logic_unsigned, and std_logic_signed packages.
  937. ; StdArithNoWarnings = 1
  938. ; Turn off warnings from accelerated versions of the IEEE numeric_std
  939. ; and numeric_bit packages.
  940. ; NumericStdNoWarnings = 1
  941. ; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
  942. ; in the design hierarchy.
  943. ; This style is controlled by the value of the GenerateFormat
  944. ; value described next. Default is to use new-style names, which
  945. ; comprise the generate statement label, '(', the value of the generate
  946. ; parameter, and a closing ')'.
  947. ; Set this to 1 to use old-style names.
  948. ; OldVhdlForGenNames = 1
  949. ; Control the format of the old-style VHDL FOR generate statement region
  950. ; name for each iteration. Do not quote the value.
  951. ; The format string here must contain the conversion codes %s and %d,
  952. ; in that order, and no other conversion codes. The %s represents
  953. ; the generate statement label; the %d represents the generate parameter value
  954. ; at a particular iteration (this is the position number if the generate parameter
  955. ; is of an enumeration type). Embedded whitespace is allowed (but discouraged);
  956. ; leading and trailing whitespace is ignored.
  957. ; Application of the format must result in a unique region name over all
  958. ; loop iterations for a particular immediately enclosing scope so that name
  959. ; lookup can function properly. The default is %s__%d.
  960. ; GenerateFormat = %s__%d
  961. ; Enable more efficient logging of VHDL Variables.
  962. ; Logging VHDL variables without this enabled, while possible, is very
  963. ; inefficient. Enabling this will provide a more efficient logging methodology
  964. ; at the expense of more memory usage. By default this feature is disabled (0).
  965. ; To enabled this feature, set this variable to 1.
  966. ; VhdlVariableLogging = 1
  967. ; Enable logging of VHDL access type variables and their designated objects.
  968. ; This setting will allow both variables of an access type ("access variables")
  969. ; and their designated objects ("access objects") to be logged. Logging a
  970. ; variable of an access type will automatically also cause the designated
  971. ; object(s) of that variable to be logged as the simulation progresses.
  972. ; Further, enabling this allows access objects to be logged by name. By default
  973. ; this feature is disabled (0). To enable this feature, set this variable to 1.
  974. ; Enabling this will automatically enable the VhdlVariableLogging feature also.
  975. ; AccessObjDebug = 1
  976. ; Make each VHDL package in a PDU has its own separate copy of the package instead
  977. ; of sharing the package between PDUs. The default is to share packages.
  978. ; To ensure that each PDU has its own set of packages, set this variable to 1.
  979. ; VhdlSeparatePduPackage = 1
  980. ; Specify whether checkpoint files should be compressed.
  981. ; The default is 1 (compressed).
  982. ; CheckpointCompressMode = 0
  983. ; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
  984. ; Use custom gcc compiler located at this path rather than the default path.
  985. ; The path should point directly at a compiler executable.
  986. ; DpiCppPath = <your-gcc-installation>/bin/gcc
  987. ;
  988. ; Specify the compiler version from the list of support GNU compilers.
  989. ; examples 4.5.0, 4.7.4
  990. ; DpiCppInstall = 4.7.4
  991. ; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
  992. ; The term "out-of-the-blue" refers to SystemVerilog export function calls
  993. ; made from C functions that don't have the proper context setup
  994. ; (as is the case when running under "DPI-C" import functions).
  995. ; When this is enabled, one can call a DPI export function
  996. ; (but not task) from any C code.
  997. ; the setting of this variable can be one of the following values:
  998. ; 0 : dpioutoftheblue call is disabled (default)
  999. ; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
  1000. ; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
  1001. ; DpiOutOfTheBlue = 1
  1002. ; Specify whether continuous assignments are run before other normal priority
  1003. ; processes scheduled in the same iteration. This event ordering minimizes race
  1004. ; differences between optimized and non-optimized designs, and is the default
  1005. ; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
  1006. ; ImmediateContinuousAssign to 0.
  1007. ; The default is 1 (enabled).
  1008. ; ImmediateContinuousAssign = 0
  1009. ; List of dynamically loaded objects for Verilog PLI applications
  1010. ; Veriuser = veriuser.sl
  1011. ; Which default VPI object model should the tool conform to?
  1012. ; The 1364 modes are Verilog-only, for backwards compatibility with older
  1013. ; libraries, and SystemVerilog objects are not available in these modes.
  1014. ;
  1015. ; In the absence of a user-specified default, the tool default is the
  1016. ; latest available LRM behavior.
  1017. ; Options for PliCompatDefault are:
  1018. ; VPI_COMPATIBILITY_VERSION_1364v1995
  1019. ; VPI_COMPATIBILITY_VERSION_1364v2001
  1020. ; VPI_COMPATIBILITY_VERSION_1364v2005
  1021. ; VPI_COMPATIBILITY_VERSION_1800v2005
  1022. ; VPI_COMPATIBILITY_VERSION_1800v2008
  1023. ;
  1024. ; Synonyms for each string are also recognized:
  1025. ; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
  1026. ; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
  1027. ; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
  1028. ; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
  1029. ; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
  1030. ; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
  1031. ; Specify whether the Verilog system task $fopen or vpi_mcd_open()
  1032. ; will create directories that do not exist when opening the file
  1033. ; in "a" or "w" mode.
  1034. ; The default is 0 (do not create non-existent directories)
  1035. ; CreateDirForFileAccess = 1
  1036. ; Specify default options for the restart command. Options can be one
  1037. ; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
  1038. ; DefaultRestartOptions = -force
  1039. ; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
  1040. ; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
  1041. ; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
  1042. ; The list of options must be delimited by commas, without spaces or tabs.
  1043. ;
  1044. ; Some examples
  1045. ; To turn on all available UVM-aware debug features:
  1046. ; UVMControl = all
  1047. ; To turn on the struct window, mesage logging, and transaction logging:
  1048. ; UVMControl = struct,msglog,trlog
  1049. ; To turn on all options except certe:
  1050. ; UVMControl = all,-certe
  1051. ; To completely disable all UVM-aware debug functionality:
  1052. ; UVMControl = disable
  1053. ; Specify the WildcardFilter setting.
  1054. ; A space separated list of object types to be excluded when performing
  1055. ; wildcard matches with log, wave, etc commands. The default value for this variable is:
  1056. ; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
  1057. ; See "Using the WildcardFilter Preference Variable" in the documentation for
  1058. ; details on how to use this variable and for descriptions of the filter types.
  1059. WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
  1060. ; Specify the WildcardSizeThreshold setting.
  1061. ; This integer setting specifies the size at which objects will be excluded when
  1062. ; performing wildcard matches with log, wave, etc commands. Objects of size equal
  1063. ; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
  1064. ; matches. The size is a simple calculation of number of bits or items in the object.
  1065. ; The default value is 8k (8192). Setting this value to 0 will disable the checking
  1066. ; of object size against this threshold and allow all objects of any size to be logged.
  1067. WildcardSizeThreshold = 8192
  1068. ; Specify whether warning messages are output when objects are filtered out due to the
  1069. ; WildcardSizeThreshold. The default is 0 (no messages generated).
  1070. WildcardSizeThresholdVerbose = 0
  1071. ; Turn on (1) or off (0) WLF file compression.
  1072. ; The default is 1 (compress WLF file).
  1073. ; WLFCompress = 0
  1074. ; Specify whether to save all design hierarchy (1) in the WLF file
  1075. ; or only regions containing logged signals (0).
  1076. ; The default is 0 (save only regions with logged signals).
  1077. ; WLFSaveAllRegions = 1
  1078. ; WLF file time limit. Limit WLF file by time, as closely as possible,
  1079. ; to the specified amount of simulation time. When the limit is exceeded
  1080. ; the earliest times get truncated from the file.
  1081. ; If both time and size limits are specified the most restrictive is used.
  1082. ; UserTimeUnits are used if time units are not specified.
  1083. ; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms}
  1084. ; WLFTimeLimit = 0
  1085. ; WLF file size limit. Limit WLF file size, as closely as possible,
  1086. ; to the specified number of megabytes. If both time and size limits
  1087. ; are specified then the most restrictive is used.
  1088. ; The default is 0 (no limit).
  1089. ; WLFSizeLimit = 1000
  1090. ; Specify whether or not a WLF file should be deleted when the
  1091. ; simulation ends. A value of 1 will cause the WLF file to be deleted.
  1092. ; The default is 0 (do not delete WLF file when simulation ends).
  1093. ; WLFDeleteOnQuit = 1
  1094. ; Specify whether or not a WLF file should be optimized during
  1095. ; simulation. If set to 0, the WLF file will not be optimized.
  1096. ; The default is 1, optimize the WLF file.
  1097. ; WLFOptimize = 0
  1098. ; Specify the name of the WLF file.
  1099. ; The default is vsim.wlf
  1100. ; WLFFilename = vsim.wlf
  1101. ; Specify whether to lock the WLF file.
  1102. ; Locking the file prevents other invocations of ModelSim/Questa tools from
  1103. ; inadvertently overwriting the WLF file.
  1104. ; The default is 1, lock the WLF file.
  1105. ; WLFFileLock = 0
  1106. ; Specify the update interval for the WLF file in live simulation.
  1107. ; The interval is given in seconds.
  1108. ; The value is the smallest interval between WLF file updates. The WLF file
  1109. ; will be flushed (updated) after (at least) the interval has elapsed, ensuring
  1110. ; that the data is correct when viewed from a separate viewer.
  1111. ; A value of 0 means that no updating will occur.
  1112. ; The default value is 10 seconds.
  1113. ; WLFUpdateInterval = 10
  1114. ; Specify the WLF cache size limit for WLF files.
  1115. ; The value is given in megabytes. A value of 0 turns off the cache.
  1116. ; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
  1117. ; On Windows, the default value is 1000 (megabytes) to help to avoid filling
  1118. ; process memory.
  1119. ; WLFSimCacheSize allows a different cache size to be set for a live simulation
  1120. ; WLF file, independent of post-simulation WLF file viewing. If WLFSimCacheSize
  1121. ; is not set, it defaults to the WLFCacheSize value.
  1122. ; WLFCacheSize = 2000
  1123. ; WLFSimCacheSize = 500
  1124. ; Specify the WLF file event collapse mode.
  1125. ; 0 = Preserve all events and event order. (same as -wlfnocollapse)
  1126. ; 1 = Only record values of logged objects at the end of a simulator iteration.
  1127. ; (same as -wlfcollapsedelta)
  1128. ; 2 = Only record values of logged objects at the end of a simulator time step.
  1129. ; (same as -wlfcollapsetime)
  1130. ; The default is 1.
  1131. ; WLFCollapseMode = 0
  1132. ; Specify whether WLF file logging can use threads on multi-processor machines.
  1133. ; If 0, no threads will be used; if 1, threads will be used if the system has
  1134. ; more than one processor.
  1135. ; WLFUseThreads = 1
  1136. ; Specify the size of objects that will trigger "large object" messages
  1137. ; at log/wave/list time. The size calculation of the object is the same as that
  1138. ; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
  1139. ; Setting LargeObjectSize to 0 will disable these messages.
  1140. ; LargeObjectSize = 500000
  1141. ; Specify the depth of stack frames returned by $stacktrace([level]).
  1142. ; This depth will be picked up when the optional 'level' argument
  1143. ; is not specified or its value is not a positive integer.
  1144. ; StackTraceDepth = 100
  1145. ; Turn on/off undebuggable SystemC type warnings. Default is on.
  1146. ; ShowUndebuggableScTypeWarning = 0
  1147. ; Turn on/off unassociated SystemC name warnings. Default is off.
  1148. ; ShowUnassociatedScNameWarning = 1
  1149. ; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
  1150. ; ScShowIeeeDeprecationWarnings = 1
  1151. ; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
  1152. ; For SystemC-2.3.2 the valid values are 0,1 and 2
  1153. ; 0 = SC_SIGNAL_WRITE_CHECK_DISABLE_
  1154. ; 1 = SC_SIGNAL_WRITE_CHECK_DEFAULT_
  1155. ; 2 = SC_SIGNAL_WRITE_CHECK_CONFLICT_
  1156. ; For SystemC-2.2 the valid values are 0 and 1
  1157. ; 0 = DISABLE
  1158. ; 1 = ENABLE
  1159. ; ScEnableScSignalWriteCheck = 1
  1160. ; Set SystemC default time unit.
  1161. ; Set to fs, ps, ns, us, ms, or sec with optional
  1162. ; prefix of 1, 10, or 100. The default is 1 ns.
  1163. ; The ScTimeUnit value is honored if it is coarser than Resolution.
  1164. ; If ScTimeUnit is finer than Resolution, it is set to the value
  1165. ; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
  1166. ; then the default time unit will be 1 ns. However if Resolution
  1167. ; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
  1168. ScTimeUnit = ns
  1169. ; Set SystemC sc_main stack size. The stack size is set as an integer
  1170. ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
  1171. ; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
  1172. ; on the amount of data on the sc_main() stack and the memory required
  1173. ; to succesfully execute the longest function call chain of sc_main().
  1174. ScMainStackSize = 10 Mb
  1175. ; Set SystemC thread stack size. The stack size is set as an integer
  1176. ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
  1177. ; Gb(Giga-byte). The stack size for sc_thread depends
  1178. ; on the amount of data on the sc_thread stack and the memory required
  1179. ; to succesfully execute the thread.
  1180. ; ScStackSize = 1 Mb
  1181. ; Turn on/off execution of remainder of sc_main upon quitting the current
  1182. ; simulation session. If the cumulative length of sc_main() in terms of
  1183. ; simulation time units is less than the length of the current simulation
  1184. ; run upon quit or restart, sc_main() will be in the middle of execution.
  1185. ; This switch gives the option to execute the remainder of sc_main upon
  1186. ; quitting simulation. The drawback of not running sc_main till the end
  1187. ; is memory leaks for objects created by sc_main. If on, the remainder of
  1188. ; sc_main will be executed ignoring all delays. This may cause the simulator
  1189. ; to crash if the code in sc_main is dependent on some simulation state.
  1190. ; Default is on.
  1191. ScMainFinishOnQuit = 1
  1192. ; Enable calling of the DPI export taks/functions from the
  1193. ; SystemC start_of_simulation() callback.
  1194. ; The default is off.
  1195. ; EnableDpiSosCb = 1
  1196. ; Set the SCV relationship name that will be used to identify phase
  1197. ; relations. If the name given to a transactor relation matches this
  1198. ; name, the transactions involved will be treated as phase transactions
  1199. ScvPhaseRelationName = mti_phase
  1200. ; Customize the vsim kernel shutdown behavior at the end of the simulation.
  1201. ; Some common causes of the end of simulation are $finish (implicit or explicit),
  1202. ; sc_stop(), tf_dofinish(), and assertion failures.
  1203. ; This should be set to "ask", "exit", or "stop". The default is "ask".
  1204. ; "ask" -- In batch mode, the vsim kernel will abruptly exit.
  1205. ; In GUI mode, a dialog box will pop up and ask for user confirmation
  1206. ; whether or not to quit the simulation.
  1207. ; "stop" -- Cause the simulation to stay loaded in memory. This can make some
  1208. ; post-simulation tasks easier.
  1209. ; "exit" -- The simulation will abruptly exit without asking for any confirmation.
  1210. ; "final" -- Run SystemVerilog final blocks then behave as "stop".
  1211. ; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
  1212. OnFinish = ask
  1213. ; Print pending deferred assertion messages.
  1214. ; Deferred assertion messages may be scheduled after the $finish in the same
  1215. ; time step. Deferred assertions scheduled to print after the $finish are
  1216. ; printed before exiting with severity level NOTE since it's not known whether
  1217. ; the assertion is still valid due to being printed in the active region
  1218. ; instead of the reactive region where they are normally printed.
  1219. ; OnFinishPendingAssert = 1;
  1220. ; Print "simstats" result. Default is 0.
  1221. ; 0 == do not print simstats
  1222. ; 1 == print at end of simulation
  1223. ; 2 == print at end of each run command and end of simulation
  1224. ; PrintSimStats = 1
  1225. ; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
  1226. ; AssertFile = assert.log
  1227. ; Enable assertion counts. Default is off.
  1228. ; AssertionCounts = 1
  1229. ; Run simulator in assertion debug mode. Default is off.
  1230. ; AssertionDebug = 1
  1231. ; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
  1232. ; AssertionEnable = 0
  1233. ; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
  1234. ; Any positive integer, -1 for infinity.
  1235. ; AssertionLimit = 1
  1236. ; Turn on/off concurrent assertion pass log. Default is off.
  1237. ; Assertion pass logging is only enabled when assertion is browseable
  1238. ; and assertion debug is enabled.
  1239. ; AssertionPassLog = 1
  1240. ; Turn on/off PSL concurrent assertion fail log. Default is on.
  1241. ; The flag does not affect SVA
  1242. ; AssertionFailLog = 0
  1243. ; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on.
  1244. ; AssertionFailLocalVarLog = 0
  1245. ; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
  1246. ; 0 = Continue 1 = Break 2 = Exit
  1247. ; AssertionFailAction = 1
  1248. ; Enable the active thread monitor in the waveform display when assertion debug is enabled.
  1249. ; AssertionActiveThreadMonitor = 1
  1250. ; Control how many waveform rows will be used for displaying the active threads. Default is 5.
  1251. ; AssertionActiveThreadMonitorLimit = 5
  1252. ; Assertion thread limit after which assertion would be killed/switched off.
  1253. ; The default is -1 (unlimited). If the number of threads for an assertion go
  1254. ; beyond this limit, the assertion would be either switched off or killed. This
  1255. ; limit applies to only assert directives.
  1256. ;AssertionThreadLimit = -1
  1257. ; Action to be taken once the assertion thread limit is reached. Default
  1258. ; is kill. It can have a value of off or kill. In case of kill, all the existing
  1259. ; threads are terminated and no new attempts are started. In case of off, the
  1260. ; existing attempts keep on evaluating but no new attempts are started. This
  1261. ; variable applies to only assert directives.
  1262. ;AssertionThreadLimitAction = kill
  1263. ; Cover thread limit after which cover would be killed/switched off.
  1264. ; The default is -1 (unlimited). If the number of threads for a cover go
  1265. ; beyond this limit, the cover would be either switched off or killed. This
  1266. ; limit applies to only cover directives.
  1267. ;CoverThreadLimit = -1
  1268. ; Action to be taken once the cover thread limit is reached. Default
  1269. ; is kill. It can have a value of off or kill. In case of kill, all the existing
  1270. ; threads are terminated and no new attempts are started. In case of off, the
  1271. ; existing attempts keep on evaluating but no new attempts are started. This
  1272. ; variable applies to only cover directives.
  1273. ;CoverThreadLimitAction = kill
  1274. ; By default immediate assertions do not participate in Assertion Coverage calculations
  1275. ; unless they are executed. This switch causes all immediate assertions in the design
  1276. ; to participate in Assertion Coverage calculations, whether attempted or not.
  1277. ; UnattemptedImmediateAssertions = 0
  1278. ; By default immediate covers participate in Coverage calculations
  1279. ; whether they are attempted or not. This switch causes all unattempted
  1280. ; immediate covers in the design to stop participating in Coverage
  1281. ; calculations.
  1282. ; UnattemptedImmediateCovers = 0
  1283. ; By default pass action block is not executed for assertions on vacuous
  1284. ; success. The following variable is provided to enable execution of
  1285. ; pass action block on vacuous success. The following variable is only effective
  1286. ; if the user does not disable pass action block execution by using either
  1287. ; system tasks or CLI. Also there is a performance penalty for enabling
  1288. ; the following variable.
  1289. ;AssertionEnableVacuousPassActionBlock = 1
  1290. ; As per strict 1850-2005 PSL LRM, an always property can either pass
  1291. ; or fail. However, by default, Questa reports multiple passes and
  1292. ; multiple fails on top always/never property (always/never operator
  1293. ; is the top operator under Verification Directive). The reason
  1294. ; being that Questa reports passes and fails on per attempt of the
  1295. ; top always/never property. Use the following flag to instruct
  1296. ; Questa to strictly follow LRM. With this flag, all assert/never
  1297. ; directives will start an attempt once at start of simulation.
  1298. ; The attempt can either fail, match or match vacuously.
  1299. ; For e.g. if always is the top operator under assert, the always will
  1300. ; keep on checking the property at every clock. If the property under
  1301. ; always fails, the directive will be considered failed and no more
  1302. ; checking will be done for that directive. A top always property,
  1303. ; if it does not fail, will show a pass at end of simulation.
  1304. ; The default value is '0' (i.e. zero is off). For example:
  1305. ; PslOneAttempt = 1
  1306. ; Specify the number of clock ticks to represent infinite clock ticks.
  1307. ; This affects eventually!, until! and until_!. If at End of Simulation
  1308. ; (EOS) an active strong-property has not clocked this number of
  1309. ; clock ticks then neither pass or fail (vacuous match) is returned
  1310. ; else respective fail/pass is returned. The default value is '0' (zero)
  1311. ; which effectively does not check for clock tick condition. For example:
  1312. ; PslInfinityThreshold = 5000
  1313. ; Control how many thread start times will be preserved for ATV viewing for a given assertion
  1314. ; instance. Default is -1 (ALL).
  1315. ; ATVStartTimeKeepCount = -1
  1316. ; Turn on/off code coverage
  1317. ; CodeCoverage = 0
  1318. ; This option applies to condition and expression coverage UDP tables. It
  1319. ; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
  1320. ; If this option is used and a match occurs in more than one row in the UDP table,
  1321. ; none of the counts for all matching rows is incremented. By default, counts are
  1322. ; incremented for all matching rows.
  1323. ; CoverCountAll = 1
  1324. ; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
  1325. ; is to include them.
  1326. ; ToggleNoIntegers = 1
  1327. ; Set the maximum number of values that are collected for toggle coverage of
  1328. ; VHDL integers. Default is 100;
  1329. ; ToggleMaxIntValues = 100
  1330. ; Set the maximum number of values that are collected for toggle coverage of
  1331. ; Verilog real. Default is 100;
  1332. ; ToggleMaxRealValues = 100
  1333. ; Turn on automatic inclusion of Verilog integers in toggle coverage, except
  1334. ; for enumeration types. Default is to include them.
  1335. ; ToggleVlogIntegers = 0
  1336. ; Turn on automatic inclusion of Verilog real type in toggle coverage, except
  1337. ; for shortreal types. Default is to not include them.
  1338. ; ToggleVlogReal = 1
  1339. ; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
  1340. ; and VHDL arrays-of-arrays in toggle coverage.
  1341. ; Default is to not include them.
  1342. ; ToggleFixedSizeArray = 1
  1343. ; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
  1344. ; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
  1345. ; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
  1346. ; Default is 1024.
  1347. ; ToggleMaxFixedSizeArray = 1024
  1348. ; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
  1349. ; one-dimensional packed vectors for toggle coverage. Default is 0.
  1350. ; TogglePackedAsVec = 0
  1351. ; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
  1352. ; toggle coverage. Default is 0.
  1353. ; ToggleVlogEnumBits = 0
  1354. ; Turn off automatic inclusion of VHDL records in toggle coverage.
  1355. ; Default is to include them.
  1356. ; ToggleVHDLRecords = 0
  1357. ; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
  1358. ; For unlimited width, set to 0.
  1359. ; ToggleWidthLimit = 128
  1360. ; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
  1361. ; reached this count, further activity on the bit is ignored. Default is 1.
  1362. ; For unlimited counts, set to 0.
  1363. ; ToggleCountLimit = 1
  1364. ; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
  1365. ; Following is the toggle coverage calculation criteria based on extended toggle mode:
  1366. ; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
  1367. ; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
  1368. ; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
  1369. ; ExtendedToggleMode = 3
  1370. ; Enable toggle statistics collection only for ports. Default is 0.
  1371. ; TogglePortsOnly = 1
  1372. ; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
  1373. ; reached this count, further tracking of the input patterns linked to it is ignored.
  1374. ; Default is 1. For unlimited counts, set to 0.
  1375. ; NOTE: Changing this value from its default value may affect simulation performance.
  1376. ; FecCountLimit = 1
  1377. ; Limit the counts that are tracked for UDP Coverage. When a bin has
  1378. ; reached this count, further tracking of the input patterns linked to it is ignored.
  1379. ; Default is 1. For unlimited counts, set to 0.
  1380. ; NOTE: Changing this value from its default value may affect simulation performance.
  1381. ; UdpCountLimit = 1
  1382. ; Control toggle coverage deglitching period. A period of 0, eliminates delta
  1383. ; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either
  1384. ; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
  1385. ; ToggleDeglitchPeriod = 10.0ps
  1386. ; Turn on/off all PSL/SVA cover directive enables. Default is on.
  1387. ; CoverEnable = 0
  1388. ; Turn on/off PSL/SVA cover log. Default is off "0".
  1389. ; CoverLog = 1
  1390. ; Set "at_least" value for all PSL/SVA cover directives. Default is 1.
  1391. ; CoverAtLeast = 2
  1392. ; Set "limit" value for all PSL/SVA cover directives. Default is -1.
  1393. ; Any positive integer, -1 for infinity.
  1394. ; CoverLimit = 1
  1395. ; Specify the coverage database filename.
  1396. ; Default is "" (i.e. database is NOT automatically saved on close).
  1397. ; UCDBFilename = vsim.ucdb
  1398. ; Specify the maximum limit for the number of Cross (bin) products reported
  1399. ; in XML and UCDB report against a Cross. A warning is issued if the limit
  1400. ; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
  1401. ; setting.
  1402. ; MaxReportRhsSVCrossProducts = 1000
  1403. ; Specify the override for the "auto_bin_max" option for the Covergroups.
  1404. ; If not specified then value from Covergroup "option" is used.
  1405. ; SVCoverpointAutoBinMax = 64
  1406. ; Specify the override for the value of "cross_num_print_missing"
  1407. ; option for the Cross in Covergroups. If not specified then value
  1408. ; specified in the "option.cross_num_print_missing" is used. This
  1409. ; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
  1410. ; value specified by user in source file and any SVCrossNumPrintMissingDefault
  1411. ; specified in modelsim.ini.
  1412. ; SVCrossNumPrintMissing = 0
  1413. ; Specify whether to use the value of "cross_num_print_missing"
  1414. ; option in report and GUI for the Cross in Covergroups. If not specified then
  1415. ; cross_num_print_missing is ignored for creating reports and displaying
  1416. ; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
  1417. ; UseSVCrossNumPrintMissing = 0
  1418. ; Specify the threshold of Coverpoint wildcard bin value range size, above which
  1419. ; a warning will be triggered. The default is 4K -- 12 wildcard bits.
  1420. ; SVCoverpointWildCardBinValueSizeWarn = 4096
  1421. ; Specify the override for the value of "strobe" option for the
  1422. ; Covergroup Type. If not specified then value in "type_option.strobe"
  1423. ; will be used. This is runtime option which forces "strobe" to
  1424. ; user specified value and supersedes user specified values in the
  1425. ; SystemVerilog Code. NOTE: This also overrides the compile time
  1426. ; default value override specified using "SVCovergroupStrobeDefault"
  1427. ; SVCovergroupStrobe = 0
  1428. ; Override for explicit assignments in source code to "option.goal" of
  1429. ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
  1430. ; default value of "option.goal" (defined to be 100 in the SystemVerilog
  1431. ; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
  1432. ; SVCovergroupGoal = 100
  1433. ; Override for explicit assignments in source code to "type_option.goal" of
  1434. ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
  1435. ; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
  1436. ; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
  1437. ; SVCovergroupTypeGoal = 100
  1438. ; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
  1439. ; builtin functions, and report. This setting changes the default values of
  1440. ; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
  1441. ; behavior if explicit assignments are not made on option.get_inst_coverage and
  1442. ; type_option.merge_instances by the user. There are two vsim command line
  1443. ; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
  1444. ; The default value of this variable from release 6.6 onwards is 0. This default
  1445. ; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
  1446. ; SVCovergroup63Compatibility = 0
  1447. ; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
  1448. ; and report. This variable sets the default value of type_option.merge_instances.
  1449. ; There are two vsim command line options, -cvgmergeinstances and
  1450. ; -nocvgmergeinstances to override this setting from vsim command line.
  1451. ; The default value of this variable, -1 (don't care), allows the tool to determine
  1452. ; the effective value, based on factors related to capacity and optimization.
  1453. ; The type_option.merge_instances appears in the GUI and coverage reports as either
  1454. ; auto(1) or auto(0), depending on whether the effective value was determined to
  1455. ; be a 1 or a 0.
  1456. ; SVCovergroupMergeInstancesDefault = -1
  1457. ; Enable or disable generation of more detailed information about the sampling
  1458. ; of covergroup, cross, and coverpoints. It provides the details of the number
  1459. ; of times the covergroup instance and type were sampled, as well as details
  1460. ; about why covergroup, cross and coverpoint were not covered. A non-zero value
  1461. ; is to enable this feature. 0 is to disable this feature. Default is 0
  1462. ; SVCovergroupSampleInfo = 0
  1463. ; Specify the maximum number of Coverpoint bins in whole design for
  1464. ; all Covergroups.
  1465. ; MaxSVCoverpointBinsDesign = 2147483648
  1466. ; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
  1467. ; MaxSVCoverpointBinsInst = 1048576
  1468. ; Specify the maximum number of Cross bins in whole design for
  1469. ; all Covergroups.
  1470. ; MaxSVCrossBinsDesign = 2147483648
  1471. ; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
  1472. ; MaxSVCrossBinsInst = 67108864
  1473. ; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
  1474. ; By default, this variable is set 0, in which case option.no_collect setting will take effect.
  1475. ; If this variable is set to 1, all zero-weight coverage items will not be saved.
  1476. ; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting
  1477. ; of this variable.
  1478. ; CvgZWNoCollect = 1
  1479. ; Specify a space delimited list of double quoted TCL style
  1480. ; regular expressions which will be matched against the text of all messages.
  1481. ; If any regular expression is found to be contained within any message, the
  1482. ; status for that message will not be propagated to the UCDB TESTSTATUS.
  1483. ; If no match is detected, then the status will be propagated to the
  1484. ; UCDB TESTSTATUS. More than one such regular expression text is allowed,
  1485. ; and each message text is compared for each regular expression in the list.
  1486. ; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
  1487. ; Set weight for all PSL/SVA cover directives. Default is 1.
  1488. ; CoverWeight = 2
  1489. ; Check vsim plusargs. Default is 0 (off).
  1490. ; 0 = Don't check plusargs
  1491. ; 1 = Warning on unrecognized plusarg
  1492. ; 2 = Error and exit on unrecognized plusarg
  1493. ; CheckPlusargs = 1
  1494. ; Load the specified shared objects with the RTLD_GLOBAL flag.
  1495. ; This gives global visibility to all symbols in the shared objects,
  1496. ; meaning that subsequently loaded shared objects can bind to symbols
  1497. ; in the global shared objects. The list of shared objects should
  1498. ; be whitespace delimited. This option is not supported on the
  1499. ; Windows or AIX platforms.
  1500. ; GlobalSharedObjectList = example1.so example2.so example3.so
  1501. ; Generate the stub definitions for the undefined symbols in the shared libraries being
  1502. ; loaded in the simulation. When this flow is turned on, the undefined symbols will not
  1503. ; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
  1504. ; The valid arguments are: on, off, verbose.
  1505. ; on : turn on the automatic generation of stub definitions.
  1506. ; off: turn off the flow. The undefined symbols will trigger an immediate load failure.
  1507. ; verbose: Turn on the flow and report the undefined symbols for each shared library.
  1508. ; NOTE: This variable can be overriden with vsim switch "-undefsyms".
  1509. ; The default is on.
  1510. ;
  1511. ; UndefSyms = off
  1512. ; Enable the support for checkpointing foreign C++ libraries.
  1513. ; The valid arguments are: 1 and 0.
  1514. ; 1 : turn on the support
  1515. ; 0 : turn off the support (default)
  1516. ; This option is not supported on the Windows platforms.
  1517. ;
  1518. ; AllowCheckpointCpp = 1
  1519. ; Initial seed for the random number generator of the root thread (SystemVerilog).
  1520. ; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
  1521. ; The default value is 0.
  1522. ; Sv_Seed = 0
  1523. ; Specify the solver "engine" that vsim will select for constrained random
  1524. ; generation.
  1525. ; Valid values are:
  1526. ; "auto" - automatically select the best engine for the current
  1527. ; constraint scenario
  1528. ; "bdd" - evaluate all constraint scenarios using the BDD solver engine
  1529. ; "act" - evaluate all constraint scenarios using the ACT solver engine
  1530. ; While the BDD solver engine is generally efficient with constraint scenarios
  1531. ; involving bitwise logical relationships, the ACT solver engine can exhibit
  1532. ; superior performance with constraint scenarios involving large numbers of
  1533. ; random variables related via arithmetic operators (+, *, etc).
  1534. ; NOTE: This variable can be overridden with the vsim "-solveengine" command
  1535. ; line switch.
  1536. ; The default value is "auto".
  1537. ; SolveEngine = auto
  1538. ; Specifies the maximum size that a dynamic array may be resized to by the
  1539. ; solver. If the solver attempts to resize a dynamic array to a size greater
  1540. ; than the specified limit, the solver will abort with an error.
  1541. ; The default value is 10000. A value of 0 indicates no limit.
  1542. ; SolveArrayResizeMax = 10000
  1543. ; Specify error message severity when randomize() and randomize(null) failures
  1544. ; are detected.
  1545. ;
  1546. ; Integer value up to two digits are allowed with each digit having the following legal values:
  1547. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1548. ;
  1549. ; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents
  1550. ; the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit)
  1551. ; represents the setting for randomize(null) calls.
  1552. ;
  1553. ; 2) When a single digit value is used, the setting is applied to both normal randomize() call
  1554. ; and randomize(null) call.
  1555. ;
  1556. ; Example: Fatal error for randomize() failures and NO error for randomize(null) failures
  1557. ; -solvefailseverity=40
  1558. ;
  1559. ; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
  1560. ; enabled, a constraint contradiction report will be displayed for randomize() calls that
  1561. ; have a message severity >= warning (i.e. constraint contradiction reports will not be
  1562. ; generated for randomize() calls having a "no error" severity level)
  1563. ;
  1564. ; NOTE: This variable can be overridden with the vsim "-solvefailseverity" command
  1565. ; line switch.
  1566. ;
  1567. ; The default is 1 (warning).
  1568. ; SolveFailSeverity = 1
  1569. ; Error message severity for suppressible errors that are detected in a
  1570. ; solve/before constraint.
  1571. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1572. ; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
  1573. ; command line switch.
  1574. ; The default is 3 (failure).
  1575. ; SolveBeforeErrorSeverity = 3
  1576. ; Error message severity for suppressible errors that are related to
  1577. ; solve engine capacity limits
  1578. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal
  1579. ; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
  1580. ; command line switch.
  1581. ; The default is 3 (failure).
  1582. ; SolveEngineErrorSeverity = 3
  1583. ; Enable/disable constraint conflicts on randomize() failure
  1584. ; Valid values:
  1585. ; 0 - disable solvefaildebug
  1586. ; 1 - basic debug (no performance penalty)
  1587. ; 2 - enhanced debug (runtime performance penalty)
  1588. ;
  1589. ; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
  1590. ; enabled, a constraint contradiction report will be displayed for randomize() calls that
  1591. ; have a message severity >= warning (i.e. constraint contradiction reports will not be
  1592. ; generated for randomize() calls having a "no error" severity level)
  1593. ;
  1594. ; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
  1595. ; line switch.
  1596. ;
  1597. ; The default is 1 (basic debug).
  1598. ; SolveFailDebug = 1
  1599. ; Upon encountering a randomize() failure, generate a simplified testcase that
  1600. ; will reproduce the failure. Optionally output the testcase to a file.
  1601. ; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
  1602. ; is enabled (see above).
  1603. ; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
  1604. ; command line switch.
  1605. ; The default is OFF (do not generate a testcase). To enable testcase
  1606. ; generation, uncomment this variable. To redirect testcase generation to a
  1607. ; file, specify the name of the output file.
  1608. ; SolveFailTestcase =
  1609. ; Specify solver timeout threshold (in seconds). randomize() will fail if the
  1610. ; CPU time required to evaluate any randset exceeds the specified timeout.
  1611. ; The default value is 500. A value of 0 will disable timeout failures.
  1612. ; SolveTimeout = 500
  1613. ; Specify the maximum size of the solution graph generated by the BDD solver.
  1614. ; This value can be used to force the BDD solver to abort the evaluation of a
  1615. ; complex constraint scenario that cannot be evaluated with finite memory.
  1616. ; This value is specified in 1000s of nodes.
  1617. ; The default value is 10000. A value of 0 indicates no limit.
  1618. ; SolveGraphMaxSize = 10000
  1619. ; Specify the maximum number of evaluations that may be performed on the
  1620. ; solution graph by the BDD solver. This value can be used to force the BDD
  1621. ; solver to abort the evaluation of a complex constraint scenario that cannot
  1622. ; be evaluated in finite time. This value is specified in 10000s of evaluations.
  1623. ; The default value is 10000. A value of 0 indicates no limit.
  1624. ; SolveGraphMaxEval = 10000
  1625. ; Specify random sequence compatiblity with a prior release. This
  1626. ; option is used to get the same random sequences during simulation as
  1627. ; as a prior release. Only prior releases with the same major version
  1628. ; as the current release are allowed.
  1629. ; NOTE: Only those random sequence changes due to solver optimizations are
  1630. ; reverted by this variable. Random sequence changes due to solver bugfixes
  1631. ; cannot be un-done.
  1632. ; NOTE: This variable can be overridden with the vsim "-solverev" command
  1633. ; line switch.
  1634. ; Default value set to "" (no compatibility).
  1635. ; SolveRev =
  1636. ; Environment variable expansion of command line arguments has been depricated
  1637. ; in favor shell level expansion. Universal environment variable expansion
  1638. ; inside -f files is support and continued support for MGC Location Maps provide
  1639. ; alternative methods for handling flexible pathnames.
  1640. ; The following line may be uncommented and the value set to 1 to re-enable this
  1641. ; deprecated behavior. The default value is 0.
  1642. ; DeprecatedEnvironmentVariableExpansion = 0
  1643. ; Specify the memory threshold for the System Verilog garbage collector.
  1644. ; The value is the number of megabytes of class objects that must accumulate
  1645. ; before the garbage collector is run.
  1646. ; The GCThreshold setting is used when class debug mode is disabled to allow
  1647. ; less frequent garbage collection and better simulation performance.
  1648. ; The GCThresholdClassDebug setting is used when class debug mode is enabled
  1649. ; to allow for more frequent garbage collection.
  1650. ; GCThreshold = 100
  1651. ; GCThresholdClassDebug = 5
  1652. ; Turn on/off collapsing of bus ports in VCD dumpports output
  1653. DumpportsCollapse = 1
  1654. ; Location of Multi-Level Verification Component (MVC) installation.
  1655. ; The default location is the product installation directory.
  1656. MvcHome = $MODEL_TECH/..
  1657. ; Location of InFact installation. The default is $MODEL_TECH/../../infact
  1658. ;
  1659. ; InFactHome = $MODEL_TECH/../../infact
  1660. ; Initialize SystemVerilog enums using the base type's default value
  1661. ; instead of the leftmost value.
  1662. ; EnumBaseInit = 1
  1663. ; Suppress file type registration.
  1664. ; SuppressFileTypeReg = 1
  1665. ; Enable/disable non-LRM compliant SystemVerilog language extensions.
  1666. ; Valid extensions are:
  1667. ; altdpiheader - Alternative style function signature generated in DPI header",
  1668. ; cfce - generate an error if $cast fails as a function
  1669. ; cfmt - C like formatting for specifiers with '#' prefix ('%#x', '%#h')
  1670. ; dfsp - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks
  1671. ; expdfmt - enable format string extensions for $display/$sformatf
  1672. ; extscan - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi)
  1673. ; fmtcap - prints capital hex digits with %X/%H in display calls
  1674. ; iddp - ignore DPI disable protocol check
  1675. ; lfmt - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
  1676. ; noexptc - ignore DPI export type name overloading check
  1677. ; realrand - support randomize() with real variables and constraints (Default)
  1678. ; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
  1679. ; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
  1680. ; Valid extensions are:
  1681. ; arraymode - consider rand_mode of unpacked array field independently from its elements
  1682. ; deepcheck - allow randomize(null) to recursively consider constraints from member rand class handles
  1683. ; funcback - enable function backtracking (ACT only)
  1684. ; genmodseedfix - enable LRM-compliant seeding of module/interface instances under for-generate blocks
  1685. ; nodist - interpret 'dist' constraint as 'inside' (ACT only)
  1686. ; noorder - ignore solve/before ordering constraints (ACT only)
  1687. ; pathseed - enable unique seeding of module instances based on hierarchical path name
  1688. ; promotedist - promote priority of 'dist' constraint if LHS has no solve/before
  1689. ; randindex - allow random index in constraint (Default)
  1690. ; randstruct - consider all fields of unpacked structs as 'rand'
  1691. ; skew - skew randomize results (ACT only)
  1692. ; strictstab - strict random stability
  1693. ; SvRandExtensions = [+|-]<extension>[,[+|-]<extension>*]
  1694. ; Controls the formatting of '%p' and '%P' conversion specification, used in $display
  1695. ; and similar system tasks.
  1696. ; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level.
  1697. ; The 'I' flag when present causes relevant data types to be expanded and indented into
  1698. ; a more readable format.
  1699. ; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
  1700. ; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines.
  1701. ; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
  1702. ; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters.
  1703. ; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
  1704. ; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes
  1705. ; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
  1706. ; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes
  1707. ; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
  1708. ; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>.
  1709. ; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
  1710. ; 7. SVPrettyPrintFlags=R<specifier> shows the output of specifier %p as per the specifed radix.
  1711. ; It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)).
  1712. ; (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format.
  1713. ; 8. Items 1-7 above can be combined as a comma separated list.
  1714. ; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb)
  1715. ; SVPrettyPrintFlags=I4S
  1716. [lmc]
  1717. ; The simulator's interface to Logic Modeling's SmartModel SWIFT software
  1718. libsm = $MODEL_TECH/libsm.sl
  1719. ; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
  1720. ; libsm = $MODEL_TECH/libsm.dll
  1721. ; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
  1722. ; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
  1723. ; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
  1724. ; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
  1725. ; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
  1726. ; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
  1727. ; Logic Modeling's SmartModel SWIFT software (Windows NT)
  1728. ; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
  1729. ; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
  1730. ; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
  1731. ; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
  1732. ; libswift = $LMC_HOME/lib/linux.lib/libswift.so
  1733. ; The simulator's interface to Logic Modeling's hardware modeler SFI software
  1734. libhm = $MODEL_TECH/libhm.sl
  1735. ; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
  1736. ; libhm = $MODEL_TECH/libhm.dll
  1737. ; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
  1738. ; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
  1739. ; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
  1740. ; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
  1741. ; Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
  1742. ; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
  1743. ; Logic Modeling's hardware modeler SFI software (Windows NT)
  1744. ; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
  1745. ; Logic Modeling's hardware modeler SFI software (Linux)
  1746. ; libsfi = <sfi_dir>/lib/linux/libsfi.so
  1747. [msg_system]
  1748. ; Change a message severity or suppress a message.
  1749. ; The format is: <msg directive> = <msg number>[,<msg number>...]
  1750. ; suppress can be used to achieve +nowarn<CODE> functionality
  1751. ; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
  1752. ; Examples:
  1753. suppress = 8780,12110 ;an explanation can be had by running: verror 8780
  1754. ; note = 3009
  1755. ; warning = 3033
  1756. ; error = 3010,3016
  1757. ; fatal = 3016,3033
  1758. ; suppress = 3009,3016,3601
  1759. ; suppress = 3009,CNNODP,3601,TFMPC
  1760. ; suppress = 8683,8684
  1761. ; The command verror <msg number> can be used to get the complete
  1762. ; description of a message.
  1763. ; Control transcripting of Verilog display system task messages and
  1764. ; PLI/FLI print function call messages. The system tasks include
  1765. ; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They
  1766. ; also include the analogous file I/O tasks that write to STDOUT
  1767. ; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf,
  1768. ; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default
  1769. ; is to have messages appear only in the transcript. The other
  1770. ; settings are to send messages to the wlf file only (messages that
  1771. ; are recorded in the wlf file can be viewed in the MsgViewer) or
  1772. ; to both the transcript and the wlf file. The valid values are
  1773. ; tran {transcript only (default)}
  1774. ; wlf {wlf file only}
  1775. ; both {transcript and wlf file}
  1776. ; displaymsgmode = tran
  1777. ; Control transcripting of elaboration/runtime messages not
  1778. ; addressed by the displaymsgmode setting. The default is to
  1779. ; have messages appear only in the transcript. The other settings
  1780. ; are to send messages to the wlf file only (messages that are
  1781. ; recorded in the wlf file can be viewed in the MsgViewer) or to both
  1782. ; the transcript and the wlf file. The valid values are
  1783. ; tran {transcript only (default)}
  1784. ; wlf {wlf file only}
  1785. ; both {transcript and wlf file}
  1786. ; msgmode = tran
  1787. ; Controls number of displays of a particluar message
  1788. ; default value is 5
  1789. ; MsgLimitCount = 5
  1790. [utils]
  1791. ; Default Library Type (while creating a library with "vlib")
  1792. ; 0 - legacy library using subdirectories for design units
  1793. ; 2 - flat library
  1794. ; DefaultLibType = 2
  1795. ; Flat Library Page Size (while creating a library with "vlib")
  1796. ; Set the size in bytes for flat library file pages. Libraries containing
  1797. ; very large files may benefit from a larger value.
  1798. ; FlatLibPageSize = 8192
  1799. ; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
  1800. ; Set the percentage of total pages deleted before library cleanup can occur.
  1801. ; This setting is applied together with FlatLibPageDeleteThreshold.
  1802. ; FlatLibPageDeletePercentage = 50
  1803. ; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
  1804. ; Set the number of pages deleted before library cleanup can occur.
  1805. ; This setting is applied together with FlatLibPageDeletePercentage.
  1806. ; FlatLibPageDeleteThreshold = 1000