m255 K4 z2 !s12c _opt1 Z0 !s99 nomlopt !s12c _opt R0 !s11e vcom 2023.2 2023.04, Apr 11 2023 13 !s112 1.1 !i10d 8192 !i10e 25 !i10f 100 cModel Technology Z1 d/users/ads1/schmelzma80036/linux/signal_processing/tests/hardware/task_add_rand T_opt !s110 1701762168 VV4M?i9kGOfRQ;3GN@VBbm2 Z2 04 18 4 work test_task_add_rand test 1 =1-005056868618-656ed478-69730-4f42c R0 Z3 !s12b OEM100 Z4 !s124 OEM100 o-quiet -auto_acc_if_foreign -work work -g CHECK_RESULTS=true +acc Z5 tCvgOpt 0 n@_opt Z6 OL;O;2023.2;77 R1 T_opt1 !s110 1701762176 Vf]VhHa1a[NXc;`>X>461o2 R2 =1-005056868618-656ed480-56c2c-4f6b6 R0 R3 R4 o-quiet -auto_acc_if_foreign -work work -g CHECK_RESULTS=false +acc R5 n@_opt1 R6 Eadd Z7 w1701762007 Z8 DPx4 ieee 9 math_real 0 22 :8NNHF3SFI6^on5?4X[S`1 Z9 DPx4 work 4 task 0 22 F_eNMz2i<52BU0 !s100 g0P[c8En4lD1TgZC^9Lg[2 R16 33 R17 !i10b 1 R18 R19 R20 !i113 0 R21 R22 Padd_rand_data Z25 DPx4 ieee 9 fixed_pkg 0 22 PQo]@iK^QBglmSTZ7kJDK3 Z26 DBx4 ieee 17 fixed_generic_pkg 4 body 22 oEANA[?]]:^NiaRa4al943 Z27 DPx4 ieee 17 fixed_float_types 0 22 ffi_ZLQ=jLAmYO?69bja?3 Z28 DBx4 ieee 17 float_generic_pkg 4 body 22 iiQGmCSgVf7:NDG@>Phz_1 Z29 DPx4 ieee 9 float_pkg 0 22 1L7NOAf6nCQU:AJW`ogo60 R11 Z30 DPx4 work 12 test_utility 0 22 LfgjXoXNZO0oU:>3HOf]j0 R12 R13 !i122 40 Z31 w1699954351 R1 8../../data/add_rand.vhd F../../data/add_rand.vhd l0 L7 3 Vf>2[1PLQzGAWWXKT`YkIY2 !s100 LFijVnhc7[Cn]SV[XYfmf2 R16 33 Z32 !s110 1701762175 !i10b 1 Z33 !s108 1701762175.000000 !s90 -work|work|-2008|../../data/add_rand.vhd| !s107 ../../data/add_rand.vhd| !i113 0 R21 R22 Pavalon_slave R12 R13 !i122 23 R31 R1 8../../../hardware/system/avalon_slave.vhd F../../../hardware/system/avalon_slave.vhd l0 L4 21 V@?[Ba;=aE8=>@_SK1ec:a3 !s100 Y_k7BU9XTbHdh188zDQLY2 R16 33 R17 !i10b 1 R18 !s90 -work|work|-2008|../../../hardware/system/avalon_slave.vhd| !s107 ../../../hardware/system/avalon_slave.vhd| !i113 0 R21 R22 Eavalon_slave_transitions R31 Z34 DPx4 work 12 avalon_slave 0 22 @?[Ba;=aE8=>@_SK1ec:a3 R10 R11 R12 R13 !i122 24 R1 Z35 8../../../hardware/system/avalon_slave_transitions.vhd Z36 F../../../hardware/system/avalon_slave_transitions.vhd l0 L9 1 VIhEXFdOShEmn:n5_Y0goM1 !s100 Afh4H06EPO3EJDWEfAN0=0 R16 33 R17 !i10b 1 R18 Z37 !s90 -work|work|-2008|../../../hardware/system/avalon_slave_transitions.vhd| Z38 !s107 ../../../hardware/system/avalon_slave_transitions.vhd| !i113 0 R21 R22 Artl R34 R10 R11 R12 R13 Z39 DEx4 work 24 avalon_slave_transitions 0 22 IhEXFdOShEmn:n5_Y0goM1 !i122 24 l37 L25 65 VYdE6:2ISUEd0Q>igSVnda1 !s100 @cB0QDUkMjL>10 !s100 ZUUh=EYiS87PRMT7WA;GU3 R16 33 R32 !i10b 1 R33 Z42 !s90 -work|work|-2008|../../../hardware/system/data_channel.vhd| Z43 !s107 ../../../hardware/system/data_channel.vhd| !i113 0 R21 R22 Astruct Z44 DEx4 work 15 data_source_mux 0 22 D0Y0f1TgK@TR@cB0QDUkMjL>10 !i122 33 l49 L27 96 VBRGULPM[2ao62kHB[493 R16 33 R17 !i10b 1 R18 R69 R70 !i113 0 R21 R22 Ehardware_task_control R31 R34 R8 R9 R10 R11 R12 R13 !i122 25 R1 Z71 8../../../hardware/system/hardware_task_control.vhd Z72 F../../../hardware/system/hardware_task_control.vhd l0 L10 1 VN_[hm^[`8P3C_?XhKfMiB1 !s100 VTe[_8IFOO_mhIP<3miBi3 R16 33 R17 !i10b 1 R18 Z73 !s90 -work|work|-2008|../../../hardware/system/hardware_task_control.vhd| Z74 !s107 ../../../hardware/system/hardware_task_control.vhd| !i113 0 R21 R22 Artl R39 R34 R8 R9 R10 R11 R12 R13 Z75 DEx4 work 21 hardware_task_control 0 22 N_[hm^[`8P3C_?XhKfMiB1 !i122 25 l65 L27 110 V>dkmQz3Q>[@^YR5baS3@T3 !s100 H>[SQTM5XUD5DZRbn>>Qa1 R16 33 R17 !i10b 1 R18 R73 R74 !i113 0 R21 R22 Prand_data R25 R26 R27 R28 R29 R11 R30 R12 R13 !i122 39 R31 R1 8../../data/rand.vhd F../../data/rand.vhd l0 L7 3 Vng^dEaiDnDY^ok`f4]?@O0 !s100 5K?VlGk19>`b<:zXS[zK=2 R16 33 R32 !i10b 1 R33 !s90 -work|work|-2008|../../data/rand.vhd| !s107 ../../data/rand.vhd| !i113 0 R21 R22 Preg32 R12 R13 !i122 21 R31 R1 Z76 8../../../hardware/system/reg32.vhd Z77 F../../../hardware/system/reg32.vhd l0 L4 22 V;CN4zHhK9B2g@^5:J8Q2 R16 33 b1 R17 !i10b 1 R18 Z78 !s90 -work|work|-2008|../../../hardware/system/reg32.vhd| Z79 !s107 ../../../hardware/system/reg32.vhd| !i113 0 R21 R22 Bbody R10 R12 R13 !i122 21 l0 L27 15 Ve]>7^TdiV29fQSH02B]>g2 !s100 IzA_O[i3 !s100 J_c9lXfTn[bZ6:T5b[K6j2 R16 33 R32 !i10b 1 R33 !s90 -work|work|-2008|../../data/sine_cosine.vhd| !s107 ../../data/sine_cosine.vhd| !i113 0 R21 R22 Ptask R8 R11 R12 R13 !i122 22 R31 R1 Z80 8../../../hardware/system/task.vhd Z81 F../../../hardware/system/task.vhd l0 L7 13 VUfA]7TKPoiFleR9M]X0 R16 33 b1 R17 !i10b 1 R18 Z82 !s90 -work|work|-2008|../../../hardware/system/task.vhd| Z83 !s107 ../../../hardware/system/task.vhd| !i113 0 R21 R22 Bbody R9 R8 R11 R12 R13 !i122 22 l0 L21 20 V2oWBhb1Hn^eNWQoAZPWOT2 !s100 SBmbLcWl_l6O`KN;FUj4R2 R16 33 R17 !i10b 1 R18 R82 R83 !i113 0 R21 R22 Etask_add R31 R8 R9 R10 R11 R12 R13 !i122 28 R1 Z84 8../../../hardware/system/task_add.vhd Z85 F../../../hardware/system/task_add.vhd l0 L9 1 Veo^c@g?eLN8_2AmoV^m`^1 !s100 G3>WFe9dX]^]^;@7No3Bn3 R16 33 R17 !i10b 1 R18 Z86 !s90 -work|work|-2008|../../../hardware/system/task_add.vhd| Z87 !s107 ../../../hardware/system/task_add.vhd| !i113 0 R21 R22 Astruct R24 R34 R75 R8 R9 R10 R11 R12 R13 Z88 DEx4 work 8 task_add 0 22 eo^c@g?eLN8_2AmoV^m`^1 !i122 28 l37 L31 42 VV5czSX;@iNFC=UW2V9VH53 !s100 Y7Zjhf3afFaNeMOBFfE6d0 R16 33 R17 !i10b 1 R18 R86 R87 !i113 0 R21 R22 Ptest_avalon_slave R34 R10 R11 R12 R13 !i122 35 R31 R1 Z89 8../test_avalon_slave.vhd Z90 F../test_avalon_slave.vhd l0 L9 21 V=E=BCE3fezo;=1No_WYez0 !s100 BGj_k03 R16 33 b1 R32 !i10b 1 R33 Z91 !s90 -work|work|-2008|../test_avalon_slave.vhd| Z92 !s107 ../test_avalon_slave.vhd| !i113 0 R21 R22 Bbody Z93 DPx4 work 17 test_avalon_slave 0 22 =E=BCE3fezo;=1No_WYez0 R34 R10 R11 R12 R13 !i122 35 l0 L31 59 VXI0nR060SQ12W38YkUD@C3 !s100 WZd:QGOIR=JM3 R16 33 R32 !i10b 1 R33 R101 R102 !i113 0 R21 R22 Etest_task_add_rand R31 Z104 DPx3 std 3 env 0 22 QPZJVBPkV?55nUWhDzFik0 R98 R103 R8 R9 R93 R30 R34 R10 R25 Z105 DPx4 ieee 17 fixed_generic_pkg 0 22 2hf4dO9[Ma=AYh2 R29 R11 R12 R13 !i122 41 R1 Z107 8test_task_add_rand.vhd Z108 Ftest_task_add_rand.vhd l0 L19 1 V^F5^X:8:WOLG4jihBdi142 !s100 `7n1OMIzcJzJFT^RlDI=j2 R16 33 R32 !i10b 1 R33 Z109 !s90 -work|work|-2008|test_task_add_rand.vhd| Z110 !s107 test_task_add_rand.vhd| !i113 0 R21 R22 Atest DPx4 work 13 add_rand_data 0 22 f>2[1PLQzGAWWXKT`YkIY2 DPx4 work 9 rand_data 0 22 ng^dEaiDnDY^ok`f4]?@O0 DPx4 work 16 sine_cosine_data 0 22 G2eZSA_O[i3 R48 R88 R104 R98 R103 R8 R9 R93 R30 R34 R10 R25 R105 R27 R106 R29 R11 R12 R13 DEx4 work 18 test_task_add_rand 0 22 ^F5^X:8:WOLG4jihBdi142 !i122 41 l73 L23 161 V=K7hHV2n0lTNk8:D:@IjQ0 !s100 nBV0:z21N8QWdD4fc:kZ93 R16 33 R32 !i10b 1 R33 R109 R110 !i113 0 R21 R22 Ptest_utility R25 R26 R27 R28 R29 R11 R12 R13 !i122 34 R31 R1 Z111 8../test_utility.vhd Z112 F../test_utility.vhd l0 L9 18 VLfgjXoXNZO0oU:>3HOf]j0 !s100 YPd92oeJ9Vbb]_IQiX^Dd0 R16 33 b1 R32 !i10b 1 R33 Z113 !s90 -work|work|-2008|../test_utility.vhd| Z114 !s107 ../test_utility.vhd| !i113 0 R21 R22 Bbody R30 R25 R26 R27 R28 R29 R11 R12 R13 !i122 34 l0 L28 43 Vd1?l^cn8a]9M5=ZnIR0aW2 !s100 eNzl