# // Questa Sim-64 # // Version 2023.2 linux_x86_64 Apr 11 2023 # // # // Copyright 1991-2023 Mentor Graphics Corporation # // All Rights Reserved. # // # // QuestaSim and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # vsim -voptargs="+acc" work.test_task_fft -do "do vsim.wave; set StdArithNoWarnings 1; set NumericStdNoWarnings 1; run -all" -gGUI_MODE=true -gCHECK_RESULTS=true # Start time: 11:02:16 on Dec 19,2023 # ** Note: (vsim-8009) Loading existing optimized design _opt1 # Loading std.standard # Loading std.textio(body) # Loading ieee.std_logic_1164(body) # Loading ieee.numeric_std(body) # Loading ieee.fixed_float_types # Loading ieee.math_real(body) # Loading ieee.fixed_generic_pkg(body) # Loading ieee.float_generic_pkg(body) # Loading ieee.fixed_pkg # Loading ieee.float_pkg # Loading work.reg32(body) # Loading work.avalon_slave # Loading work.test_utility(body) # Loading work.test_avalon_slave(body) # Loading work.task(body) # Loading work.fft_data # Loading work.test_hardware_task(body) # Loading std.env(body) # Loading work.test_task_fft(test)#1 # Loading work.float(body) # Loading work.task_fft(struct)#1 # Loading work.hardware_task_control(rtl)#1 # Loading work.avalon_slave_transitions(rtl)#1 # Loading work.fft(rtl)#1 # Loading work.fft_magnitude_calc(rtl)#1 # Loading work.squareroot_pipe(squareroot_pipe_rtl)#1 # Loading work.FFTMAIN(fast) # Loading work.SdfUnit(fast) # Loading work.Butterfly(fast) # Loading work.DelayBuffer(fast) # Loading work.Butterfly(fast__1) # Loading work.DelayBuffer(fast__1) # Loading work.Twiddle(fast) # Loading work.Multiply(fast) # Loading work.SdfUnit(fast__1) # Loading work.DelayBuffer(fast__2) # Loading work.DelayBuffer(fast__3) # Loading work.SdfUnit(fast__2) # Loading work.DelayBuffer(fast__4) # Loading work.DelayBuffer(fast__5) # Loading work.SdfUnit(fast__3) # Loading work.DelayBuffer(fast__6) # Loading work.DelayBuffer(fast__7) # Loading work.SdfUnit(fast__4) # Loading work.DelayBuffer(fast__8) # Loading work.DelayBuffer(fast__9) # do vsim.wave # set StdArithNoWarnings 1 # 1 # set NumericStdNoWarnings 1 # 1 # run -all # -------------------------------------------------------------------------------- # Starting test_task_fft # test_configure ... [ OK ] test_execute ... # End time: 11:13:52 on Dec 19,2023, Elapsed time: 0:11:36 # Errors: 0, Warnings: 0