# Ausführen des Simulators vasim -c -cmd .cmd -do run.do # Kompilieren der Testbench valog