# ESY1B Verifikation mit SystemVerilog und Python Verwendeter Programmcode in Studienarbeit für ESY1B zum Thema "Verifikation mit SystemVerilog und Python"