#----------------------------------------------------------- # Vivado v2021.2 (64-bit) # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 # Start of session at: Thu Mar 24 14:37:08 2022 # Process ID: 2148 # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12260 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Coraz7_Test\Coraz7_Test.xpr # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/vivado.log # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test\vivado.jou # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB #----------------------------------------------------------- start_gui open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.xpr update_compile_order -fileset sources_1 synth_design -rtl -rtl_skip_mlo -name rtl_1 open_run synth_1 -name synth_1 set_property target_constrs_file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc [current_fileset -constrset] launch_runs impl_1 -jobs 6 wait_on_run impl_1 close_design open_run impl_1 set_property IOSTANDARD LVCMOS33 [get_ports [list clk]] set_property IOSTANDARD LVCMOS33 [get_ports [list led]] place_ports led G14 set_property DRIVE 12 [get_ports [list led]] set_property IOSTANDARD LVCMOS33 [get_ports [list led]] set_property OFFCHIP_TERM NONE [get_ports [list clk]] set_property PULLTYPE NONE [get_ports [list clk]] set_property IOSTANDARD LVCMOS33 [get_ports [list clk]] place_ports clk H16 save_constraints reset_run impl_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 open_hw_manager connect_hw_server -allow_non_jtag open_hw_target set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] current_hw_device [get_hw_devices xc7z010_1] refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0] set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] disconnect_hw_server localhost:3121 connect_hw_server -allow_non_jtag disconnect_hw_server localhost:3121 connect_hw_server -allow_non_jtag open_hw_target set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] current_hw_device [get_hw_devices xc7z010_1] refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0] set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 reset_run impl_1 -prev_step launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs synth_1 -jobs 6 wait_on_run synth_1 reset_run synth_1 launch_runs synth_1 -jobs 6 wait_on_run synth_1 reset_run synth_1 launch_runs impl_1 -jobs 6 wait_on_run impl_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0] reset_run synth_1 launch_runs impl_1 -to_step write_bitstream -jobs 6 wait_on_run impl_1 set_property PROBES.FILE {} [get_hw_devices xc7z010_1] set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1] set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1] program_hw_devices [get_hw_devices xc7z010_1] refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]