FPGA Projektarbeit
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Coraz7_Test.xpr 12KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!-- Product Version: Vivado v2021.2 (64-bit) -->
  3. <!-- -->
  4. <!-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -->
  5. <Project Version="7" Minor="56" Path="C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.xpr">
  6. <DefaultLaunch Dir="$PRUNDIR"/>
  7. <Configuration>
  8. <Option Name="Id" Val="0548ab2430ec43b198e1ef48542e139d"/>
  9. <Option Name="Part" Val="xc7z010clg400-1"/>
  10. <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
  11. <Option Name="CompiledLibDirXSim" Val=""/>
  12. <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
  13. <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
  14. <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
  15. <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
  16. <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
  17. <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
  18. <Option Name="SimulatorInstallDirModelSim" Val=""/>
  19. <Option Name="SimulatorInstallDirQuesta" Val=""/>
  20. <Option Name="SimulatorInstallDirXcelium" Val=""/>
  21. <Option Name="SimulatorInstallDirVCS" Val=""/>
  22. <Option Name="SimulatorInstallDirRiviera" Val=""/>
  23. <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
  24. <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
  25. <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
  26. <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
  27. <Option Name="SimulatorGccInstallDirVCS" Val=""/>
  28. <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
  29. <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
  30. <Option Name="SimulatorVersionXsim" Val="2021.2"/>
  31. <Option Name="SimulatorVersionModelSim" Val="2020.4"/>
  32. <Option Name="SimulatorVersionQuesta" Val="2020.4"/>
  33. <Option Name="SimulatorVersionXcelium" Val="20.09.006"/>
  34. <Option Name="SimulatorVersionVCS" Val="R-2020.12"/>
  35. <Option Name="SimulatorVersionRiviera" Val="2020.10"/>
  36. <Option Name="SimulatorVersionActiveHdl" Val="12.0"/>
  37. <Option Name="SimulatorGccVersionXsim" Val="6.2.0"/>
  38. <Option Name="SimulatorGccVersionModelSim" Val="5.3.0"/>
  39. <Option Name="SimulatorGccVersionQuesta" Val="5.3.0"/>
  40. <Option Name="SimulatorGccVersionXcelium" Val="6.3"/>
  41. <Option Name="SimulatorGccVersionVCS" Val="6.2.0"/>
  42. <Option Name="SimulatorGccVersionRiviera" Val="6.2.0"/>
  43. <Option Name="SimulatorGccVersionActiveHdl" Val="6.2.0"/>
  44. <Option Name="BoardPart" Val="digilentinc.com:zybo-z7-10:part0:1.0"/>
  45. <Option Name="BoardPartRepoPaths" Val="$PPRDIR/../../../../../AppData/Roaming/Xilinx/Vivado/2021.2/xhub/board_store/xilinx_board_store"/>
  46. <Option Name="ActiveSimSet" Val="sim_1"/>
  47. <Option Name="DefaultLib" Val="xil_defaultlib"/>
  48. <Option Name="ProjectType" Val="Default"/>
  49. <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
  50. <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
  51. <Option Name="IPCachePermission" Val="read"/>
  52. <Option Name="IPCachePermission" Val="write"/>
  53. <Option Name="EnableCoreContainer" Val="FALSE"/>
  54. <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
  55. <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
  56. <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
  57. <Option Name="EnableBDX" Val="FALSE"/>
  58. <Option Name="DSABoardId" Val="zybo-z7-10"/>
  59. <Option Name="WTXSimLaunchSim" Val="44"/>
  60. <Option Name="WTModelSimLaunchSim" Val="0"/>
  61. <Option Name="WTQuestaLaunchSim" Val="0"/>
  62. <Option Name="WTIesLaunchSim" Val="0"/>
  63. <Option Name="WTVcsLaunchSim" Val="0"/>
  64. <Option Name="WTRivieraLaunchSim" Val="0"/>
  65. <Option Name="WTActivehdlLaunchSim" Val="0"/>
  66. <Option Name="WTXSimExportSim" Val="0"/>
  67. <Option Name="WTModelSimExportSim" Val="0"/>
  68. <Option Name="WTQuestaExportSim" Val="0"/>
  69. <Option Name="WTIesExportSim" Val="0"/>
  70. <Option Name="WTVcsExportSim" Val="0"/>
  71. <Option Name="WTRivieraExportSim" Val="0"/>
  72. <Option Name="WTActivehdlExportSim" Val="0"/>
  73. <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
  74. <Option Name="XSimRadix" Val="hex"/>
  75. <Option Name="XSimTimeUnit" Val="ns"/>
  76. <Option Name="XSimArrayDisplayLimit" Val="1024"/>
  77. <Option Name="XSimTraceLimit" Val="65536"/>
  78. <Option Name="SimTypes" Val="rtl"/>
  79. <Option Name="SimTypes" Val="bfm"/>
  80. <Option Name="SimTypes" Val="tlm"/>
  81. <Option Name="SimTypes" Val="tlm_dpi"/>
  82. <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
  83. <Option Name="DcpsUptoDate" Val="TRUE"/>
  84. <Option Name="ClassicSocBoot" Val="FALSE"/>
  85. </Configuration>
  86. <FileSets Version="1" Minor="31">
  87. <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
  88. <Filter Type="Srcs"/>
  89. <File Path="$PSRCDIR/sources_1/new/pwm_test.vhd">
  90. <FileInfo>
  91. <Attr Name="UsedIn" Val="synthesis"/>
  92. <Attr Name="UsedIn" Val="simulation"/>
  93. </FileInfo>
  94. </File>
  95. <Config>
  96. <Option Name="DesignMode" Val="RTL"/>
  97. <Option Name="TopModule" Val="pwm_test"/>
  98. <Option Name="TopAutoSet" Val="TRUE"/>
  99. </Config>
  100. </FileSet>
  101. <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
  102. <Filter Type="Constrs"/>
  103. <File Path="$PPRDIR/../Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc">
  104. <FileInfo>
  105. <Attr Name="UsedIn" Val="synthesis"/>
  106. <Attr Name="UsedIn" Val="implementation"/>
  107. </FileInfo>
  108. </File>
  109. <Config>
  110. <Option Name="TargetConstrsFile" Val="$PPRDIR/../Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc"/>
  111. <Option Name="ConstrsType" Val="XDC"/>
  112. </Config>
  113. </FileSet>
  114. <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
  115. <Filter Type="Srcs"/>
  116. <File Path="$PSRCDIR/sim_1/new/pwm_test_db.vhd">
  117. <FileInfo>
  118. <Attr Name="UsedIn" Val="synthesis"/>
  119. <Attr Name="UsedIn" Val="simulation"/>
  120. </FileInfo>
  121. </File>
  122. <File Path="$PPRDIR/pwm_test_db_func_synth.wcfg">
  123. <FileInfo>
  124. <Attr Name="UsedIn" Val="simulation"/>
  125. </FileInfo>
  126. </File>
  127. <Config>
  128. <Option Name="DesignMode" Val="RTL"/>
  129. <Option Name="TopModule" Val="pwm_test_db"/>
  130. <Option Name="TopLib" Val="xil_defaultlib"/>
  131. <Option Name="TopAutoSet" Val="TRUE"/>
  132. <Option Name="TransportPathDelay" Val="0"/>
  133. <Option Name="TransportIntDelay" Val="0"/>
  134. <Option Name="SelectedSimModel" Val="rtl"/>
  135. <Option Name="PamDesignTestbench" Val=""/>
  136. <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
  137. <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
  138. <Option Name="PamPseudoTop" Val="pseudo_tb"/>
  139. <Option Name="SrcSet" Val="sources_1"/>
  140. <Option Name="XSimWcfgFile" Val="$PPRDIR/pwm_test_db_func_synth.wcfg"/>
  141. <Option Name="xsim.simulate.runtime" Val="5 ms"/>
  142. </Config>
  143. </FileSet>
  144. <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
  145. <Filter Type="Utils"/>
  146. <File Path="$PSRCDIR/utils_1/imports/synth_1/pwm_test.dcp">
  147. <FileInfo>
  148. <Attr Name="UsedIn" Val="synthesis"/>
  149. <Attr Name="UsedIn" Val="implementation"/>
  150. <Attr Name="UsedInSteps" Val="synth_1"/>
  151. <Attr Name="AutoDcp" Val="1"/>
  152. </FileInfo>
  153. </File>
  154. <Config>
  155. <Option Name="TopAutoSet" Val="TRUE"/>
  156. </Config>
  157. </FileSet>
  158. </FileSets>
  159. <Simulators>
  160. <Simulator Name="XSim">
  161. <Option Name="Description" Val="Vivado Simulator"/>
  162. <Option Name="CompiledLib" Val="0"/>
  163. </Simulator>
  164. <Simulator Name="ModelSim">
  165. <Option Name="Description" Val="ModelSim Simulator"/>
  166. </Simulator>
  167. <Simulator Name="Questa">
  168. <Option Name="Description" Val="Questa Advanced Simulator"/>
  169. </Simulator>
  170. <Simulator Name="Riviera">
  171. <Option Name="Description" Val="Riviera-PRO Simulator"/>
  172. </Simulator>
  173. <Simulator Name="ActiveHDL">
  174. <Option Name="Description" Val="Active-HDL Simulator"/>
  175. </Simulator>
  176. </Simulators>
  177. <Runs Version="1" Minor="15">
  178. <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/pwm_test.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1">
  179. <Strategy Version="1" Minor="2">
  180. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/>
  181. <Step Id="synth_design"/>
  182. </Strategy>
  183. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  184. <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2021"/>
  185. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  186. <RQSFiles/>
  187. </Run>
  188. <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1">
  189. <Strategy Version="1" Minor="2">
  190. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2021"/>
  191. <Step Id="init_design"/>
  192. <Step Id="opt_design"/>
  193. <Step Id="power_opt_design"/>
  194. <Step Id="place_design"/>
  195. <Step Id="post_place_power_opt_design"/>
  196. <Step Id="phys_opt_design"/>
  197. <Step Id="route_design"/>
  198. <Step Id="post_route_phys_opt_design"/>
  199. <Step Id="write_bitstream"/>
  200. </Strategy>
  201. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  202. <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2021"/>
  203. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  204. <RQSFiles/>
  205. </Run>
  206. </Runs>
  207. <Board>
  208. <Jumpers/>
  209. </Board>
  210. <DashboardSummary Version="1" Minor="0">
  211. <Dashboards>
  212. <Dashboard Name="default_dashboard">
  213. <Gadgets>
  214. <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
  215. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
  216. </Gadget>
  217. <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
  218. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
  219. </Gadget>
  220. <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
  221. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
  222. </Gadget>
  223. <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
  224. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
  225. </Gadget>
  226. <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
  227. <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
  228. <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
  229. <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
  230. </Gadget>
  231. <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
  232. <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
  233. </Gadget>
  234. </Gadgets>
  235. </Dashboard>
  236. <CurrentDashboard>default_dashboard</CurrentDashboard>
  237. </Dashboards>
  238. </DashboardSummary>
  239. </Project>