FPGA Projektarbeit
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado.jou 1.3KB

1234567891011121314151617181920212223242526
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Fri Mar 25 10:05:22 2022
  6. # Process ID: 12568
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test
  8. # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent6576 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Coraz7_Test\Coraz7_Test.xpr
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/vivado.log
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. start_gui
  14. open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.xpr
  15. update_compile_order -fileset sources_1
  16. reset_run synth_1
  17. launch_runs synth_1 -jobs 6
  18. wait_on_run synth_1
  19. open_run synth_1 -name synth_1
  20. set_property IOSTANDARD {} [get_ports [list clk]]
  21. set_property IOSTANDARD LVCMOS33 [get_ports [list clk]]
  22. save_constraints
  23. launch_runs impl_1 -to_step write_bitstream -jobs 6
  24. wait_on_run impl_1
  25. close_design
  26. open_run impl_1