FPGA Projektarbeit
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_20284.backup.log 37KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Mon Mar 21 13:28:28 2022
  6. # Process ID: 20284
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test
  8. # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent22908 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Coraz7_Test\Coraz7_Test.xpr
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/vivado.log
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. start_gui
  14. open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.xpr
  15. WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/boards/board_files/genesys2/H/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  16. WARNING: [Board 49-26] cannot add Board Part digilentinc.com:sword:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/boards/board_files/sword/C.0/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  17. INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.gen/sources_1'.
  18. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kc705/1.6/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  19. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.6/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available
  20. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.7 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.7/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available
  21. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu1500:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu1500/1.2/board.xml as part xcku115-flvb2104-2-e specified in board_part file is either invalid or not available
  22. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vc707:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vc707/1.4/board.xml as part xc7vx485tffg1761-2 specified in board_part file is either invalid or not available
  23. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vc709:part0:1.8 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vc709/1.8/board.xml as part xc7vx690tffg1761-2 specified in board_part file is either invalid or not available
  24. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vck190:part0:2.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vck190/production/2.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
  25. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vck190_es:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vck190/es/1.3/board.xml as part xcvc1902-vsva2197-2mp-e-s-es1 specified in board_part file is either invalid or not available
  26. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu108:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu108/1.6/board.xml as part xcvu095-ffva2104-2-e specified in board_part file is either invalid or not available
  27. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu108:part0:1.7 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu108/1.7/board.xml as part xcvu095-ffva2104-2-e specified in board_part file is either invalid or not available
  28. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu110:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu110/1.4/board.xml as part xcvu190-flgc2104-2-e specified in board_part file is either invalid or not available
  29. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.0/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  30. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.3/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  31. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.4/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  32. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu128:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu128/production/1.0/board.xml as part xcvu37p-fsvh2892-2l-e specified in board_part file is either invalid or not available
  33. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu129:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu129/production/1.0/board.xml as part xcvu29p-fsga2577-2l-e specified in board_part file is either invalid or not available
  34. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu1525:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu1525/1.3/board.xml as part xcvu9p-fsgd2104-2l-e specified in board_part file is either invalid or not available
  35. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vermeo_t1_mpsoc:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vermeo_t1_mpsoc/1.0/board.xml as part xczu19eg-ffvd1760-2l-e specified in board_part file is either invalid or not available
  36. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vermeo_t1_rfsoc:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vermeo_t1_rfsoc/1.0/board.xml as part xczu21dr-ffvd1156-2l-e specified in board_part file is either invalid or not available
  37. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vmk180:part0:2.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vmk180/production/2.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
  38. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vmk180_es:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vmk180/es/1.3/board.xml as part xcvm1802-vsva2197-2mp-e-s-es1 specified in board_part file is either invalid or not available
  39. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available
  40. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zc706/1.4/board.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available
  41. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu102:part0:3.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu102/3.3/board.xml as part xczu9eg-ffvb1156-2-e specified in board_part file is either invalid or not available
  42. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu102:part0:3.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu102/3.4/board.xml as part xczu9eg-ffvb1156-2-e specified in board_part file is either invalid or not available
  43. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.2/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  44. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.3/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  45. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.4/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  46. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu1275:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu1275/1.0/board.xml as part xczu29dr-ffvf1760-2-e specified in board_part file is either invalid or not available
  47. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu1285:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu1285/1.0/board.xml as part xczu39dr-ffvf1760-2-i specified in board_part file is either invalid or not available
  48. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/production/2.0/board.xml as part xczu48dr-fsvg1517-2-e specified in board_part file is either invalid or not available
  49. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.0/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  50. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.1/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  51. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.2/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  52. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
  53. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/es/1.0/board.xml as part xczu58dr-fsvg1517-2-i-es1 specified in board_part file is either invalid or not available
  54. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/production/2.0/board.xml as part xczu49dr-ffvf1760-2-e specified in board_part file is either invalid or not available
  55. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.0/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  56. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.1/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  57. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.2/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  58. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
  59. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/es/1.0/board.xml as part xczu59dr-ffvf1760-2-i-es1 specified in board_part file is either invalid or not available
  60. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/1.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available
  61. Scanning sources...
  62. Finished scanning sources
  63. INFO: [IP_Flow 19-234] Refreshing IP repositories
  64. INFO: [IP_Flow 19-1704] No user IP repositories specified
  65. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  66. open_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:11 . Memory (MB): peak = 1254.008 ; gain = 0.000
  67. update_compile_order -fileset sources_1
  68. launch_simulation
  69. Command: launch_simulation
  70. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  71. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  72. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  73. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  74. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  75. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  76. INFO: [USF-XSim-97] Finding global include files...
  77. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  78. INFO: [USF-XSim-2] XSim::Compile design
  79. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  80. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  81. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd" into library xil_defaultlib
  82. INFO: [VRFC 10-3107] analyzing entity 'pwm_test'
  83. INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sim_1/new/pwm_test_db.vhd" into library xil_defaultlib
  84. INFO: [VRFC 10-3107] analyzing entity 'pwm_test_db'
  85. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  86. INFO: [USF-XSim-3] XSim::Elaborate design
  87. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  88. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  89. Vivado Simulator v2021.2
  90. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  91. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  92. Using 2 slave threads.
  93. Starting static elaboration
  94. Completed static elaboration
  95. Starting simulation data flow analysis
  96. Completed simulation data flow analysis
  97. Time Resolution for simulation is 1ps
  98. Compiling package std.standard
  99. Compiling package std.textio
  100. Compiling package ieee.std_logic_1164
  101. Compiling architecture behavioral of entity xil_defaultlib.pwm_test [pwm_test_default]
  102. Compiling architecture behavioral of entity xil_defaultlib.pwm_test_db
  103. Built simulation snapshot pwm_test_db_behav
  104. INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
  105. INFO: [USF-XSim-4] XSim::Simulate design
  106. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  107. INFO: [USF-XSim-98] *** Running xsim
  108. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  109. INFO: [USF-XSim-8] Loading simulator feature
  110. Time resolution is 1 ps
  111. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  112. WARNING: Simulation object /pwm_test_db/uut/count_reg was not found in the design.
  113. source pwm_test_db.tcl
  114. # set curr_wave [current_wave_config]
  115. # if { [string length $curr_wave] == 0 } {
  116. # if { [llength [get_objects]] > 0} {
  117. # add_wave /
  118. # set_property needs_save false [current_wave_config]
  119. # } else {
  120. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  121. # }
  122. # }
  123. # run 5000 ms
  124. INFO: [Common 17-41] Interrupt caught. Command should exit soon.
  125. run: Time (s): cpu = 00:01:38 ; elapsed = 00:01:33 . Memory (MB): peak = 1254.008 ; gain = 0.000
  126. INFO: [Common 17-344] 'run' was cancelled
  127. INFO: [Common 17-344] 'source' was cancelled
  128. xsim: Time (s): cpu = 00:01:42 ; elapsed = 00:01:35 . Memory (MB): peak = 1254.008 ; gain = 0.000
  129. INFO: [Common 17-344] 'xsim' was cancelled
  130. INFO: [Vivado 12-5357] 'simulate' step aborted
  131. launch_simulation: Time (s): cpu = 00:01:45 ; elapsed = 00:01:40 . Memory (MB): peak = 1254.008 ; gain = 0.000
  132. INFO: [Common 17-344] 'launch_simulation' was cancelled
  133. current_wave_config {pwm_test_db_func_synth.wcfg}
  134. pwm_test_db_func_synth.wcfg
  135. add_wave {{/pwm_test_db/uut/count}}
  136. close_sim
  137. INFO: xsimkernel Simulation Memory Usage: 16964 KB (Peak: 16964 KB), Simulation CPU Usage: 47390 ms
  138. INFO: [Simtcl 6-16] Simulation closed
  139. launch_simulation
  140. Command: launch_simulation
  141. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  142. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  143. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  144. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  145. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  146. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  147. INFO: [USF-XSim-97] Finding global include files...
  148. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  149. INFO: [USF-XSim-2] XSim::Compile design
  150. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  151. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  152. INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
  153. INFO: [USF-XSim-3] XSim::Elaborate design
  154. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  155. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  156. Vivado Simulator v2021.2
  157. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  158. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  159. Using 2 slave threads.
  160. Starting static elaboration
  161. Completed static elaboration
  162. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  163. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  164. INFO: [USF-XSim-4] XSim::Simulate design
  165. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  166. INFO: [USF-XSim-98] *** Running xsim
  167. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  168. INFO: [USF-XSim-8] Loading simulator feature
  169. Time resolution is 1 ps
  170. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  171. WARNING: Simulation object /pwm_test_db/uut/count_reg was not found in the design.
  172. source pwm_test_db.tcl
  173. # set curr_wave [current_wave_config]
  174. # if { [string length $curr_wave] == 0 } {
  175. # if { [llength [get_objects]] > 0} {
  176. # add_wave /
  177. # set_property needs_save false [current_wave_config]
  178. # } else {
  179. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  180. # }
  181. # }
  182. # run 5000 ms
  183. INFO: [Common 17-41] Interrupt caught. Command should exit soon.
  184. run: Time (s): cpu = 00:00:25 ; elapsed = 00:00:19 . Memory (MB): peak = 1720.883 ; gain = 0.000
  185. INFO: [Common 17-344] 'run' was cancelled
  186. INFO: [Common 17-344] 'source' was cancelled
  187. xsim: Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1720.883 ; gain = 0.000
  188. INFO: [Common 17-344] 'xsim' was cancelled
  189. INFO: [Vivado 12-5357] 'simulate' step aborted
  190. launch_simulation: Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1720.883 ; gain = 0.000
  191. INFO: [Common 17-344] 'launch_simulation' was cancelled
  192. close_sim
  193. INFO: [Simtcl 6-16] Simulation closed
  194. launch_simulation
  195. Command: launch_simulation
  196. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  197. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  198. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  199. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  200. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  201. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  202. INFO: [USF-XSim-97] Finding global include files...
  203. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  204. INFO: [USF-XSim-2] XSim::Compile design
  205. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  206. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  207. INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
  208. INFO: [USF-XSim-3] XSim::Elaborate design
  209. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  210. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  211. Vivado Simulator v2021.2
  212. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  213. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  214. Using 2 slave threads.
  215. Starting static elaboration
  216. Completed static elaboration
  217. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  218. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  219. INFO: [USF-XSim-4] XSim::Simulate design
  220. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  221. INFO: [USF-XSim-98] *** Running xsim
  222. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  223. INFO: [USF-XSim-8] Loading simulator feature
  224. Time resolution is 1 ps
  225. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  226. WARNING: Simulation object /pwm_test_db/uut/count_reg was not found in the design.
  227. source pwm_test_db.tcl
  228. # set curr_wave [current_wave_config]
  229. # if { [string length $curr_wave] == 0 } {
  230. # if { [llength [get_objects]] > 0} {
  231. # add_wave /
  232. # set_property needs_save false [current_wave_config]
  233. # } else {
  234. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  235. # }
  236. # }
  237. # run 5000 ms
  238. INFO: [Common 17-41] Interrupt caught. Command should exit soon.
  239. run: Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 1720.883 ; gain = 0.000
  240. INFO: [Common 17-344] 'run' was cancelled
  241. INFO: [Common 17-344] 'source' was cancelled
  242. xsim: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 1720.883 ; gain = 0.000
  243. INFO: [Common 17-344] 'xsim' was cancelled
  244. INFO: [Vivado 12-5357] 'simulate' step aborted
  245. launch_simulation: Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1720.883 ; gain = 0.000
  246. INFO: [Common 17-344] 'launch_simulation' was cancelled
  247. set_property -name {xsim.simulate.runtime} -value {5 ms} -objects [get_filesets sim_1]
  248. close_sim
  249. INFO: [Simtcl 6-16] Simulation closed
  250. launch_simulation
  251. Command: launch_simulation
  252. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  253. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  254. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  255. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  256. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  257. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  258. INFO: [USF-XSim-97] Finding global include files...
  259. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  260. INFO: [USF-XSim-2] XSim::Compile design
  261. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  262. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  263. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  264. INFO: [USF-XSim-3] XSim::Elaborate design
  265. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  266. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  267. Vivado Simulator v2021.2
  268. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  269. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  270. Using 2 slave threads.
  271. Starting static elaboration
  272. Completed static elaboration
  273. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  274. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  275. INFO: [USF-XSim-4] XSim::Simulate design
  276. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  277. INFO: [USF-XSim-98] *** Running xsim
  278. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  279. INFO: [USF-XSim-8] Loading simulator feature
  280. Time resolution is 1 ps
  281. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  282. WARNING: Simulation object /pwm_test_db/uut/count_reg was not found in the design.
  283. source pwm_test_db.tcl
  284. # set curr_wave [current_wave_config]
  285. # if { [string length $curr_wave] == 0 } {
  286. # if { [llength [get_objects]] > 0} {
  287. # add_wave /
  288. # set_property needs_save false [current_wave_config]
  289. # } else {
  290. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  291. # }
  292. # }
  293. # run 5 ms
  294. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  295. INFO: [USF-XSim-97] XSim simulation ran for 5 ms
  296. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 1720.883 ; gain = 0.000
  297. current_wave_config {pwm_test_db_func_synth.wcfg}
  298. pwm_test_db_func_synth.wcfg
  299. add_wave {{/pwm_test_db/uut/count}}
  300. close_sim
  301. INFO: [Simtcl 6-16] Simulation closed
  302. launch_simulation
  303. Command: launch_simulation
  304. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  305. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  306. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  307. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  308. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  309. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  310. INFO: [USF-XSim-97] Finding global include files...
  311. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  312. INFO: [USF-XSim-2] XSim::Compile design
  313. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  314. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  315. INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
  316. INFO: [USF-XSim-3] XSim::Elaborate design
  317. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  318. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  319. Vivado Simulator v2021.2
  320. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  321. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  322. Using 2 slave threads.
  323. Starting static elaboration
  324. Completed static elaboration
  325. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  326. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  327. INFO: [USF-XSim-4] XSim::Simulate design
  328. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  329. INFO: [USF-XSim-98] *** Running xsim
  330. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  331. INFO: [USF-XSim-8] Loading simulator feature
  332. Time resolution is 1 ps
  333. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  334. WARNING: Simulation object /pwm_test_db/uut/count_reg was not found in the design.
  335. source pwm_test_db.tcl
  336. # set curr_wave [current_wave_config]
  337. # if { [string length $curr_wave] == 0 } {
  338. # if { [llength [get_objects]] > 0} {
  339. # add_wave /
  340. # set_property needs_save false [current_wave_config]
  341. # } else {
  342. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  343. # }
  344. # }
  345. # run 5 ms
  346. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  347. INFO: [USF-XSim-97] XSim simulation ran for 5 ms
  348. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 1720.883 ; gain = 0.000
  349. current_wave_config {pwm_test_db_func_synth.wcfg}
  350. pwm_test_db_func_synth.wcfg
  351. add_wave {{/pwm_test_db/uut/count}}
  352. save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg}
  353. save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg}
  354. save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg}
  355. save_wave_config {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg}
  356. close_sim
  357. INFO: [Simtcl 6-16] Simulation closed
  358. launch_simulation
  359. Command: launch_simulation
  360. INFO: [Vivado 12-12493] Simulation top is 'pwm_test_db'
  361. WARNING: [Vivado 12-12986] Compiled library path does not exist: ''
  362. INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  363. INFO: [SIM-utils-51] Simulation object is 'sim_1'
  364. INFO: [SIM-utils-72] Using boost library from 'C:/Xilinx/Vivado/2021.2/tps/boost_1_72_0'
  365. INFO: [SIM-utils-54] Inspecting design source files for 'pwm_test_db' in fileset 'sim_1'...
  366. INFO: [USF-XSim-97] Finding global include files...
  367. INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
  368. INFO: [USF-XSim-2] XSim::Compile design
  369. INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  370. "xvhdl --incr --relax -prj pwm_test_db_vhdl.prj"
  371. INFO: [USF-XSim-69] 'compile' step finished in '1' seconds
  372. INFO: [USF-XSim-3] XSim::Elaborate design
  373. INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  374. "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log"
  375. Vivado Simulator v2021.2
  376. Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved.
  377. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot pwm_test_db_behav xil_defaultlib.pwm_test_db -log elaborate.log
  378. Using 2 slave threads.
  379. Starting static elaboration
  380. Completed static elaboration
  381. INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
  382. INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
  383. INFO: [USF-XSim-4] XSim::Simulate design
  384. INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.sim/sim_1/behav/xsim'
  385. INFO: [USF-XSim-98] *** Running xsim
  386. with args "pwm_test_db_behav -key {Behavioral:sim_1:Functional:pwm_test_db} -tclbatch {pwm_test_db.tcl} -view {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg} -log {simulate.log}"
  387. INFO: [USF-XSim-8] Loading simulator feature
  388. Time resolution is 1 ps
  389. open_wave_config C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/pwm_test_db_func_synth.wcfg
  390. source pwm_test_db.tcl
  391. # set curr_wave [current_wave_config]
  392. # if { [string length $curr_wave] == 0 } {
  393. # if { [llength [get_objects]] > 0} {
  394. # add_wave /
  395. # set_property needs_save false [current_wave_config]
  396. # } else {
  397. # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  398. # }
  399. # }
  400. # run 5 ms
  401. INFO: [USF-XSim-96] XSim completed. Design snapshot 'pwm_test_db_behav' loaded.
  402. INFO: [USF-XSim-97] XSim simulation ran for 5 ms
  403. launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 1720.883 ; gain = 0.000
  404. open_hw_manager
  405. add_files -fileset constrs_1 -norecurse C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc
  406. reset_run synth_1
  407. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  408. WARNING: [Vivado 12-1017] Problems encountered:
  409. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  410. launch_runs synth_1
  411. [Mon Mar 21 14:05:30 2022] Launched synth_1...
  412. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  413. close_sim
  414. INFO: [Simtcl 6-16] Simulation closed
  415. exit
  416. INFO: [Common 17-206] Exiting Vivado at Mon Mar 21 14:32:11 2022...