FPGA Projektarbeit
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_2148.backup.log 48KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Thu Mar 24 14:37:08 2022
  6. # Process ID: 2148
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test
  8. # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12260 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Coraz7_Test\Coraz7_Test.xpr
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/vivado.log
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. start_gui
  14. open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.xpr
  15. WARNING: [Board 49-26] cannot add Board Part digilentinc.com:genesys2:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/boards/board_files/genesys2/H/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  16. WARNING: [Board 49-26] cannot add Board Part digilentinc.com:sword:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/boards/board_files/sword/C.0/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  17. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kc705/1.6/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available
  18. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.6/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available
  19. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.7 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.7/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available
  20. WARNING: [Board 49-26] cannot add Board Part xilinx.com:kcu1500:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu1500/1.2/board.xml as part xcku115-flvb2104-2-e specified in board_part file is either invalid or not available
  21. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vc707:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vc707/1.4/board.xml as part xc7vx485tffg1761-2 specified in board_part file is either invalid or not available
  22. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vc709:part0:1.8 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vc709/1.8/board.xml as part xc7vx690tffg1761-2 specified in board_part file is either invalid or not available
  23. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vck190:part0:2.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vck190/production/2.2/board.xml as part xcvc1902-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
  24. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vck190_es:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vck190/es/1.3/board.xml as part xcvc1902-vsva2197-2mp-e-s-es1 specified in board_part file is either invalid or not available
  25. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu108:part0:1.6 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu108/1.6/board.xml as part xcvu095-ffva2104-2-e specified in board_part file is either invalid or not available
  26. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu108:part0:1.7 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu108/1.7/board.xml as part xcvu095-ffva2104-2-e specified in board_part file is either invalid or not available
  27. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu110:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu110/1.4/board.xml as part xcvu190-flgc2104-2-e specified in board_part file is either invalid or not available
  28. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.0/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  29. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.3/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  30. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu118:part0:2.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu118/2.4/board.xml as part xcvu9p-flga2104-2l-e specified in board_part file is either invalid or not available
  31. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu128:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu128/production/1.0/board.xml as part xcvu37p-fsvh2892-2l-e specified in board_part file is either invalid or not available
  32. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu129:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu129/production/1.0/board.xml as part xcvu29p-fsga2577-2l-e specified in board_part file is either invalid or not available
  33. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vcu1525:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vcu1525/1.3/board.xml as part xcvu9p-fsgd2104-2l-e specified in board_part file is either invalid or not available
  34. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vermeo_t1_mpsoc:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vermeo_t1_mpsoc/1.0/board.xml as part xczu19eg-ffvd1760-2l-e specified in board_part file is either invalid or not available
  35. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vermeo_t1_rfsoc:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vermeo_t1_rfsoc/1.0/board.xml as part xczu21dr-ffvd1156-2l-e specified in board_part file is either invalid or not available
  36. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vmk180:part0:2.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vmk180/production/2.2/board.xml as part xcvm1802-vsva2197-2mp-e-s specified in board_part file is either invalid or not available
  37. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vmk180_es:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vmk180/es/1.3/board.xml as part xcvm1802-vsva2197-2mp-e-s-es1 specified in board_part file is either invalid or not available
  38. WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available
  39. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zc706/1.4/board.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available
  40. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu102:part0:3.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu102/3.3/board.xml as part xczu9eg-ffvb1156-2-e specified in board_part file is either invalid or not available
  41. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu102:part0:3.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu102/3.4/board.xml as part xczu9eg-ffvb1156-2-e specified in board_part file is either invalid or not available
  42. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.2/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  43. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.3 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.3/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  44. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu111:part0:1.4 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu111/1.4/board.xml as part xczu28dr-ffvg1517-2-e specified in board_part file is either invalid or not available
  45. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu1275:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu1275/1.0/board.xml as part xczu29dr-ffvf1760-2-e specified in board_part file is either invalid or not available
  46. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu1285:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu1285/1.0/board.xml as part xczu39dr-ffvf1760-2-i specified in board_part file is either invalid or not available
  47. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/production/2.0/board.xml as part xczu48dr-fsvg1517-2-e specified in board_part file is either invalid or not available
  48. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.0/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  49. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.1/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  50. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208/es/1.2/board.xml as part xczu48dr-fsvg1517-2-e-es1 specified in board_part file is either invalid or not available
  51. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
  52. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/es/1.0/board.xml as part xczu58dr-fsvg1517-2-i-es1 specified in board_part file is either invalid or not available
  53. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/production/2.0/board.xml as part xczu49dr-ffvf1760-2-e specified in board_part file is either invalid or not available
  54. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.0/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  55. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.1 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.1/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  56. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216_es:part0:1.2 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216/es/1.2/board.xml as part xczu49dr-ffvf1760-2-e-es1 specified in board_part file is either invalid or not available
  57. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
  58. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld_es:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/es/1.0/board.xml as part xczu59dr-ffvf1760-2-i-es1 specified in board_part file is either invalid or not available
  59. WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:1.0 available at C:/Xilinx/Vivado/2021.2/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/1.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available
  60. INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.gen/sources_1'.
  61. Scanning sources...
  62. Finished scanning sources
  63. INFO: [IP_Flow 19-234] Refreshing IP repositories
  64. INFO: [IP_Flow 19-1704] No user IP repositories specified
  65. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  66. open_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:12 . Memory (MB): peak = 1250.879 ; gain = 0.000
  67. update_compile_order -fileset sources_1
  68. synth_design -rtl -rtl_skip_mlo -name rtl_1
  69. Command: synth_design -rtl -rtl_skip_mlo -name rtl_1
  70. Starting synth_design
  71. Using part: xc7z010clg400-1
  72. Top: pwm_test
  73. INFO: [Device 21-403] Loading part xc7z010clg400-1
  74. ---------------------------------------------------------------------------------
  75. Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1814.371 ; gain = 346.223
  76. ---------------------------------------------------------------------------------
  77. INFO: [Synth 8-638] synthesizing module 'pwm_test' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:39]
  78. WARNING: [Synth 8-614] signal 'count' is read in the process but is not in the sensitivity list [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:48]
  79. INFO: [Synth 8-256] done synthesizing module 'pwm_test' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:39]
  80. ---------------------------------------------------------------------------------
  81. Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1866.227 ; gain = 398.078
  82. ---------------------------------------------------------------------------------
  83. ---------------------------------------------------------------------------------
  84. Start Handling Custom Attributes
  85. ---------------------------------------------------------------------------------
  86. ---------------------------------------------------------------------------------
  87. Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1866.227 ; gain = 398.078
  88. ---------------------------------------------------------------------------------
  89. ---------------------------------------------------------------------------------
  90. Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1866.227 ; gain = 398.078
  91. ---------------------------------------------------------------------------------
  92. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1866.227 ; gain = 0.000
  93. INFO: [Project 1-570] Preparing netlist for logic optimization
  94. Processing XDC Constraints
  95. Initializing timing engine
  96. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  97. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  98. Completed Processing XDC Constraints
  99. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1926.578 ; gain = 0.000
  100. INFO: [Project 1-111] Unisim Transformation Summary:
  101. No Unisim elements were transformed.
  102. RTL Elaboration Complete: : Time (s): cpu = 00:00:19 ; elapsed = 00:00:12 . Memory (MB): peak = 2005.672 ; gain = 537.523
  103. 5 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
  104. synth_design completed successfully
  105. synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:20 . Memory (MB): peak = 2005.672 ; gain = 754.793
  106. open_run synth_1 -name synth_1
  107. Design is defaulting to impl run constrset: constrs_1
  108. Design is defaulting to synth run part: xc7z010clg400-1
  109. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 2029.652 ; gain = 0.000
  110. INFO: [Netlist 29-17] Analyzing 16 Unisim elements for replacement
  111. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  112. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  113. INFO: [Project 1-570] Preparing netlist for logic optimization
  114. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  115. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  116. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  117. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2076.340 ; gain = 0.000
  118. INFO: [Project 1-111] Unisim Transformation Summary:
  119. No Unisim elements were transformed.
  120. set_property target_constrs_file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Bibliotheken/digilent-xdc-master/Cora-Z7-10-Master.xdc [current_fileset -constrset]
  121. launch_runs impl_1 -jobs 6
  122. INFO: [Timing 38-480] Writing timing data to binary archive.
  123. [Thu Mar 24 14:43:23 2022] Launched impl_1...
  124. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  125. close_design
  126. open_run impl_1
  127. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2656.508 ; gain = 0.000
  128. INFO: [Netlist 29-17] Analyzing 16 Unisim elements for replacement
  129. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  130. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  131. INFO: [Project 1-570] Preparing netlist for logic optimization
  132. INFO: [Timing 38-478] Restoring timing data from binary archive.
  133. INFO: [Timing 38-479] Binary timing data restore complete.
  134. INFO: [Project 1-856] Restoring constraints from binary archive.
  135. INFO: [Project 1-853] Binary constraint restore complete.
  136. Reading XDEF placement.
  137. Reading placer database...
  138. Reading XDEF routing.
  139. Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.046 . Memory (MB): peak = 2723.512 ; gain = 0.000
  140. Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB |
  141. Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.046 . Memory (MB): peak = 2723.512 ; gain = 0.000
  142. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2723.512 ; gain = 0.000
  143. INFO: [Project 1-111] Unisim Transformation Summary:
  144. No Unisim elements were transformed.
  145. WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis.
  146. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  147. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  148. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  149. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  150. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  151. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  152. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  153. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  154. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  155. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  156. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  157. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  158. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  159. set_property IOSTANDARD LVCMOS33 [get_ports [list clk]]
  160. set_property IOSTANDARD LVCMOS33 [get_ports [list led]]
  161. place_ports led G14
  162. set_property DRIVE 12 [get_ports [list led]]
  163. set_property IOSTANDARD LVCMOS33 [get_ports [list led]]
  164. set_property OFFCHIP_TERM NONE [get_ports [list clk]]
  165. set_property PULLTYPE NONE [get_ports [list clk]]
  166. set_property IOSTANDARD LVCMOS33 [get_ports [list clk]]
  167. place_ports clk H16
  168. save_constraints
  169. reset_run impl_1
  170. launch_runs impl_1 -to_step write_bitstream -jobs 6
  171. [Thu Mar 24 15:24:32 2022] Launched impl_1...
  172. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  173. open_hw_manager
  174. connect_hw_server -allow_non_jtag
  175. INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
  176. INFO: [Labtools 27-2222] Launching hw_server...
  177. INFO: [Labtools 27-2221] Launch Output:
  178. ****** Xilinx hw_server v2021.2
  179. **** Build date : Oct 19 2021 at 03:13:30
  180. ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  181. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
  182. INFO: [Labtools 27-3417] Launching cs_server...
  183. INFO: [Labtools 27-2221] Launch Output:
  184. ******** Xilinx cs_server v2021.2.0
  185. ****** Build date : Sep 27 2021-23:44:20
  186. **** Build number : 2021.2.1632779060
  187. ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
  188. connect_hw_server: Time (s): cpu = 00:00:01 ; elapsed = 00:00:11 . Memory (MB): peak = 2769.398 ; gain = 1.105
  189. open_hw_target
  190. INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210370A9326CA
  191. open_hw_target: Time (s): cpu = 00:00:06 ; elapsed = 00:00:20 . Memory (MB): peak = 4542.070 ; gain = 1772.672
  192. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  193. current_hw_device [get_hw_devices xc7z010_1]
  194. refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0]
  195. INFO: [Labtools 27-1435] Device xc7z010 (JTAG device index = 1) is not programmed (DONE status = 0).
  196. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  197. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  198. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  199. program_hw_devices [get_hw_devices xc7z010_1]
  200. INFO: [Labtools 27-3164] End of startup status: HIGH
  201. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  202. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  203. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4567.656 ; gain = 1.344
  204. ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210370A9326CA
  205. disconnect_hw_server localhost:3121
  206. connect_hw_server -allow_non_jtag
  207. INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
  208. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
  209. INFO: [Labtools 27-3414] Connected to existing cs_server.
  210. ERROR: [Labtoolstcl 44-494] There is no active target available for server at localhost.
  211. Targets(s) ", jsn-Cora Z7 - 7007S-210370A9326CA" may be locked by another hw_server.
  212. disconnect_hw_server localhost:3121
  213. connect_hw_server -allow_non_jtag
  214. INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
  215. INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:3042
  216. INFO: [Labtools 27-3414] Connected to existing cs_server.
  217. open_hw_target
  218. INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210370A9326CA
  219. open_hw_target: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4573.051 ; gain = 5.395
  220. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  221. current_hw_device [get_hw_devices xc7z010_1]
  222. refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7z010_1] 0]
  223. INFO: [Labtools 27-1435] Device xc7z010 (JTAG device index = 1) is not programmed (DONE status = 0).
  224. refresh_hw_device: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 4573.098 ; gain = 0.047
  225. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  226. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  227. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  228. program_hw_devices [get_hw_devices xc7z010_1]
  229. INFO: [Labtools 27-3164] End of startup status: HIGH
  230. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  231. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  232. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4573.469 ; gain = 0.371
  233. reset_run synth_1
  234. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  235. WARNING: [Vivado 12-1017] Problems encountered:
  236. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  237. launch_runs impl_1 -to_step write_bitstream -jobs 6
  238. [Thu Mar 24 15:33:29 2022] Launched synth_1...
  239. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  240. [Thu Mar 24 15:33:29 2022] Launched impl_1...
  241. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  242. reset_run impl_1 -prev_step
  243. launch_runs impl_1 -to_step write_bitstream -jobs 6
  244. [Thu Mar 24 15:35:31 2022] Launched impl_1...
  245. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  246. reset_run synth_1
  247. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  248. WARNING: [Vivado 12-1017] Problems encountered:
  249. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  250. launch_runs impl_1 -to_step write_bitstream -jobs 6
  251. [Thu Mar 24 15:37:14 2022] Launched synth_1...
  252. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  253. [Thu Mar 24 15:37:14 2022] Launched impl_1...
  254. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  255. reset_run synth_1
  256. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  257. WARNING: [Vivado 12-1017] Problems encountered:
  258. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  259. launch_runs impl_1 -to_step write_bitstream -jobs 6
  260. [Thu Mar 24 15:42:50 2022] Launched synth_1...
  261. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  262. [Thu Mar 24 15:42:50 2022] Launched impl_1...
  263. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  264. reset_run synth_1
  265. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  266. WARNING: [Vivado 12-1017] Problems encountered:
  267. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  268. launch_runs impl_1 -to_step write_bitstream -jobs 6
  269. [Thu Mar 24 15:46:07 2022] Launched synth_1...
  270. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  271. [Thu Mar 24 15:46:07 2022] Launched impl_1...
  272. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  273. reset_run synth_1
  274. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  275. WARNING: [Vivado 12-1017] Problems encountered:
  276. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  277. launch_runs impl_1 -to_step write_bitstream -jobs 6
  278. [Thu Mar 24 15:48:19 2022] Launched synth_1...
  279. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  280. [Thu Mar 24 15:48:19 2022] Launched impl_1...
  281. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  282. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  283. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  284. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  285. program_hw_devices [get_hw_devices xc7z010_1]
  286. INFO: [Labtools 27-3164] End of startup status: HIGH
  287. program_hw_devices: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 4620.117 ; gain = 0.000
  288. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  289. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  290. reset_run synth_1
  291. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  292. WARNING: [Vivado 12-1017] Problems encountered:
  293. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  294. launch_runs impl_1 -to_step write_bitstream -jobs 6
  295. [Thu Mar 24 15:52:13 2022] Launched synth_1...
  296. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  297. [Thu Mar 24 15:52:13 2022] Launched impl_1...
  298. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  299. reset_run synth_1
  300. WARNING: [Vivado 12-1017] Problems encountered:
  301. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  302. launch_runs impl_1 -to_step write_bitstream -jobs 6
  303. [Thu Mar 24 15:52:57 2022] Launched synth_1...
  304. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  305. [Thu Mar 24 15:52:57 2022] Launched impl_1...
  306. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  307. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  308. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  309. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  310. program_hw_devices [get_hw_devices xc7z010_1]
  311. INFO: [Labtools 27-3164] End of startup status: HIGH
  312. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  313. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  314. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4678.754 ; gain = 0.000
  315. reset_run synth_1
  316. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  317. WARNING: [Vivado 12-1017] Problems encountered:
  318. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  319. launch_runs impl_1 -to_step write_bitstream -jobs 6
  320. [Thu Mar 24 16:01:12 2022] Launched synth_1...
  321. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  322. [Thu Mar 24 16:01:12 2022] Launched impl_1...
  323. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  324. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  325. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  326. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  327. program_hw_devices [get_hw_devices xc7z010_1]
  328. INFO: [Labtools 27-3164] End of startup status: HIGH
  329. program_hw_devices: Time (s): cpu = 00:00:01 ; elapsed = 00:00:05 . Memory (MB): peak = 4678.754 ; gain = 0.000
  330. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  331. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  332. refresh_hw_device: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 4678.754 ; gain = 0.000
  333. reset_run synth_1
  334. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  335. WARNING: [Vivado 12-1017] Problems encountered:
  336. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  337. launch_runs impl_1 -to_step write_bitstream -jobs 6
  338. [Thu Mar 24 16:04:44 2022] Launched synth_1...
  339. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  340. [Thu Mar 24 16:04:44 2022] Launched impl_1...
  341. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  342. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  343. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  344. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  345. program_hw_devices [get_hw_devices xc7z010_1]
  346. INFO: [Labtools 27-3164] End of startup status: HIGH
  347. program_hw_devices: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 4678.754 ; gain = 0.000
  348. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  349. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  350. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4678.754 ; gain = 0.000
  351. ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210370A9326CA
  352. reset_run synth_1
  353. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  354. WARNING: [Vivado 12-1017] Problems encountered:
  355. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  356. launch_runs impl_1 -to_step write_bitstream -jobs 6
  357. [Thu Mar 24 16:09:21 2022] Launched synth_1...
  358. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  359. [Thu Mar 24 16:09:21 2022] Launched impl_1...
  360. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  361. INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210370A9326CA
  362. open_hw_target: Time (s): cpu = 00:00:01 ; elapsed = 00:00:07 . Memory (MB): peak = 4678.754 ; gain = 0.000
  363. WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found.
  364. INFO: [Labtools 27-1435] Device xc7z010 (JTAG device index = 1) is not programmed (DONE status = 0).
  365. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4678.754 ; gain = 0.000
  366. WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found.
  367. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  368. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  369. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  370. program_hw_devices [get_hw_devices xc7z010_1]
  371. INFO: [Labtools 27-3164] End of startup status: HIGH
  372. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  373. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  374. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4678.754 ; gain = 0.000
  375. reset_run synth_1
  376. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  377. WARNING: [Vivado 12-1017] Problems encountered:
  378. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  379. launch_runs synth_1 -jobs 6
  380. [Thu Mar 24 16:12:11 2022] Launched synth_1...
  381. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  382. reset_run synth_1
  383. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  384. WARNING: [Vivado 12-1017] Problems encountered:
  385. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  386. launch_runs synth_1 -jobs 6
  387. [Thu Mar 24 16:13:15 2022] Launched synth_1...
  388. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  389. reset_run synth_1
  390. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  391. WARNING: [Vivado 12-1017] Problems encountered:
  392. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  393. launch_runs impl_1 -jobs 6
  394. [Thu Mar 24 16:15:07 2022] Launched synth_1...
  395. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  396. [Thu Mar 24 16:15:07 2022] Launched impl_1...
  397. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  398. launch_runs impl_1 -to_step write_bitstream -jobs 6
  399. [Thu Mar 24 16:17:27 2022] Launched impl_1...
  400. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  401. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  402. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  403. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  404. program_hw_devices [get_hw_devices xc7z010_1]
  405. INFO: [Labtools 27-3164] End of startup status: HIGH
  406. program_hw_devices: Time (s): cpu = 00:00:02 ; elapsed = 00:00:08 . Memory (MB): peak = 4678.754 ; gain = 0.000
  407. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  408. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  409. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4678.754 ; gain = 0.000
  410. reset_run synth_1
  411. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  412. WARNING: [Vivado 12-1017] Problems encountered:
  413. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  414. launch_runs impl_1 -to_step write_bitstream -jobs 6
  415. [Thu Mar 24 16:19:45 2022] Launched synth_1...
  416. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  417. [Thu Mar 24 16:19:45 2022] Launched impl_1...
  418. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  419. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  420. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  421. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  422. program_hw_devices [get_hw_devices xc7z010_1]
  423. INFO: [Labtools 27-3164] End of startup status: HIGH
  424. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  425. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  426. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4684.273 ; gain = 0.000
  427. reset_run synth_1
  428. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  429. WARNING: [Vivado 12-1017] Problems encountered:
  430. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  431. launch_runs impl_1 -to_step write_bitstream -jobs 6
  432. [Thu Mar 24 16:24:27 2022] Launched synth_1...
  433. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  434. [Thu Mar 24 16:24:27 2022] Launched impl_1...
  435. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  436. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  437. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  438. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  439. program_hw_devices [get_hw_devices xc7z010_1]
  440. INFO: [Labtools 27-3164] End of startup status: HIGH
  441. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  442. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  443. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4684.273 ; gain = 0.000
  444. reset_run synth_1
  445. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  446. WARNING: [Vivado 12-1017] Problems encountered:
  447. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  448. launch_runs impl_1 -to_step write_bitstream -jobs 6
  449. [Thu Mar 24 16:27:46 2022] Launched synth_1...
  450. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  451. [Thu Mar 24 16:27:46 2022] Launched impl_1...
  452. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  453. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  454. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  455. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  456. program_hw_devices [get_hw_devices xc7z010_1]
  457. INFO: [Labtools 27-3164] End of startup status: HIGH
  458. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  459. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  460. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4684.273 ; gain = 0.000
  461. reset_run synth_1
  462. INFO: [Project 1-1161] Replacing file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.srcs/utils_1/imports/synth_1/pwm_test.dcp with file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/pwm_test.dcp
  463. WARNING: [Vivado 12-1017] Problems encountered:
  464. 1. Failed to delete one or more files in run directory C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1
  465. launch_runs impl_1 -to_step write_bitstream -jobs 6
  466. [Thu Mar 24 16:30:07 2022] Launched synth_1...
  467. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/synth_1/runme.log
  468. [Thu Mar 24 16:30:07 2022] Launched impl_1...
  469. Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/runme.log
  470. set_property PROBES.FILE {} [get_hw_devices xc7z010_1]
  471. set_property FULL_PROBES.FILE {} [get_hw_devices xc7z010_1]
  472. set_property PROGRAM.FILE {C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Coraz7_Test/Coraz7_Test.runs/impl_1/pwm_test.bit} [get_hw_devices xc7z010_1]
  473. program_hw_devices [get_hw_devices xc7z010_1]
  474. INFO: [Labtools 27-3164] End of startup status: HIGH
  475. refresh_hw_device [lindex [get_hw_devices xc7z010_1] 0]
  476. INFO: [Labtools 27-1434] Device xc7z010 (JTAG device index = 1) is programmed with a design that has no supported debug core(s) in it.
  477. refresh_hw_device: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 4684.273 ; gain = 0.000
  478. exit
  479. INFO: [Common 17-206] Exiting Vivado at Thu Mar 24 16:34:16 2022...