FPGA Projektarbeit
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Nexys-4-Master.xdc 37KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722
  1. ## This file is a general .xdc for the Nexys4 rev B board
  2. ## To use it in a project:
  3. ## - uncomment the lines corresponding to used pins
  4. ## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
  5. ## Clock signal
  6. ##Bank = 35, Pin name = IO_L12P_T1_MRCC_35, Sch name = CLK100MHZ
  7. #set_property PACKAGE_PIN E3 [get_ports clk]
  8. #set_property IOSTANDARD LVCMOS33 [get_ports clk]
  9. #create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
  10. ## Switches
  11. ##Bank = 34, Pin name = IO_L21P_T3_DQS_34, Sch name = SW0
  12. #set_property PACKAGE_PIN U9 [get_ports {sw[0]}]
  13. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}]
  14. ##Bank = 34, Pin name = IO_25_34, Sch name = SW1
  15. #set_property PACKAGE_PIN U8 [get_ports {sw[1]}]
  16. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}]
  17. ##Bank = 34, Pin name = IO_L23P_T3_34, Sch name = SW2
  18. #set_property PACKAGE_PIN R7 [get_ports {sw[2]}]
  19. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}]
  20. ##Bank = 34, Pin name = IO_L19P_T3_34, Sch name = SW3
  21. #set_property PACKAGE_PIN R6 [get_ports {sw[3]}]
  22. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}]
  23. ##Bank = 34, Pin name = IO_L19N_T3_VREF_34, Sch name = SW4
  24. #set_property PACKAGE_PIN R5 [get_ports {sw[4]}]
  25. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}]
  26. ##Bank = 34, Pin name = IO_L20P_T3_34, Sch name = SW5
  27. #set_property PACKAGE_PIN V7 [get_ports {sw[5]}]
  28. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}]
  29. ##Bank = 34, Pin name = IO_L20N_T3_34, Sch name = SW6
  30. #set_property PACKAGE_PIN V6 [get_ports {sw[6]}]
  31. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}]
  32. ##Bank = 34, Pin name = IO_L10P_T1_34, Sch name = SW7
  33. #set_property PACKAGE_PIN V5 [get_ports {sw[7]}]
  34. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}]
  35. ##Bank = 34, Pin name = IO_L8P_T1-34, Sch name = SW8
  36. #set_property PACKAGE_PIN U4 [get_ports {sw[8]}]
  37. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}]
  38. ##Bank = 34, Pin name = IO_L9N_T1_DQS_34, Sch name = SW9
  39. #set_property PACKAGE_PIN V2 [get_ports {sw[9]}]
  40. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}]
  41. ##Bank = 34, Pin name = IO_L9P_T1_DQS_34, Sch name = SW10
  42. #set_property PACKAGE_PIN U2 [get_ports {sw[10]}]
  43. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}]
  44. ##Bank = 34, Pin name = IO_L11N_T1_MRCC_34, Sch name = SW11
  45. #set_property PACKAGE_PIN T3 [get_ports {sw[11]}]
  46. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}]
  47. ##Bank = 34, Pin name = IO_L17N_T2_34, Sch name = SW12
  48. #set_property PACKAGE_PIN T1 [get_ports {sw[12]}]
  49. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}]
  50. ##Bank = 34, Pin name = IO_L11P_T1_SRCC_34, Sch name = SW13
  51. #set_property PACKAGE_PIN R3 [get_ports {sw[13]}]
  52. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}]
  53. ##Bank = 34, Pin name = IO_L14N_T2_SRCC_34, Sch name = SW14
  54. #set_property PACKAGE_PIN P3 [get_ports {sw[14]}]
  55. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[14]}]
  56. ##Bank = 34, Pin name = IO_L14P_T2_SRCC_34, Sch name = SW15
  57. #set_property PACKAGE_PIN P4 [get_ports {sw[15]}]
  58. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}]
  59. ## LEDs
  60. ##Bank = 34, Pin name = IO_L24N_T3_34, Sch name = LED0
  61. #set_property PACKAGE_PIN T8 [get_ports {led[0]}]
  62. #set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
  63. ##Bank = 34, Pin name = IO_L21N_T3_DQS_34, Sch name = LED1
  64. #set_property PACKAGE_PIN V9 [get_ports {led[1]}]
  65. #set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
  66. ##Bank = 34, Pin name = IO_L24P_T3_34, Sch name = LED2
  67. #set_property PACKAGE_PIN R8 [get_ports {led[2]}]
  68. #set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
  69. ##Bank = 34, Pin name = IO_L23N_T3_34, Sch name = LED3
  70. #set_property PACKAGE_PIN T6 [get_ports {led[3]}]
  71. #set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
  72. ##Bank = 34, Pin name = IO_L12P_T1_MRCC_34, Sch name = LED4
  73. #set_property PACKAGE_PIN T5 [get_ports {led[4]}]
  74. #set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
  75. ##Bank = 34, Pin name = IO_L12N_T1_MRCC_34, Sch name = LED5
  76. #set_property PACKAGE_PIN T4 [get_ports {led[5]}]
  77. #set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
  78. ##Bank = 34, Pin name = IO_L22P_T3_34, Sch name = LED6
  79. #set_property PACKAGE_PIN U7 [get_ports {led[6]}]
  80. #set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
  81. ##Bank = 34, Pin name = IO_L22N_T3_34, Sch name = LED7
  82. #set_property PACKAGE_PIN U6 [get_ports {led[7]}]
  83. #set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
  84. ##Bank = 34, Pin name = IO_L10N_T1_34, Sch name = LED8
  85. #set_property PACKAGE_PIN V4 [get_ports {led[8]}]
  86. #set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}]
  87. ##Bank = 34, Pin name = IO_L8N_T1_34, Sch name = LED9
  88. #set_property PACKAGE_PIN U3 [get_ports {led[9]}]
  89. #set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
  90. ##Bank = 34, Pin name = IO_L7N_T1_34, Sch name = LED10
  91. #set_property PACKAGE_PIN V1 [get_ports {led[10]}]
  92. #set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
  93. ##Bank = 34, Pin name = IO_L17P_T2_34, Sch name = LED11
  94. #set_property PACKAGE_PIN R1 [get_ports {led[11]}]
  95. #set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
  96. ##Bank = 34, Pin name = IO_L13N_T2_MRCC_34, Sch name = LED12
  97. #set_property PACKAGE_PIN P5 [get_ports {led[12]}]
  98. #set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}]
  99. ##Bank = 34, Pin name = IO_L7P_T1_34, Sch name = LED13
  100. #set_property PACKAGE_PIN U1 [get_ports {led[13]}]
  101. #set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}]
  102. ##Bank = 34, Pin name = IO_L15N_T2_DQS_34, Sch name = LED14
  103. #set_property PACKAGE_PIN R2 [get_ports {led[14]}]
  104. #set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}]
  105. ##Bank = 34, Pin name = IO_L15P_T2_DQS_34, Sch name = LED15
  106. #set_property PACKAGE_PIN P2 [get_ports {led[15]}]
  107. #set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}]
  108. ##Bank = 34, Pin name = IO_L5P_T0_34, Sch name = LED16_R
  109. #set_property PACKAGE_PIN K5 [get_ports RGB1_Red]
  110. #set_property IOSTANDARD LVCMOS33 [get_ports RGB1_Red]
  111. ##Bank = 15, Pin name = IO_L5P_T0_AD9P_15, Sch name = LED16_G
  112. #set_property PACKAGE_PIN F13 [get_ports RGB1_Green]
  113. #set_property IOSTANDARD LVCMOS33 [get_ports RGB1_Green]
  114. ##Bank = 35, Pin name = IO_L19N_T3_VREF_35, Sch name = LED16_B
  115. #set_property PACKAGE_PIN F6 [get_ports RGB1_Blue]
  116. #set_property IOSTANDARD LVCMOS33 [get_ports RGB1_Blue]
  117. ##Bank = 34, Pin name = IO_0_34, Sch name = LED17_R
  118. #set_property PACKAGE_PIN K6 [get_ports RGB2_Red]
  119. #set_property IOSTANDARD LVCMOS33 [get_ports RGB2_Red]
  120. ##Bank = 35, Pin name = IO_24P_T3_35, Sch name = LED17_G
  121. #set_property PACKAGE_PIN H6 [get_ports RGB2_Green]
  122. #set_property IOSTANDARD LVCMOS33 [get_ports RGB2_Green]
  123. ##Bank = CONFIG, Pin name = IO_L3N_T0_DQS_EMCCLK_14, Sch name = LED17_B
  124. #set_property PACKAGE_PIN L16 [get_ports RGB2_Blue]
  125. #set_property IOSTANDARD LVCMOS33 [get_ports RGB2_Blue]
  126. ##7 segment display
  127. ##Bank = 34, Pin name = IO_L2N_T0_34, Sch name = CA
  128. #set_property PACKAGE_PIN L3 [get_ports {seg[0]}]
  129. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[0]}]
  130. ##Bank = 34, Pin name = IO_L3N_T0_DQS_34, Sch name = CB
  131. #set_property PACKAGE_PIN N1 [get_ports {seg[1]}]
  132. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[1]}]
  133. ##Bank = 34, Pin name = IO_L6N_T0_VREF_34, Sch name = CC
  134. #set_property PACKAGE_PIN L5 [get_ports {seg[2]}]
  135. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[2]}]
  136. ##Bank = 34, Pin name = IO_L5N_T0_34, Sch name = CD
  137. #set_property PACKAGE_PIN L4 [get_ports {seg[3]}]
  138. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[3]}]
  139. ##Bank = 34, Pin name = IO_L2P_T0_34, Sch name = CE
  140. #set_property PACKAGE_PIN K3 [get_ports {seg[4]}]
  141. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[4]}]
  142. ##Bank = 34, Pin name = IO_L4N_T0_34, Sch name = CF
  143. #set_property PACKAGE_PIN M2 [get_ports {seg[5]}]
  144. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[5]}]
  145. ##Bank = 34, Pin name = IO_L6P_T0_34, Sch name = CG
  146. #set_property PACKAGE_PIN L6 [get_ports {seg[6]}]
  147. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[6]}]
  148. ##Bank = 34, Pin name = IO_L16P_T2_34, Sch name = DP
  149. #set_property PACKAGE_PIN M4 [get_ports dp]
  150. #set_property IOSTANDARD LVCMOS33 [get_ports dp]
  151. ##Bank = 34, Pin name = IO_L18N_T2_34, Sch name = AN0
  152. #set_property PACKAGE_PIN N6 [get_ports {an[0]}]
  153. #set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}]
  154. ##Bank = 34, Pin name = IO_L18P_T2_34, Sch name = AN1
  155. #set_property PACKAGE_PIN M6 [get_ports {an[1]}]
  156. #set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}]
  157. ##Bank = 34, Pin name = IO_L4P_T0_34, Sch name = AN2
  158. #set_property PACKAGE_PIN M3 [get_ports {an[2]}]
  159. #set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}]
  160. ##Bank = 34, Pin name = IO_L13_T2_MRCC_34, Sch name = AN3
  161. #set_property PACKAGE_PIN N5 [get_ports {an[3]}]
  162. #set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}]
  163. ##Bank = 34, Pin name = IO_L3P_T0_DQS_34, Sch name = AN4
  164. #set_property PACKAGE_PIN N2 [get_ports {an[4]}]
  165. #set_property IOSTANDARD LVCMOS33 [get_ports {an[4]}]
  166. ##Bank = 34, Pin name = IO_L16N_T2_34, Sch name = AN5
  167. #set_property PACKAGE_PIN N4 [get_ports {an[5]}]
  168. #set_property IOSTANDARD LVCMOS33 [get_ports {an[5]}]
  169. ##Bank = 34, Pin name = IO_L1P_T0_34, Sch name = AN6
  170. #set_property PACKAGE_PIN L1 [get_ports {an[6]}]
  171. #set_property IOSTANDARD LVCMOS33 [get_ports {an[6]}]
  172. ##Bank = 34, Pin name = IO_L1N_T034, Sch name = AN7
  173. #set_property PACKAGE_PIN M1 [get_ports {an[7]}]
  174. #set_property IOSTANDARD LVCMOS33 [get_ports {an[7]}]
  175. ##Buttons
  176. ##Bank = 15, Pin name = IO_L3P_T0_DQS_AD1P_15, Sch name = CPU_RESET
  177. #set_property PACKAGE_PIN C12 [get_ports btnCpuReset]
  178. #set_property IOSTANDARD LVCMOS33 [get_ports btnCpuReset]
  179. ##Bank = 15, Pin name = IO_L11N_T1_SRCC_15, Sch name = BTNC
  180. #set_property PACKAGE_PIN E16 [get_ports btnC]
  181. #set_property IOSTANDARD LVCMOS33 [get_ports btnC]
  182. ##Bank = 15, Pin name = IO_L14P_T2_SRCC_15, Sch name = BTNU
  183. #set_property PACKAGE_PIN F15 [get_ports btnU]
  184. #set_property IOSTANDARD LVCMOS33 [get_ports btnU]
  185. ##Bank = CONFIG, Pin name = IO_L15N_T2_DQS_DOUT_CSO_B_14, Sch name = BTNL
  186. #set_property PACKAGE_PIN T16 [get_ports btnL]
  187. #set_property IOSTANDARD LVCMOS33 [get_ports btnL]
  188. ##Bank = 14, Pin name = IO_25_14, Sch name = BTNR
  189. #set_property PACKAGE_PIN R10 [get_ports btnR]
  190. #set_property IOSTANDARD LVCMOS33 [get_ports btnR]
  191. ##Bank = 14, Pin name = IO_L21P_T3_DQS_14, Sch name = BTND
  192. #set_property PACKAGE_PIN V10 [get_ports btnD]
  193. #set_property IOSTANDARD LVCMOS33 [get_ports btnD]
  194. ##Pmod Header JA
  195. ##Bank = 15, Pin name = IO_L1N_T0_AD0N_15, Sch name = JA1
  196. #set_property PACKAGE_PIN B13 [get_ports {JA[0]}]
  197. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}]
  198. ##Bank = 15, Pin name = IO_L5N_T0_AD9N_15, Sch name = JA2
  199. #set_property PACKAGE_PIN F14 [get_ports {JA[1]}]
  200. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}]
  201. ##Bank = 15, Pin name = IO_L16N_T2_A27_15, Sch name = JA3
  202. #set_property PACKAGE_PIN D17 [get_ports {JA[2]}]
  203. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}]
  204. ##Bank = 15, Pin name = IO_L16P_T2_A28_15, Sch name = JA4
  205. #set_property PACKAGE_PIN E17 [get_ports {JA[3]}]
  206. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}]
  207. ##Bank = 15, Pin name = IO_0_15, Sch name = JA7
  208. #set_property PACKAGE_PIN G13 [get_ports {JA[4]}]
  209. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}]
  210. ##Bank = 15, Pin name = IO_L20N_T3_A19_15, Sch name = JA8
  211. #set_property PACKAGE_PIN C17 [get_ports {JA[5]}]
  212. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}]
  213. ##Bank = 15, Pin name = IO_L21N_T3_A17_15, Sch name = JA9
  214. #set_property PACKAGE_PIN D18 [get_ports {JA[6]}]
  215. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}]
  216. ##Bank = 15, Pin name = IO_L21P_T3_DQS_15, Sch name = JA10
  217. #set_property PACKAGE_PIN E18 [get_ports {JA[7]}]
  218. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}]
  219. ##Pmod Header JB
  220. ##Bank = 15, Pin name = IO_L15N_T2_DQS_ADV_B_15, Sch name = JB1
  221. #set_property PACKAGE_PIN G14 [get_ports {JB[0]}]
  222. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}]
  223. ##Bank = 14, Pin name = IO_L13P_T2_MRCC_14, Sch name = JB2
  224. #set_property PACKAGE_PIN P15 [get_ports {JB[1]}]
  225. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}]
  226. ##Bank = 14, Pin name = IO_L21N_T3_DQS_A06_D22_14, Sch name = JB3
  227. #set_property PACKAGE_PIN V11 [get_ports {JB[2]}]
  228. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}]
  229. ##Bank = CONFIG, Pin name = IO_L16P_T2_CSI_B_14, Sch name = JB4
  230. #set_property PACKAGE_PIN V15 [get_ports {JB[3]}]
  231. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}]
  232. ##Bank = 15, Pin name = IO_25_15, Sch name = JB7
  233. #set_property PACKAGE_PIN K16 [get_ports {JB[4]}]
  234. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}]
  235. ##Bank = CONFIG, Pin name = IO_L15P_T2_DQS_RWR_B_14, Sch name = JB8
  236. #set_property PACKAGE_PIN R16 [get_ports {JB[5]}]
  237. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}]
  238. ##Bank = 14, Pin name = IO_L24P_T3_A01_D17_14, Sch name = JB9
  239. #set_property PACKAGE_PIN T9 [get_ports {JB[6]}]
  240. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}]
  241. ##Bank = 14, Pin name = IO_L19N_T3_A09_D25_VREF_14, Sch name = JB10
  242. #set_property PACKAGE_PIN U11 [get_ports {JB[7]}]
  243. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}]
  244. ##Pmod Header JC
  245. ##Bank = 35, Pin name = IO_L23P_T3_35, Sch name = JC1
  246. #set_property PACKAGE_PIN K2 [get_ports {JC[0]}]
  247. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}]
  248. ##Bank = 35, Pin name = IO_L6P_T0_35, Sch name = JC2
  249. #set_property PACKAGE_PIN E7 [get_ports {JC[1]}]
  250. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}]
  251. ##Bank = 35, Pin name = IO_L22P_T3_35, Sch name = JC3
  252. #set_property PACKAGE_PIN J3 [get_ports {JC[2]}]
  253. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}]
  254. ##Bank = 35, Pin name = IO_L21P_T3_DQS_35, Sch name = JC4
  255. #set_property PACKAGE_PIN J4 [get_ports {JC[3]}]
  256. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}]
  257. ##Bank = 35, Pin name = IO_L23N_T3_35, Sch name = JC7
  258. #set_property PACKAGE_PIN K1 [get_ports {JC[4]}]
  259. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}]
  260. ##Bank = 35, Pin name = IO_L5P_T0_AD13P_35, Sch name = JC8
  261. #set_property PACKAGE_PIN E6 [get_ports {JC[5]}]
  262. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}]
  263. ##Bank = 35, Pin name = IO_L22N_T3_35, Sch name = JC9
  264. #set_property PACKAGE_PIN J2 [get_ports {JC[6]}]
  265. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}]
  266. ##Bank = 35, Pin name = IO_L19P_T3_35, Sch name = JC10
  267. #set_property PACKAGE_PIN G6 [get_ports {JC[7]}]
  268. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}]
  269. ##Pmod Header JD
  270. ##Bank = 35, Pin name = IO_L21N_T2_DQS_35, Sch name = JD1
  271. #set_property PACKAGE_PIN H4 [get_ports {JD[0]}]
  272. #set_property IOSTANDARD LVCMOS33 [get_ports {JD[0]}]
  273. ##Bank = 35, Pin name = IO_L17P_T2_35, Sch name = JD2
  274. #set_property PACKAGE_PIN H1 [get_ports {JD[1]}]
  275. #set_property IOSTANDARD LVCMOS33 [get_ports {JD[1]}]
  276. ##Bank = 35, Pin name = IO_L17N_T2_35, Sch name = JD3
  277. #set_property PACKAGE_PIN G1 [get_ports {JD[2]}]
  278. #set_property IOSTANDARD LVCMOS33 [get_ports {JD[2]}]
  279. ##Bank = 35, Pin name = IO_L20N_T3_35, Sch name = JD4
  280. #set_property PACKAGE_PIN G3 [get_ports {JD[3]}]
  281. #set_property IOSTANDARD LVCMOS33 [get_ports {JD[3]}]
  282. ##Bank = 35, Pin name = IO_L15P_T2_DQS_35, Sch name = JD7
  283. #set_property PACKAGE_PIN H2 [get_ports {JD[4]}]
  284. #set_property IOSTANDARD LVCMOS33 [get_ports {JD[4]}]
  285. ##Bank = 35, Pin name = IO_L20P_T3_35, Sch name = JD8
  286. #set_property PACKAGE_PIN G4 [get_ports {JD[5]}]
  287. #set_property IOSTANDARD LVCMOS33 [get_ports {JD[5]}]
  288. ##Bank = 35, Pin name = IO_L15N_T2_DQS_35, Sch name = JD9
  289. #set_property PACKAGE_PIN G2 [get_ports {JD[6]}]
  290. #set_property IOSTANDARD LVCMOS33 [get_ports {JD[6]}]
  291. ##Bank = 35, Pin name = IO_L13N_T2_MRCC_35, Sch name = JD10
  292. #set_property PACKAGE_PIN F3 [get_ports {JD[7]}]
  293. #set_property IOSTANDARD LVCMOS33 [get_ports {JD[7]}]
  294. ##Pmod Header JXADC
  295. ##Bank = 15, Pin name = IO_L9P_T1_DQS_AD3P_15, Sch name = XADC1_P -> XA1_P
  296. #set_property PACKAGE_PIN A13 [get_ports {JXADC[0]}]
  297. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}]
  298. ##Bank = 15, Pin name = IO_L8P_T1_AD10P_15, Sch name = XADC2_P -> XA2_P
  299. #set_property PACKAGE_PIN A15 [get_ports {JXADC[1]}]
  300. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}]
  301. ##Bank = 15, Pin name = IO_L7P_T1_AD2P_15, Sch name = XADC3_P -> XA3_P
  302. #set_property PACKAGE_PIN B16 [get_ports {JXADC[2]}]
  303. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}]
  304. ##Bank = 15, Pin name = IO_L10P_T1_AD11P_15, Sch name = XADC4_P -> XA4_P
  305. #set_property PACKAGE_PIN B18 [get_ports {JXADC[3]}]
  306. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}]
  307. ##Bank = 15, Pin name = IO_L9N_T1_DQS_AD3N_15, Sch name = XADC1_N -> XA1_N
  308. #set_property PACKAGE_PIN A14 [get_ports {JXADC[4]}]
  309. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[4]}]
  310. ##Bank = 15, Pin name = IO_L8N_T1_AD10N_15, Sch name = XADC2_N -> XA2_N
  311. #set_property PACKAGE_PIN A16 [get_ports {JXADC[5]}]
  312. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}]
  313. ##Bank = 15, Pin name = IO_L7N_T1_AD2N_15, Sch name = XADC3_N -> XA3_N
  314. #set_property PACKAGE_PIN B17 [get_ports {JXADC[6]}]
  315. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}]
  316. ##Bank = 15, Pin name = IO_L10N_T1_AD11N_15, Sch name = XADC4_N -> XA4_N
  317. #set_property PACKAGE_PIN A18 [get_ports {JXADC[7]}]
  318. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[7]}]
  319. ##VGA Connector
  320. ##Bank = 35, Pin name = IO_L8N_T1_AD14N_35, Sch name = VGA_R0
  321. #set_property PACKAGE_PIN A3 [get_ports {vgaRed[0]}]
  322. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[0]}]
  323. ##Bank = 35, Pin name = IO_L7N_T1_AD6N_35, Sch name = VGA_R1
  324. #set_property PACKAGE_PIN B4 [get_ports {vgaRed[1]}]
  325. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[1]}]
  326. ##Bank = 35, Pin name = IO_L1N_T0_AD4N_35, Sch name = VGA_R2
  327. #set_property PACKAGE_PIN C5 [get_ports {vgaRed[2]}]
  328. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[2]}]
  329. ##Bank = 35, Pin name = IO_L8P_T1_AD14P_35, Sch name = VGA_R3
  330. #set_property PACKAGE_PIN A4 [get_ports {vgaRed[3]}]
  331. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[3]}]
  332. ##Bank = 35, Pin name = IO_L2P_T0_AD12P_35, Sch name = VGA_B0
  333. #set_property PACKAGE_PIN B7 [get_ports {vgaBlue[0]}]
  334. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[0]}]
  335. ##Bank = 35, Pin name = IO_L4N_T0_35, Sch name = VGA_B1
  336. #set_property PACKAGE_PIN C7 [get_ports {vgaBlue[1]}]
  337. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[1]}]
  338. ##Bank = 35, Pin name = IO_L6N_T0_VREF_35, Sch name = VGA_B2
  339. #set_property PACKAGE_PIN D7 [get_ports {vgaBlue[2]}]
  340. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[2]}]
  341. ##Bank = 35, Pin name = IO_L4P_T0_35, Sch name = VGA_B3
  342. #set_property PACKAGE_PIN D8 [get_ports {vgaBlue[3]}]
  343. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[3]}]
  344. ##Bank = 35, Pin name = IO_L1P_T0_AD4P_35, Sch name = VGA_G0
  345. #set_property PACKAGE_PIN C6 [get_ports {vgaGreen[0]}]
  346. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[0]}]
  347. ##Bank = 35, Pin name = IO_L3N_T0_DQS_AD5N_35, Sch name = VGA_G1
  348. #set_property PACKAGE_PIN A5 [get_ports {vgaGreen[1]}]
  349. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[1]}]
  350. ##Bank = 35, Pin name = IO_L2N_T0_AD12N_35, Sch name = VGA_G2
  351. #set_property PACKAGE_PIN B6 [get_ports {vgaGreen[2]}]
  352. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[2]}]
  353. ##Bank = 35, Pin name = IO_L3P_T0_DQS_AD5P_35, Sch name = VGA_G3
  354. #set_property PACKAGE_PIN A6 [get_ports {vgaGreen[3]}]
  355. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[3]}]
  356. ##Bank = 15, Pin name = IO_L4P_T0_15, Sch name = VGA_HS
  357. #set_property PACKAGE_PIN B11 [get_ports Hsync]
  358. #set_property IOSTANDARD LVCMOS33 [get_ports Hsync]
  359. ##Bank = 15, Pin name = IO_L3N_T0_DQS_AD1N_15, Sch name = VGA_VS
  360. #set_property PACKAGE_PIN B12 [get_ports Vsync]
  361. #set_property IOSTANDARD LVCMOS33 [get_ports Vsync]
  362. ##Micro SD Connector
  363. ##Bank = 35, Pin name = IO_L14P_T2_SRCC_35, Sch name = SD_RESET
  364. #set_property PACKAGE_PIN E2 [get_ports sdReset]
  365. #set_property IOSTANDARD LVCMOS33 [get_ports sdReset]
  366. ##Bank = 35, Pin name = IO_L9N_T1_DQS_AD7N_35, Sch name = SD_CD
  367. #set_property PACKAGE_PIN A1 [get_ports sdCD]
  368. #set_property IOSTANDARD LVCMOS33 [get_ports sdCD]
  369. ##Bank = 35, Pin name = IO_L9P_T1_DQS_AD7P_35, Sch name = SD_SCK
  370. #set_property PACKAGE_PIN B1 [get_ports sdSCK]
  371. #set_property IOSTANDARD LVCMOS33 [get_ports sdSCK]
  372. ##Bank = 35, Pin name = IO_L16N_T2_35, Sch name = SD_CMD
  373. #set_property PACKAGE_PIN C1 [get_ports sdCmd]
  374. #set_property IOSTANDARD LVCMOS33 [get_ports sdCmd]
  375. ##Bank = 35, Pin name = IO_L16P_T2_35, Sch name = SD_DAT0
  376. #set_property PACKAGE_PIN C2 [get_ports {sdData[0]}]
  377. #set_property IOSTANDARD LVCMOS33 [get_ports {sdData[0]}]
  378. ##Bank = 35, Pin name = IO_L18N_T2_35, Sch name = SD_DAT1
  379. #set_property PACKAGE_PIN E1 [get_ports {sdData[1]}]
  380. #set_property IOSTANDARD LVCMOS33 [get_ports {sdData[1]}]
  381. ##Bank = 35, Pin name = IO_L18P_T2_35, Sch name = SD_DAT2
  382. #set_property PACKAGE_PIN F1 [get_ports {sdData[2]}]
  383. #set_property IOSTANDARD LVCMOS33 [get_ports {sdData[2]}]
  384. ##Bank = 35, Pin name = IO_L14N_T2_SRCC_35, Sch name = SD_DAT3
  385. #set_property PACKAGE_PIN D2 [get_ports {sdData[3]}]
  386. #set_property IOSTANDARD LVCMOS33 [get_ports {sdData[3]}]
  387. ##Accelerometer
  388. ##Bank = 15, Pin name = IO_L6N_T0_VREF_15, Sch name = ACL_MISO
  389. #set_property PACKAGE_PIN D13 [get_ports aclMISO]
  390. #set_property IOSTANDARD LVCMOS33 [get_ports aclMISO]
  391. ##Bank = 15, Pin name = IO_L2N_T0_AD8N_15, Sch name = ACL_MOSI
  392. #set_property PACKAGE_PIN B14 [get_ports aclMOSI]
  393. #set_property IOSTANDARD LVCMOS33 [get_ports aclMOSI]
  394. ##Bank = 15, Pin name = IO_L12P_T1_MRCC_15, Sch name = ACL_SCLK
  395. #set_property PACKAGE_PIN D15 [get_ports aclSCK]
  396. #set_property IOSTANDARD LVCMOS33 [get_ports aclSCK]
  397. ##Bank = 15, Pin name = IO_L12N_T1_MRCC_15, Sch name = ACL_CSN
  398. #set_property PACKAGE_PIN C15 [get_ports aclSS]
  399. #set_property IOSTANDARD LVCMOS33 [get_ports aclSS]
  400. ##Bank = 15, Pin name = IO_L20P_T3_A20_15, Sch name = ACL_INT1
  401. #set_property PACKAGE_PIN C16 [get_ports aclInt1]
  402. #set_property IOSTANDARD LVCMOS33 [get_ports aclInt1]
  403. ##Bank = 15, Pin name = IO_L11P_T1_SRCC_15, Sch name = ACL_INT2
  404. #set_property PACKAGE_PIN E15 [get_ports aclInt2]
  405. #set_property IOSTANDARD LVCMOS33 [get_ports aclInt2]
  406. ##Temperature Sensor
  407. ##Bank = 15, Pin name = IO_L14N_T2_SRCC_15, Sch name = TMP_SCL
  408. #set_property PACKAGE_PIN F16 [get_ports tmpSCL]
  409. #set_property IOSTANDARD LVCMOS33 [get_ports tmpSCL]
  410. ##Bank = 15, Pin name = IO_L13N_T2_MRCC_15, Sch name = TMP_SDA
  411. #set_property PACKAGE_PIN G16 [get_ports tmpSDA]
  412. #set_property IOSTANDARD LVCMOS33 [get_ports tmpSDA]
  413. ##Bank = 15, Pin name = IO_L1P_T0_AD0P_15, Sch name = TMP_INT
  414. #set_property PACKAGE_PIN D14 [get_ports tmpInt]
  415. #set_property IOSTANDARD LVCMOS33 [get_ports tmpInt]
  416. ##Bank = 15, Pin name = IO_L1N_T0_AD0N_15, Sch name = TMP_CT
  417. #set_property PACKAGE_PIN C14 [get_ports tmpCT]
  418. #set_property IOSTANDARD LVCMOS33 [get_ports tmpCT]
  419. ##Omnidirectional Microphone
  420. ##Bank = 35, Pin name = IO_25_35, Sch name = M_CLK
  421. #set_property PACKAGE_PIN J5 [get_ports micClk]
  422. #set_property IOSTANDARD LVCMOS33 [get_ports micClk]
  423. ##Bank = 35, Pin name = IO_L24N_T3_35, Sch name = M_DATA
  424. #set_property PACKAGE_PIN H5 [get_ports micData]
  425. #set_property IOSTANDARD LVCMOS33 [get_ports micData]
  426. ##Bank = 35, Pin name = IO_0_35, Sch name = M_LRSEL
  427. #set_property PACKAGE_PIN F5 [get_ports micLRSel]
  428. #set_property IOSTANDARD LVCMOS33 [get_ports micLRSel]
  429. ##PWM Audio Amplifier
  430. ##Bank = 15, Pin name = IO_L4N_T0_15, Sch name = AUD_PWM
  431. #set_property PACKAGE_PIN A11 [get_ports ampPWM]
  432. #set_property IOSTANDARD LVCMOS33 [get_ports ampPWM]
  433. ##Bank = 15, Pin name = IO_L6P_T0_15, Sch name = AUD_SD
  434. #set_property PACKAGE_PIN D12 [get_ports ampSD]
  435. #set_property IOSTANDARD LVCMOS33 [get_ports ampSD]
  436. ##USB-RS232 Interface
  437. ##Bank = 35, Pin name = IO_L7P_T1_AD6P_35, Sch name = UART_TXD_IN
  438. #set_property PACKAGE_PIN C4 [get_ports RsRx]
  439. #set_property IOSTANDARD LVCMOS33 [get_ports RsRx]
  440. ##Bank = 35, Pin name = IO_L11N_T1_SRCC_35, Sch name = UART_RXD_OUT
  441. #set_property PACKAGE_PIN D4 [get_ports RsTx]
  442. #set_property IOSTANDARD LVCMOS33 [get_ports RsTx]
  443. ##Bank = 35, Pin name = IO_L12N_T1_MRCC_35, Sch name = UART_CTS
  444. #set_property PACKAGE_PIN D3 [get_ports RsCts]
  445. #set_property IOSTANDARD LVCMOS33 [get_ports RsCts]
  446. ##Bank = 35, Pin name = IO_L5N_T0_AD13N_35, Sch name = UART_RTS
  447. #set_property PACKAGE_PIN E5 [get_ports RsRts]
  448. #set_property IOSTANDARD LVCMOS33 [get_ports RsRts]
  449. ##USB HID (PS/2)
  450. ##Bank = 35, Pin name = IO_L13P_T2_MRCC_35, Sch name = PS2_CLK
  451. #set_property PACKAGE_PIN F4 [get_ports PS2Clk]
  452. #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk]
  453. #set_property PULLUP true [get_ports PS2Clk]
  454. ##Bank = 35, Pin name = IO_L10N_T1_AD15N_35, Sch name = PS2_DATA
  455. #set_property PACKAGE_PIN B2 [get_ports PS2Data]
  456. #set_property IOSTANDARD LVCMOS33 [get_ports PS2Data]
  457. #set_property PULLUP true [get_ports PS2Data]
  458. ##SMSC Ethernet PHY
  459. ##Bank = 16, Pin name = IO_L11P_T1_SRCC_16, Sch name = ETH_MDC
  460. #set_property PACKAGE_PIN C9 [get_ports PhyMdc]
  461. #set_property IOSTANDARD LVCMOS33 [get_ports PhyMdc]
  462. ##Bank = 16, Pin name = IO_L14N_T2_SRCC_16, Sch name = ETH_MDIO
  463. #set_property PACKAGE_PIN A9 [get_ports PhyMdio]
  464. #set_property IOSTANDARD LVCMOS33 [get_ports PhyMdio]
  465. ##Bank = 35, Pin name = IO_L10P_T1_AD15P_35, Sch name = ETH_RSTN
  466. #set_property PACKAGE_PIN B3 [get_ports PhyRstn]
  467. #set_property IOSTANDARD LVCMOS33 [get_ports PhyRstn]
  468. ##Bank = 16, Pin name = IO_L6N_T0_VREF_16, Sch name = ETH_CRSDV
  469. #set_property PACKAGE_PIN D9 [get_ports PhyCrs]
  470. #set_property IOSTANDARD LVCMOS33 [get_ports PhyCrs]
  471. ##Bank = 16, Pin name = IO_L13N_T2_MRCC_16, Sch name = ETH_RXERR
  472. #set_property PACKAGE_PIN C10 [get_ports PhyRxErr]
  473. #set_property IOSTANDARD LVCMOS33 [get_ports PhyRxErr]
  474. ##Bank = 16, Pin name = IO_L19N_T3_VREF_16, Sch name = ETH_RXD0
  475. #set_property PACKAGE_PIN D10 [get_ports {PhyRxd[0]}]
  476. #set_property IOSTANDARD LVCMOS33 [get_ports {PhyRxd[0]}]
  477. ##Bank = 16, Pin name = IO_L13P_T2_MRCC_16, Sch name = ETH_RXD1
  478. #set_property PACKAGE_PIN C11 [get_ports {PhyRxd[1]}]
  479. #set_property IOSTANDARD LVCMOS33 [get_ports {PhyRxd[1]}]
  480. ##Bank = 16, Pin name = IO_L11N_T1_SRCC_16, Sch name = ETH_TXEN
  481. #set_property PACKAGE_PIN B9 [get_ports PhyTxEn]
  482. #set_property IOSTANDARD LVCMOS33 [get_ports PhyTxEn]
  483. ##Bank = 16, Pin name = IO_L14P_T2_SRCC_16, Sch name = ETH_TXD0
  484. #set_property PACKAGE_PIN A10 [get_ports {PhyTxd[0]}]
  485. #set_property IOSTANDARD LVCMOS33 [get_ports {PhyTxd[0]}]
  486. ##Bank = 16, Pin name = IO_L12N_T1_MRCC_16, Sch name = ETH_TXD1
  487. #set_property PACKAGE_PIN A8 [get_ports {PhyTxd[1]}]
  488. #set_property IOSTANDARD LVCMOS33 [get_ports {PhyTxd[1]}]
  489. ##Bank = 35, Pin name = IO_L11P_T1_SRCC_35, Sch name = ETH_REFCLK
  490. #set_property PACKAGE_PIN D5 [get_ports PhyClk50Mhz]
  491. #set_property IOSTANDARD LVCMOS33 [get_ports PhyClk50Mhz]
  492. ##Bank = 16, Pin name = IO_L12P_T1_MRCC_16, Sch name = ETH_INTN
  493. #set_property PACKAGE_PIN B8 [get_ports PhyIntn]
  494. #set_property IOSTANDARD LVCMOS33 [get_ports PhyIntn]
  495. ##Quad SPI Flash
  496. ##Bank = CONFIG, Pin name = CCLK_0, Sch name = QSPI_SCK
  497. #set_property PACKAGE_PIN E9 [get_ports {QspiSCK}]
  498. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiSCK}]
  499. ##Bank = CONFIG, Pin name = IO_L1P_T0_D00_MOSI_14, Sch name = QSPI_DQ0
  500. #set_property PACKAGE_PIN K17 [get_ports {QspiDB[0]}]
  501. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}]
  502. ##Bank = CONFIG, Pin name = IO_L1N_T0_D01_DIN_14, Sch name = QSPI_DQ1
  503. #set_property PACKAGE_PIN K18 [get_ports {QspiDB[1]}]
  504. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}]
  505. ##Bank = CONFIG, Pin name = IO_L20_T0_D02_14, Sch name = QSPI_DQ2
  506. #set_property PACKAGE_PIN L14 [get_ports {QspiDB[2]}]
  507. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}]
  508. ##Bank = CONFIG, Pin name = IO_L2P_T0_D03_14, Sch name = QSPI_DQ3
  509. #set_property PACKAGE_PIN M14 [get_ports {QspiDB[3]}]
  510. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}]
  511. ##Bank = CONFIG, Pin name = IO_L15N_T2_DQS_DOUT_CSO_B_14, Sch name = QSPI_CSN
  512. #set_property PACKAGE_PIN L13 [get_ports QspiCSn]
  513. #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]
  514. ##Cellular RAM
  515. ##Bank = 14, Pin name = IO_L14N_T2_SRCC_14, Sch name = CRAM_CLK
  516. #set_property PACKAGE_PIN T15 [get_ports RamCLK]
  517. #set_property IOSTANDARD LVCMOS33 [get_ports RamCLK]
  518. ##Bank = 14, Pin name = IO_L23P_T3_A03_D19_14, Sch name = CRAM_ADVN
  519. #set_property PACKAGE_PIN T13 [get_ports RamADVn]
  520. #set_property IOSTANDARD LVCMOS33 [get_ports RamADVn]
  521. ##Bank = 14, Pin name = IO_L4P_T0_D04_14, Sch name = CRAM_CEN
  522. #set_property PACKAGE_PIN L18 [get_ports RamCEn]
  523. #set_property IOSTANDARD LVCMOS33 [get_ports RamCEn]
  524. ##Bank = 15, Pin name = IO_L19P_T3_A22_15, Sch name = CRAM_CRE
  525. #set_property PACKAGE_PIN J14 [get_ports RamCRE]
  526. #set_property IOSTANDARD LVCMOS33 [get_ports RamCRE]
  527. ##Bank = 15, Pin name = IO_L15P_T2_DQS_15, Sch name = CRAM_OEN
  528. #set_property PACKAGE_PIN H14 [get_ports RamOEn]
  529. #set_property IOSTANDARD LVCMOS33 [get_ports RamOEn]
  530. ##Bank = 14, Pin name = IO_0_14, Sch name = CRAM_WEN
  531. #set_property PACKAGE_PIN R11 [get_ports RamWEn]
  532. #set_property IOSTANDARD LVCMOS33 [get_ports RamWEn]
  533. ##Bank = 15, Pin name = IO_L24N_T3_RS0_15, Sch name = CRAM_LBN
  534. #set_property PACKAGE_PIN J15 [get_ports RamLBn]
  535. #set_property IOSTANDARD LVCMOS33 [get_ports RamLBn]
  536. ##Bank = 15, Pin name = IO_L17N_T2_A25_15, Sch name = CRAM_UBN
  537. #set_property PACKAGE_PIN J13 [get_ports RamUBn]
  538. #set_property IOSTANDARD LVCMOS33 [get_ports RamUBn]
  539. ##Bank = 14, Pin name = IO_L14P_T2_SRCC_14, Sch name = CRAM_WAIT
  540. #set_property PACKAGE_PIN T14 [get_ports RamWait]
  541. #set_property IOSTANDARD LVCMOS33 [get_ports RamWait]
  542. ##Bank = 14, Pin name = IO_L5P_T0_DQ06_14, Sch name = CRAM_DQ0
  543. #set_property PACKAGE_PIN R12 [get_ports {MemDB[0]}]
  544. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[0]}]
  545. ##Bank = 14, Pin name = IO_L19P_T3_A10_D26_14, Sch name = CRAM_DQ1
  546. #set_property PACKAGE_PIN T11 [get_ports {MemDB[1]}]
  547. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[1]}]
  548. ##Bank = 14, Pin name = IO_L20P_T3_A08)D24_14, Sch name = CRAM_DQ2
  549. #set_property PACKAGE_PIN U12 [get_ports {MemDB[2]}]
  550. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[2]}]
  551. ##Bank = 14, Pin name = IO_L5N_T0_D07_14, Sch name = CRAM_DQ3
  552. #set_property PACKAGE_PIN R13 [get_ports {MemDB[3]}]
  553. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[3]}]
  554. ##Bank = 14, Pin name = IO_L17N_T2_A13_D29_14, Sch name = CRAM_DQ4
  555. #set_property PACKAGE_PIN U18 [get_ports {MemDB[4]}]
  556. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[4]}]
  557. ##Bank = 14, Pin name = IO_L12N_T1_MRCC_14, Sch name = CRAM_DQ5
  558. #set_property PACKAGE_PIN R17 [get_ports {MemDB[5]}]
  559. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[5]}]
  560. ##Bank = 14, Pin name = IO_L7N_T1_D10_14, Sch name = CRAM_DQ6
  561. #set_property PACKAGE_PIN T18 [get_ports {MemDB[6]}]
  562. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[6]}]
  563. ##Bank = 14, Pin name = IO_L7P_T1_D09_14, Sch name = CRAM_DQ7
  564. #set_property PACKAGE_PIN R18 [get_ports {MemDB[7]}]
  565. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[7]}]
  566. ##Bank = 15, Pin name = IO_L22N_T3_A16_15, Sch name = CRAM_DQ8
  567. #set_property PACKAGE_PIN F18 [get_ports {MemDB[8]}]
  568. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[8]}]
  569. ##Bank = 15, Pin name = IO_L22P_T3_A17_15, Sch name = CRAM_DQ9
  570. #set_property PACKAGE_PIN G18 [get_ports {MemDB[9]}]
  571. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[9]}]
  572. ##Bank = 15, Pin name = IO_IO_L18N_T2_A23_15, Sch name = CRAM_DQ10
  573. #set_property PACKAGE_PIN G17 [get_ports {MemDB[10]}]
  574. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[10]}]
  575. ##Bank = 14, Pin name = IO_L4N_T0_D05_14, Sch name = CRAM_DQ11
  576. #set_property PACKAGE_PIN M18 [get_ports {MemDB[11]}]
  577. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[11]}]
  578. ##Bank = 14, Pin name = IO_L10N_T1_D15_14, Sch name = CRAM_DQ12
  579. #set_property PACKAGE_PIN M17 [get_ports {MemDB[12]}]
  580. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[12]}]
  581. ##Bank = 14, Pin name = IO_L9N_T1_DQS_D13_14, Sch name = CRAM_DQ13
  582. #set_property PACKAGE_PIN P18 [get_ports {MemDB[13]}]
  583. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[13]}]
  584. ##Bank = 14, Pin name = IO_L9P_T1_DQS_14, Sch name = CRAM_DQ14
  585. #set_property PACKAGE_PIN N17 [get_ports {MemDB[14]}]
  586. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[14]}]
  587. ##Bank = 14, Pin name = IO_L12P_T1_MRCC_14, Sch name = CRAM_DQ15
  588. #set_property PACKAGE_PIN P17 [get_ports {MemDB[15]}]
  589. #set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[15]}]
  590. ##Bank = 15, Pin name = IO_L23N_T3_FWE_B_15, Sch name = CRAM_A0
  591. #set_property PACKAGE_PIN J18 [get_ports {MemAdr[0]}]
  592. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[0]}]
  593. ##Bank = 15, Pin name = IO_L18P_T2_A24_15, Sch name = CRAM_A1
  594. #set_property PACKAGE_PIN H17 [get_ports {MemAdr[1]}]
  595. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[1]}]
  596. ##Bank = 15, Pin name = IO_L19N_T3_A21_VREF_15, Sch name = CRAM_A2
  597. #set_property PACKAGE_PIN H15 [get_ports {MemAdr[2]}]
  598. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[2]}]
  599. ##Bank = 15, Pin name = IO_L23P_T3_FOE_B_15, Sch name = CRAM_A3
  600. #set_property PACKAGE_PIN J17 [get_ports {MemAdr[3]}]
  601. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[3]}]
  602. ##Bank = 15, Pin name = IO_L13P_T2_MRCC_15, Sch name = CRAM_A4
  603. #set_property PACKAGE_PIN H16 [get_ports {MemAdr[4]}]
  604. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[4]}]
  605. ##Bank = 15, Pin name = IO_L24P_T3_RS1_15, Sch name = CRAM_A5
  606. #set_property PACKAGE_PIN K15 [get_ports {MemAdr[5]}]
  607. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[5]}]
  608. ##Bank = 15, Pin name = IO_L17P_T2_A26_15, Sch name = CRAM_A6
  609. #set_property PACKAGE_PIN K13 [get_ports {MemAdr[6]}]
  610. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[6]}]
  611. ##Bank = 14, Pin name = IO_L11P_T1_SRCC_14, Sch name = CRAM_A7
  612. #set_property PACKAGE_PIN N15 [get_ports {MemAdr[7]}]
  613. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[7]}]
  614. ##Bank = 14, Pin name = IO_L16N_T2_SRCC-14, Sch name = CRAM_A8
  615. #set_property PACKAGE_PIN V16 [get_ports {MemAdr[8]}]
  616. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[8]}]
  617. ##Bank = 14, Pin name = IO_L22P_T3_A05_D21_14, Sch name = CRAM_A9
  618. #set_property PACKAGE_PIN U14 [get_ports {MemAdr[9]}]
  619. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[9]}]
  620. ##Bank = 14, Pin name = IO_L22N_T3_A04_D20_14, Sch name = CRAM_A10
  621. #set_property PACKAGE_PIN V14 [get_ports {MemAdr[10]}]
  622. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[10]}]
  623. ##Bank = 14, Pin name = IO_L20N_T3_A07_D23_14, Sch name = CRAM_A11
  624. #set_property PACKAGE_PIN V12 [get_ports {MemAdr[11]}]
  625. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[11]}]
  626. ##Bank = 14, Pin name = IO_L8N_T1_D12_14, Sch name = CRAM_A12
  627. #set_property PACKAGE_PIN P14 [get_ports {MemAdr[12]}]
  628. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[12]}]
  629. ##Bank = 14, Pin name = IO_L18P_T2_A12_D28_14, Sch name = CRAM_A13
  630. #set_property PACKAGE_PIN U16 [get_ports {MemAdr[13]}]
  631. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[13]}]
  632. ##Bank = 14, Pin name = IO_L13N_T2_MRCC_14, Sch name = CRAM_A14
  633. #set_property PACKAGE_PIN R15 [get_ports {MemAdr[14]}]
  634. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[14]}]
  635. ##Bank = 14, Pin name = IO_L8P_T1_D11_14, Sch name = CRAM_A15
  636. #set_property PACKAGE_PIN N14 [get_ports {MemAdr[15]}]
  637. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[15]}]
  638. ##Bank = 14, Pin name = IO_L11N_T1_SRCC_14, Sch name = CRAM_A16
  639. #set_property PACKAGE_PIN N16 [get_ports {MemAdr[16]}]
  640. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[16]}]
  641. ##Bank = 14, Pin name = IO_L6N_T0_D08_VREF_14, Sch name = CRAM_A17
  642. #set_property PACKAGE_PIN M13 [get_ports {MemAdr[17]}]
  643. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[17]}]
  644. ##Bank = 14, Pin name = IO_L18N_T2_A11_D27_14, Sch name = CRAM_A18
  645. #set_property PACKAGE_PIN V17 [get_ports {MemAdr[18]}]
  646. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[18]}]
  647. ##Bank = 14, Pin name = IO_L17P_T2_A14_D30_14, Sch name = CRAM_A19
  648. #set_property PACKAGE_PIN U17 [get_ports {MemAdr[19]}]
  649. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[19]}]
  650. ##Bank = 14, Pin name = IO_L24N_T3_A00_D16_14, Sch name = CRAM_A20
  651. #set_property PACKAGE_PIN T10 [get_ports {MemAdr[20]}]
  652. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[20]}]
  653. ##Bank = 14, Pin name = IO_L10P_T1_D14_14, Sch name = CRAM_A21
  654. #set_property PACKAGE_PIN M16 [get_ports {MemAdr[21]}]
  655. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[21]}]
  656. ##Bank = 14, Pin name = IO_L23N_T3_A02_D18_14, Sch name = CRAM_A22
  657. #set_property PACKAGE_PIN U13 [get_ports {MemAdr[22]}]
  658. #set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[22]}]