From b6e470f939f8780f3e022f1b17ef7b3a7267491b Mon Sep 17 00:00:00 2001 From: Felix Stamm Date: Mon, 16 May 2022 13:55:04 +0200 Subject: [PATCH] Doku verlinkt --- .../StreckeSim/vivado.log | 2 + .../StreckeSim/vivado_pid17732.str | 154 ---- .../Doku/Fixed point package user’s guide.url | 2 + ...types_in_Vivado_Installationsanleitung.pdf | Bin 0 -> 1616874 bytes .../Fixed-point_types_in_Vivado_editable.url | 2 + .../Doku/Fixed_ug_usermanual.pdf | Bin 0 -> 92347 bytes .../fixedPointTest/Doku/Library Download.url | 2 + .../fixedPointTest.cache/wt/project.wpc | 2 +- .../fixedPointTest.cache/wt/synthesis.wdf | 8 +- .../fixedPointTest.cache/wt/webtalk_pa.xml | 4 +- .../.jobs/vrs_config_10.xml | 9 + .../.jobs/vrs_config_11.xml | 9 + .../.jobs/vrs_config_12.xml | 9 + .../.jobs/vrs_config_13.xml | 9 + .../.jobs/vrs_config_14.xml | 9 + .../.jobs/vrs_config_15.xml | 9 + .../.jobs/vrs_config_16.xml | 9 + .../.jobs/vrs_config_9.xml | 9 + .../impl_1/.Vivado_Implementation.queue.rst | 0 .../impl_1/.init_design.begin.rst | 5 - .../impl_1/.init_design.end.rst | 0 .../impl_1/.opt_design.begin.rst | 5 - .../impl_1/.opt_design.end.rst | 0 .../impl_1/.phys_opt_design.begin.rst | 5 - .../impl_1/.phys_opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 - .../impl_1/.place_design.end.rst | 0 .../impl_1/.route_design.begin.rst | 5 - .../impl_1/.route_design.end.rst | 0 .../impl_1/.vivado.begin.rst | 10 - .../impl_1/.vivado.end.rst | 0 .../impl_1/.vivado.error.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 - .../impl_1/.write_bitstream.error.rst | 0 .../fixedPointTest.runs/impl_1/ISEWrap.js | 269 ------ .../fixedPointTest.runs/impl_1/ISEWrap.sh | 84 -- .../impl_1/fixedPointTest.tcl | 157 ---- .../impl_1/fixedPointTest.vdi | 600 ------------- .../impl_1/fixedPointTest_11388.backup.vdi | 512 ----------- .../impl_1/fixedPointTest_17108.backup.vdi | 540 ------------ .../impl_1/fixedPointTest_bus_skew_routed.pb | Bin 30 -> 0 bytes .../impl_1/fixedPointTest_bus_skew_routed.rpt | 15 - .../impl_1/fixedPointTest_bus_skew_routed.rpx | Bin 1110 -> 0 bytes ...ixedPointTest_clock_utilization_routed.rpt | 90 -- .../fixedPointTest_control_sets_placed.rpt | 77 -- .../impl_1/fixedPointTest_drc_opted.pb | Bin 37 -> 0 bytes .../impl_1/fixedPointTest_drc_opted.rpt | 53 -- .../impl_1/fixedPointTest_drc_opted.rpx | Bin 4370 -> 0 bytes .../impl_1/fixedPointTest_drc_routed.pb | Bin 37 -> 0 bytes .../impl_1/fixedPointTest_drc_routed.rpt | 53 -- .../impl_1/fixedPointTest_drc_routed.rpx | Bin 4371 -> 0 bytes .../impl_1/fixedPointTest_io_placed.rpt | 442 ---------- .../fixedPointTest_methodology_drc_routed.pb | Bin 52 -> 0 bytes .../fixedPointTest_methodology_drc_routed.rpt | 34 - .../fixedPointTest_methodology_drc_routed.rpx | Bin 133 -> 0 bytes .../impl_1/fixedPointTest_opt.dcp | Bin 26751 -> 0 bytes .../impl_1/fixedPointTest_physopt.dcp | Bin 236869 -> 0 bytes .../impl_1/fixedPointTest_placed.dcp | Bin 234299 -> 0 bytes .../impl_1/fixedPointTest_power_routed.rpt | 149 ---- .../impl_1/fixedPointTest_power_routed.rpx | Bin 24830 -> 0 bytes .../fixedPointTest_power_summary_routed.pb | Bin 723 -> 0 bytes .../impl_1/fixedPointTest_route_status.pb | Bin 43 -> 0 bytes .../impl_1/fixedPointTest_route_status.rpt | 11 - .../impl_1/fixedPointTest_routed.dcp | Bin 240118 -> 0 bytes .../fixedPointTest_timing_summary_routed.pb | 2 - .../fixedPointTest_timing_summary_routed.rpt | 805 ------------------ .../fixedPointTest_timing_summary_routed.rpx | Bin 42883 -> 0 bytes .../fixedPointTest_utilization_placed.pb | Bin 224 -> 0 bytes .../fixedPointTest_utilization_placed.rpt | 199 ----- .../fixedPointTest.runs/impl_1/gen_run.xml | 170 ---- .../fixedPointTest.runs/impl_1/htr.txt | 9 - .../fixedPointTest.runs/impl_1/init_design.pb | Bin 8213 -> 3844 bytes .../fixedPointTest.runs/impl_1/opt_design.pb | Bin 10964 -> 10968 bytes .../impl_1/phys_opt_design.pb | Bin 1874 -> 1874 bytes .../impl_1/place_design.pb | Bin 13934 -> 13934 bytes .../impl_1/route_design.pb | Bin 12843 -> 12843 bytes .../fixedPointTest.runs/impl_1/rundef.js | 40 - .../fixedPointTest.runs/impl_1/runme.bat | 10 - .../fixedPointTest.runs/impl_1/runme.log | 596 ------------- .../fixedPointTest.runs/impl_1/runme.sh | 47 - .../fixedPointTest.runs/impl_1/vivado.jou | 13 - .../fixedPointTest.runs/impl_1/vivado.pb | 4 - .../impl_1/vivado_11388.backup.jou | 13 - .../impl_1/vivado_17108.backup.jou | 13 - .../impl_1/write_bitstream.pb | Bin 7359 -> 7359 bytes .../synth_1/.vivado.begin.rst | 2 +- .../synth_1/fixedPointTest.dcp | Bin 17810 -> 21430 bytes .../synth_1/fixedPointTest.tcl | 5 - .../synth_1/fixedPointTest.vds | 123 ++- .../fixedPointTest_utilization_synth.pb | Bin 224 -> 224 bytes .../fixedPointTest_utilization_synth.rpt | 25 +- .../fixedPointTest.runs/synth_1/gen_run.xml | 6 +- .../{impl_1 => synth_1}/project.wdf | 4 +- .../fixedPointTest.runs/synth_1/runme.log | 119 ++- .../fixedPointTest.runs/synth_1/vivado.jou | 4 +- .../fixedPointTest.runs/synth_1/vivado.pb | Bin 30659 -> 28189 bytes .../sim_1/behav/xsim/compile.bat | 2 +- .../sim_1/behav/xsim/compile.log | 4 +- .../sim_1/behav/xsim/elaborate.bat | 2 +- .../sim_1/behav/xsim/elaborate.log | 16 +- .../behav/xsim/fixedPointTest_db_behav.wdb | Bin 7361 -> 7361 bytes .../sim_1/behav/xsim/simulate.bat | 2 +- .../sim_1/behav/xsim/simulate.log | 1 - .../sim_1/behav/xsim/xelab.pb | Bin 2179 -> 1263 bytes .../fixedPointTest_db_behav/xsimSettings.ini | 2 +- .../fixedPointTest_db_behav/xsimk.exe | Bin 87640 -> 87640 bytes .../fixedPointTest_db_behav/xsimkernel.log | 10 +- .../ieee_proposed_2008/ieee_proposed.rlx | 2 +- .../xil_defaultlib/fixedpointtest.vdb | Bin 2426 -> 2426 bytes .../xil_defaultlib/xil_defaultlib.rlx | 2 +- .../sim_1/behav/xsim/xvhdl.log | 4 +- .../sim_1/behav/xsim/xvhdl.pb | Bin 446 -> 444 bytes .../digilent-xdc-master/Cora-Z7-10-Master.xdc | 12 +- .../sources_1/new/fixedPointTest.vhd | 4 +- .../imports/synth_1/fixedPointTest.dcp | Bin 17806 -> 21430 bytes .../fixedPointTest/fixedPointTest.xpr | 14 +- .../fixedPointTest_db_behav.wcfg | 4 +- .../fixedPointTest/vivado.jou | 22 + .../fixedPointTest/vivado.log | 82 ++ 119 files changed, 358 insertions(+), 5410 deletions(-) delete mode 100644 StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado_pid17732.str create mode 100644 StreckenSim_mitRegler/fixedPointTest/Doku/Fixed point package user’s guide.url create mode 100644 StreckenSim_mitRegler/fixedPointTest/Doku/Fixed-point_types_in_Vivado_Installationsanleitung.pdf create mode 100644 StreckenSim_mitRegler/fixedPointTest/Doku/Fixed-point_types_in_Vivado_editable.url create mode 100644 StreckenSim_mitRegler/fixedPointTest/Doku/Fixed_ug_usermanual.pdf create mode 100644 StreckenSim_mitRegler/fixedPointTest/Doku/Library Download.url create mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_10.xml create mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_11.xml create mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_12.xml create mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_13.xml create mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_14.xml create mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_15.xml create mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_16.xml create mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_9.xml delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.Vivado_Implementation.queue.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.init_design.begin.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.init_design.end.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.opt_design.begin.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.opt_design.end.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.phys_opt_design.begin.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.phys_opt_design.end.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.place_design.begin.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.place_design.end.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.route_design.begin.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.route_design.end.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.begin.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.end.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.error.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.write_bitstream.begin.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.write_bitstream.error.rst delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/ISEWrap.js delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/ISEWrap.sh delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.tcl delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_11388.backup.vdi delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_17108.backup.vdi delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.rpx delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_clock_utilization_routed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_control_sets_placed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpx delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpx delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_io_placed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpx delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_opt.dcp delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_placed.dcp delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_routed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_routed.rpx delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_summary_routed.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_route_status.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_route_status.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.rpx delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_utilization_placed.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_utilization_placed.rpt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/gen_run.xml delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/htr.txt delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/rundef.js delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/runme.bat delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/runme.log delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/runme.sh delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado.jou delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado.pb delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado_11388.backup.jou delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado_17108.backup.jou rename StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/{impl_1 => synth_1}/project.wdf (98%) delete mode 100644 StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/simulate.log create mode 100644 StreckenSim_mitRegler/fixedPointTest/vivado.jou create mode 100644 StreckenSim_mitRegler/fixedPointTest/vivado.log diff --git a/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log b/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log index 24f845a..474ecf8 100644 --- a/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log +++ b/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log @@ -68,3 +68,5 @@ INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. open_project: Time (s): cpu = 00:00:27 ; elapsed = 00:00:11 . Memory (MB): peak = 1591.184 ; gain = 0.000 update_compile_order -fileset sources_1 +exit +INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:58:35 2022... diff --git a/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado_pid17732.str b/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado_pid17732.str deleted file mode 100644 index 1e93c8e..0000000 --- a/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado_pid17732.str +++ /dev/null @@ -1,154 +0,0 @@ -/* - -Xilinx Vivado v2021.2 (64-bit) [Major: 2021, Minor: 2] -SW Build: 3367213 on Tue Oct 19 02:48:09 MDT 2021 -IP Build: 3369179 on Thu Oct 21 08:25:16 MDT 2021 - -Process ID (PID): 17732 -License: Customer -Mode: GUI Mode - -Current time: Fri May 13 14:02:56 CEST 2022 -Time zone: Central European Standard Time (Europe/Berlin) - -OS: Windows 10 -OS Version: 10.0 -OS Architecture: amd64 -Available processors (cores): 12 - -Screen size: 1920x1080 -Screen resolution (DPI): 100 -Available screens: 2 -Default font: family=Dialog,name=Dialog,style=plain,size=12 -Scale size: 12 - -Java version: 11.0.11 64-bit -Java home: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9 -Java executable: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9/bin/java.exe -Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.d3d=false, -Dsun.awt.nopixfmt=true, -Dsun.java2d.dpiaware=true, -Dsun.java2d.uiScale.enabled=false, -Xverify:none, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/com.sun.awt=ALL-UNNAMED, -XX:NewSize=60m, -XX:MaxNewSize=60m, -Xms256m, -Xmx3072m, -Xss5m] -Java initial memory (-Xms): 256 MB -Java maximum memory (-Xmx): 3 GB - - -User name: Felix -User home directory: C:/Users/Felix -User working directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim -User country: DE -User language: de -User locale: de_DE - -RDI_BASEROOT: C:/Xilinx/Vivado -HDI_APPROOT: C:/Xilinx/Vivado/2021.2 -RDI_DATADIR: C:/Xilinx/Vivado/2021.2/data -RDI_BINDIR: C:/Xilinx/Vivado/2021.2/bin - -Vivado preferences file: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/vivado.xml -Vivado preferences directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/ -Vivado layouts directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/data/layouts -PlanAhead jar file: C:/Xilinx/Vivado/2021.2/lib/classes/planAhead.jar -Vivado log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log -Vivado journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.jou -Engine tmp dir: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/.Xil/Vivado-17732-DESKTOP-PAACOM8 - -Xilinx Environment Variables ----------------------------- -TWINCATSDK: C:\TwinCAT\3.1\SDK\ -XILINX: C:/Xilinx/Vivado/2021.2/ids_lite/ISE -XILINX_DSP: C:/Xilinx/Vivado/2021.2/ids_lite/ISE -XILINX_HLS: C:/Xilinx/Vitis_HLS/2021.2 -XILINX_PLANAHEAD: C:/Xilinx/Vivado/2021.2 -XILINX_VIVADO: C:/Xilinx/Vivado/2021.2 -XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2021.2 - - -GUI allocated memory: 342 MB -GUI max memory: 3,072 MB -Engine allocated memory: 1,325 MB - -Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. - -*/ - -// TclEventType: START_GUI -// Tcl Message: start_gui -// TclEventType: PROJECT_OPEN_DIALOG -// Opening Vivado Project: C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Git_Projekte\FPGA_Projekt_Regler\StreckenSim_mitRegler\StreckeSim_counter_working\StreckeSim\Coraz7_Test.xpr. Version: Vivado v2021.2 -// TclEventType: DEBUG_PROBE_SET_CHANGE -// TclEventType: FLOW_ADDED -// Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr -// Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim' -// HMemoryUtils.trashcanNow. Engine heap size: 1,325 MB. GUI used memory: 56 MB. Current time: 5/13/22, 2:02:57 PM CEST -// TclEventType: MSGMGR_MOVEMSG -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_DASHBOARD_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_NEW -// Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr -// Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim' -// Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1'. INFO: [BD 41-2613] The output directory c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1/bd/design_1 for design_1 cannot be found. -// Tcl Message: Scanning sources... Finished scanning sources -// TclEventType: PROJECT_NEW -// [GUI Memory]: 77 MB (+78665kb) [00:00:15] -// [Engine Memory]: 1,325 MB (+1237477kb) [00:00:15] -// WARNING: HEventQueue.dispatchEvent() is taking 3112 ms. -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. -// [GUI Memory]: 102 MB (+21713kb) [00:00:18] -// Project name: Coraz7_Test; location: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim; part: xc7z010clg400-1 -// Tcl Message: open_project: Time (s): cpu = 00:00:27 ; elapsed = 00:00:11 . Memory (MB): peak = 1591.184 ; gain = 0.000 -dismissDialog("Open Project"); // bA -// Tcl Message: update_compile_order -fileset sources_1 -// PAPropertyPanels.initPanels (pwm_test.vhd) elapsed time: 0.2s -// Elapsed time: 11 seconds -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false, false, false, false, false, true); // D - Double Click -// WARNING: HEventQueue.dispatchEvent() is taking 1801 ms. -// [GUI Memory]: 134 MB (+27930kb) [00:00:32] -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false, false, false, false, false, true); // D - Double Click -// WARNING: HEventQueue.dispatchEvent() is taking 1441 ms. -// Elapsed time: 10 seconds -selectCodeEditor("pt1.vhd", 88, 451); // be -selectCodeEditor("pt1.vhd", 11, 348, false, false, false, true, false); // be - Popup Trigger -selectMenuItem(RDIResourceCommand.RDICommands_COPY, "Copy"); // ao -// Elapsed time: 24 seconds -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false, false, false, false, false, true); // D - Double Click -// WARNING: HEventQueue.dispatchEvent() is taking 1130 ms. -dismissDialog("Opening Editor"); // bA -selectCodeEditor("wendeTangente.vhd", 126, 259); // be -typeControlKey((HResource) null, "wendeTangente.vhd", 'c'); // be -// Elapsed time: 82 seconds -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 7); // D -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 8); // D -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 10, true); // D - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd), uut_regler : regler(Behavioral) (pwm_test.vhd)]", 11, false, false, false, false, false, true); // D - Double Click -// WARNING: HEventQueue.dispatchEvent() is taking 1115 ms. -// [GUI Memory]: 145 MB (+4836kb) [00:02:44] -dismissDialog("Opening Editor"); // bA -selectCodeEditor("pwm_test_db.vhd", 149, 169); // be -typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be -// Elapsed time: 45 seconds -selectCodeEditor("pwm_test_db.vhd", 251, 110); // be -typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be -selectCodeEditor("pwm_test_db.vhd", 83, 268); // be -typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be -// Elapsed time: 50 seconds -selectCodeEditor("pwm_test_db.vhd", 217, 345); // be -// Elapsed time: 732 seconds -selectCodeEditor("pwm_test_db.vhd", 229, 347); // be -// HMemoryUtils.trashcanNow. Engine heap size: 1,325 MB. GUI used memory: 81 MB. Current time: 5/13/22, 2:32:57 PM CEST diff --git a/StreckenSim_mitRegler/fixedPointTest/Doku/Fixed point package user’s guide.url b/StreckenSim_mitRegler/fixedPointTest/Doku/Fixed point package user’s guide.url new file mode 100644 index 0000000..2f6c9ba --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/Doku/Fixed point package user’s guide.url @@ -0,0 +1,2 @@ +[InternetShortcut] +URL=https://freemodelfoundry.com/fphdl/Fixed_ug.pdf diff --git a/StreckenSim_mitRegler/fixedPointTest/Doku/Fixed-point_types_in_Vivado_Installationsanleitung.pdf b/StreckenSim_mitRegler/fixedPointTest/Doku/Fixed-point_types_in_Vivado_Installationsanleitung.pdf new file mode 100644 index 0000000000000000000000000000000000000000..6f52643370572524253a1583a24a20a450eaf81c GIT binary patch literal 1616874 zcmd3NWmud^({4f_Sbzi#?(PJ4cXxLi++BjZyNBTJuEE{i9R_!oGn;+i-Tn6aaekh| z^;|qNt<}}l)py_3Lo6#OOifSo4TiX9_j32B;OfUjPag~;9vz;wz8MTBCmyY&k(G&q zDIOCjNgj_@7+~RGWCwa%=s6e(8W~s{8sTws!`M668R=QVxV#@#*RbAZM)I7m&@Ho| z?l(_$789#*2c8r`e7epC3Vg8$^SZA;Ij!d@daDV_4U89Js??{I1rIg~!-+K+OGl4< z4)p9_qa$yc%TRdea^jj7cPw@6?p;vJ)C zlvkcbMV{(zmg8Og(#K6#wRD#8x#3JqgJr#Xit#y*M!ldnY6gym3~lFD^SoOm#p#pM zv((C<#*&s%$AwkqXYXd%2A7px?$!|J=Y!&=CBT zVI$ZG`ByNb&_xZva~})0A9YV0e6th2KOlLIS`Qm*hfDk!*Pn&3hOjLG(*wN~ZwuD3 zK@s4O5M@ejwP}U)i3?o{SA~ViHl;AuvY=5ec=P)SYjI_9z*vo((m6rGVM_gpEf$wA z!eVZ9>Ye90{p?-8aW*sVuZxMe?_w@5$=vT=FW@^7oVn4pHz`^xFz~P;EqK13PKbU! z7$AB6EJC0zjaJRadnIg9(4_c-h1pJWpZFfx_#3xy8$wOB-!o*4NGx6qY0n{L=hx0+ z{JzaDN@J9A&b;p4n?zyDtIC>6hb}vaP2=7}k3F`8_790R<)6Ve@CS)WI2JFRyaEfH z(^^I^0mAh$ya|4Gs3nkj_>?i0;AGzHosC+v?p3iLn!$_E8oo1Jins_Jviju(GnUhU zTdY+f%bz=qQ8{@;px|1&TAD3I2;F?HSJL6&&&_MIHt#ZSD~n5Lvi%ZgCI=nch*$Ov z&kySfc99sBQ$roBEP2fj-{hW;owU*M#AOWGn^CEOlxS-2sXrdZwV=Ho$=B&{y6f}J zcH@$Fi2h}gbEy(k*BE^P(>y;$eg>=%F$=c9=EJvc(Or@D3vQ1eOS#3g)PjUjqC&fQ z5ggX9w^c8qq-K;cB1=_^%9o&JB9R~G(FiT z(#1x7Q)g$m$IlRje$T)a8V;r;5_TL%+SWTl!RazA0x)On&fY6wUrzb9lC z#vRW0mQv#y@5j_BQa?tZ>oF(Dc<;=8yd<}sL6IGl=k_%A=H-Unx-VSZ9C^m+B1vmA zT`{Z?*!ccAOZvz+{T|;;ZfL-Aa6Z>b;z39E;1MU|Ge=hpzuV3*(lQxav9C#Pj~vU) z44P7{R=vC0x?u~No%E2Hib+e6V`@WT;)H=%p>~&?*rao{)GtoMP3+ey_>{RpT;I;M zZ8Q0d$udT_Ln(#^b-$Q`d8^%GICWR<*>StrId=P{!f_7Eg|)4X=m`vLmhW@lmR6g! zwZhnqJizd);&k0I`3gPUpEuLh6iKQD3I-3!p_DlHxYEP)$tL-yhM=1Gc8c?)&K~}-W zNZE&Z$SL$D=S8<36`>P4D+!wI(M61z0hPserh5-}^C{f$i#!wc@ghjkpqqaHwtC3I z=0hS(Lo&<17`dpHxm0jL6eu=*hN#PKzaYGHu3D3{Bpj*{28+gWNcfDbWhO7ri`$_* z3ioL9qy&~|W!<3~e0~9qvH#J^AuQb=1vh9A(I3_k7oXlfa>OIwa!1!YJlu@YFZWFI zc*LciPZ-)N)%@|%dSET`%KKCO3EWsqL(kLBghSN;I)rJPAcL%3bHoJMJKloZFqoD_ zbY-d9bAIW1>WrsLg~j-`^6hPexeYb7nUoWg+fpK{PIXfDcPOOoGda1R;-};8wZLXR zG=Gm*%x$Gr;1cd3;3Lk@Pqc5#kJ1*VDj~Vuj|%h2T{JB85?*g%Y8c;Np6juBg!d#A zgI{%tN%SLnkUG&{Pk>h?@mCQOezt zA=1%ia+f;1A^b*CKLr8K?}^1*LJ;#-A>zqSNW_ty_Q`EGm94{+D-sVJ^&a_=vPkvR zq5^i~k>+fDrrFXzJa-H6j6Uhbq@hZq>C5Te@l&NPaouq`cyUNQl#_a8S03e?YY@Cb zblbDvLH+_Fz>sB(GG7FJm;?+a*y#?%JF;^Dfs;d}_P0V|_(q2W%WE`6M`2}m{C1mJ z;U}SGIxthGu|G@CYcnxr-q&K2v}4dN+^M=c6P`5z!e(bdKXk5*RC_)}dpzbp69lZS96_sR61JtX5*Edx_nk(x zB1UeDc`*7{;{)S8_cP6}YP|fDMmOG>PoMTP-7lR@;P1TGk(_b4Tf#no^S=A{)BXX> z>I)(k-aD{=Kj&PZZJ>wy-~X?S|6TO|s}xyca7{uYZF*ezC{gINGL4-e-cUSPS~5n> z<6qRl8#wr{CQ>~(lOx0pHcL^BowSrcMGdRm75U&ZHK8phj>)Bn!vdLFDKCK6 zFG(tAKb!^_E|4^$>?;5*Q;RfxyHE9`guDyozp(Cpls5>QB4gnu`2G&+e>|Nm!ktX~ z7Tc(=c(x_fck z=n7;!-MuvU=m?o=hieTD=fjS$<1VI#3dw8<`Y%gd2}IfExjh`h`W7bz?&GoLYu+bs zV}!4BglbGMoGO{FZ2rM&RbonYSK*UM%D`qoBPB}DflgJ8PS*{jUUQa;Cv`xw`VCFqk^EPxp zcir}jM8C4I%bI+tGOxXqBi z?0mMGFC%BES+enH&9&38c8#}5HJgB_vQE|n?@)9jcEy+#986z^fi_r>pPv~;tFv{C zp3UZbODlDVrdd4cIzMRMfl>zPzUllh&kb=nLMVJWvLQ|gxR0`&(SDRz8AQY;q;D+M z(!f}#-hXDvMoyMtas}7`MF6^_d6w{U;k}9KkLODlZpf-RlV(~7S*p-N?mwOV!9I>Q z9uJvN$na~Q_4Hv(`5VlwIYyCJHpKFK+#E(M75YRhm?LM8;kx7cLlBEOjbqbBXrEu~ z?#Pl3-0sO{hNtc;T8H-1YZWQ_jg!QyF>BX2;bWRI9%xul- zcr5u4S>-pQjMLf65|7(#AD7~gnrX9|a$N$>_sMT_vDEKm*F3idi_AZoD6GTBn6JIxF0^o#ob{Y`@xoW<~n8Zw9bVql!(=2u{nCJ8GQyK5ne)m<%f*Q+7t+!=O;ih-9n%;A3qR!c0VI6kKk~E3_DHPR>nsLl%=!{@nq?w& z+-e;j_Htx=!Te)AVM*m&cy3MG6wl#tkLJ_Aul<^-T^# zGUM(keL(6m&=$GBBEmEoj#z&nQB(yGYg;XUdH=tr9qYX^SlqALm-`QyVzED+@Om#~vDAq;3hZ|vdJ-0F`5?~%AQm&}ho zjf;vI%6Ta6B|blsV&!=*+NTN`jQ1!0#&9^Pbkdw#^Cs`QlPJx0-y^pFJQcsA3caX= zP_BDiY>&_eD{A~v;@gL`ydXueBc@FoH#p4s?+OMz;+_qxAvGoLQHgLI*XYxwUJ-9M zTKtH~dsHp1kO)?H3fmpVfD!qE(mfz+tg10F9dJPzyII~nh^F~C2G7-L+Yg*%6L2@S zbr)F29--DDYfD#PoYNN7#65{PejbietVN!sH$2wVFUB87tVbUE`3s?F+e2)dJalRM zot7jG5)N-O6A57RbLhJ25g#&(%1>t-;=B{03IL`Y{x4A{r?{m2u>4UKxs0ll)RGLp z@fNDx#7a;j+{4q`RVCKB zCATmzI=r8xQEps^D4n=Hvi8u)ox(<@cs$iTr@#V$!xb7ZjxyM%bLB!uncMm03vXS7 z1@eT29V;y8Y<~aR!+4v(RiU4eg07wl9VuUC7+N@mYly#@9UW~Jf6r=>390!}1p2yiL1inJ3Yl6K^rYn?8>gKT> zeoK;6uib8P?#m1qiuYUEBgN_cxEmPKwngNgNHIC9<}StM5|BSafSCMIiIQrWr}S#v z@iqCHMDy}K)M<5T6xDidxfmxXp)(f-t{f{LHDb;~*CJOWb51~|6)wT0pI%uP!;H)7 zq$%LCjjc}o)DzLt?yPnMMiN@aoVvWXi2f~i`vq1VnOk>_Ey;Ayef_&$*`b|T{Vcu2 zqUrrXW>F%hy3PkY@}C$X~TAaFEn7d68%B!RQtxyt-aZ#jya-BC!!s&fO| z+4sZN)opq5qGwM$;iE95gNDO!BOR@|QliGXm;`;6Q{Ov5%!bEo-!z2B zp3&ML{t;0jEIFhhkR{cQRf=883#U_j<6u$?falPnFrv938o=B!@w~j`csN(4QL$FR zRlZD8s*EkkHnL)V_<+DBJhyg9OsAw|8T4x`4~Z?^O}(LwWgO?)AV|!u!K$v1IH6My z@$DcSW&6p_Y-ZhMwtH;W+;G_~9hW1M3GJ~TO>~1E6Gu%WwE-{!STlcpuqPeB8U5rR zndAb|A9*(EtI+Whf;MTK--nH9dsv(3eN63oW;h?<@38%zMe9lv8@uI2t^;+@Aoh8? z-kk(lbR)H{(DmjZ+4bI1R)4Q6n=AQiY009+Wg-VNzCKWO7c8va+_XG_@+?B(6SlSI zl$If-qKH`OsJ7$r%I3tj?9{xMEh0;K&sp=xpzJ>!k-_>>k;5n*Gesnv5Y@bZ*V|*(p*ad$ar9+2YwsOD%6Zkt`ltyWT}G zz$8@N2Y4HUcn2Mc%Tl_pEud}lvu7t;yZ;sGbg^HA^NNslM$1UZ_=mB!U*HcIC4b&svXD^m;s z2>}l=u(%oXRSm}_aN^kS-bEIS2%n#N_s?|LeQH-X)CyL%0EQI{L9|WfHD&NYS3xTz z8o)KNaxXo*6EnL*hc?4BAT~}B?}zLoN7hHG6?(kcCDKts8t-v_<3f87$VlHN!WjwV z(@*W8$Z%4}hc0bBDGCVrlH&JNLUXuQy}8jlFQv_8p&l30xmOz(QzKFFR&``HOGKKG z&6I!4bc2Q}y78stEc$IEF^_1(9U-=)yNKRnOvyRBXKQv_2jI`xgi&WU7hUeIRI@p! zk-dh$NvQtTSv0A?yo&dx8e$-Om?5!LTRQjFccw>F>3~<;)eISlUo^KiSSwkpVsY7( zy(*TCpsdAE?@bE7-#^F^ejwyyA;?k_rl30#>#FdCfIjVg{x!ltnFjZk4Y5VUSck*C z-g~_L1Idf$Y`g>|n??%f!GhzE!I>t%7M_nQqI%Qt(8Bk?02vNbfuZX*>tK(hEDi)L zE>Wvv-lnP-NH`S@@29%nVf;3e)=zPbK_Oh*L@IR+!%69|k{rYjLQ&XDo&|i0yuLeo zDO%Lt59{B*z0d=MI_%uuw7F8jL)cJK%DTOyQ661!$nJH~ z6e}dlxR<5cD*$=Fm`-K-SPk|xv3GBC2FKw6CB{^$Onk0bWoR!!E8=NiLpB|a@vehs z8^n0r{Lc$MW#bQpW76LhH;Ddhb7H7@o}U=3K`r}PyB&C!BjLBFa~>RbtT9^ZdNZ6= zj7HU1zLE}!b{hw7m3PSpMrqApx6M#y|7qxk2%0Jbw)M(3JSyvMgIUTH@g_g*wboQA zrh>0rfH$=a*Pa!84;k8|p6wQG;-jS6K7z&Zgh>orS%;Q9*cGwY=Ae1vh;mAE;rzlP zZEG*LUKfzCrEK=@DiXB=VbmFV)b`V;r@7HwjlDG&DgCG{Nm$vOub2Qy79{}U_Ix`M zXUa0_3y&a~tJjkzOB0a9=izkI_1dh9oNSt&D2Zy)P|)c%MExhT@yg|LJJ?{eTK9}o zS{KZ76s8I*0NdwZ^uTQ5JFyK&mB^TDb0)Vmt~)1h)aEHBy2dF7-X8;F3C?ITiV(;5H8pM}EHu8?c;d#Ct% zIU2DsDGC){+kHGNmNSimJ(f|PF0TFcr4MRmb}vPIaeY!=uRgb5-LUphsPUm&?cWnN z6r3{Bu%X?j_|QaX;FP(}%aF0Pn!yN_EGu6K{pgty&0;X0^PF6+M80XSRdhd^wmvtTFxE^}&z9_$iODGpjF^|}WxuG)3V5;Is(+@? zpOdMtb9se@n0dLX)(R}p8K|9nNhx&nvY5#QyfjN9aY(Hl5&w{iQA|Ex;;Ak(=W(bP zav6JmJs~%JaqRdmIM|)mKd3wmn0!i22(Cwp^+Ym-p(|^n;^GEx77`DqreZl^bKD$D z8^wIX;nepdR=GUAX>NuZmeqvzXFcObjz2QqK<8d|O zW5pF{Jx$N)K5V+$jJ@>nefwllNq#smr}pw5uDcr&0pUKUI~ri}#)g)R4t0V;kW5!v z^88HbCbP}~Avli1WwYXo&Carh#pVp?Rma}`q3P+ldLnYF-TvkgSp7UQI_`-??5e5J)Isz79Z}qQc9QrLt7HhKNQBBXO zDQa~|_#KwC3lZO36KGlwOZh;s$GWt_ejHHBOmK4z@5!(dCS-wyv#1Gqz?W z2#0R$Q%haBm;4WDsMHFIY4p-Y6hiq1+pgx-6jQfifihA|d(;M9v->n_B_@XnLdv>P zrWXluONyuMX_=?=xV8A?BE`iiO}j*ODQPszu05gDQd03V#x%=sJ;cHmMmJWM{wd$) zfohF`w3*)|Z2Ow~%bRe%IKcMcd|4E6w$4wr?%1__?Nd~;%-?f0cQzK#pr_{bycl>- zVV)6r!U5Soh-4zE=`_H|D~X9uFF_0m!)!(+C!68916}hJDJo+d3Nn_g+W zy-7-o1(8{`MM>&F1{rWMy)Y-GZM&nTnt7Wa5o#7T))slY5!y!ht*)*Lao&$F!b?xaoCB+ULwEE+4AIgzk(HToVAZm=;g3~Iy8!))dgOn zY{~k-5K1*%9~t@0q|Ei6dzh5{Y;Sisu5VmXIg7{p%F$q45@X`@7^%VX1tuV*1{I*w zQS5A8b8D`mUX!ZLgp4z?{GIF~jZ`=;zJO-Mb&*_t>-bB((XM!}*cD1aDVxLcG?Ti% zp^Jv&RPDuK4b$CvZJyq2j6S4DR7ZhiTBSq3xpgVj%ldsD+Dqy$g#SS$u77)9&G~pq zOuX+n^{mO$E?D19&D)CX2yv;vE^m!xl*}2xV z?R^}4etyPc{fFE>XWx0zqk^2g#37mPV+_utfJ>@@|kv_w?oVDd}tATCfe)xxd zbT&xwlDJ5?86v7~m$CqLe(SUF6&!KPRa!f`bM{R*JMeE6ou7UFJ;>+7|@w)b#xS{IsKo} zXv1&@nyg8f3Qa*>48^_@e<(%#d(W4w@u-lHO}nPa$;o&r503=$ir9po^4xp+1_ly+ zCIScYlZuKO#muvDWv=MZ-_C`iJ{!FdoRfgwvCif}W75-I|j}xc=JOIqcJL277XJH|ky}dmbSKD-f zOcsap>FH{Vlamt>g#<;ygaYi8s_@?I+t~a zY$*hZG&~}rXh~XHxkafsn%ILDw6DK3N4GxV0JVOi+laZ#`vtgx1o)^48k|4X8I{QFNGq=1r zbYA7E=rO6Cz`l0SpA=af0sQ6E$|XW=2OplFn3xI6|F?y_1Lv0hk$agC5Fg)p5yBtz z6ofIiIr9uuA-(~ABb7Pi5`1V95Y-3ciR>pmvO#2vA@vRK8N^% z7fbju8uN4RCK1I4_ViwWTU-)}k8#gmESM7L>GQo)^F;(F$PXv-*??7V1>4?ZmKLPX-L9Bw)h{|FY5NfA&WdmJrbK9pxq<@LV!_Ne6 z`G-uwhb7^O#yd%iffbD=g*UyPRw$RLI=lv;=&+-vqGicWc%sn5#8s)1jY<*au2GM! zZ>cYnz_~@P4h;{+FQygc)V9@}^{1w*-@FxYrm(MtwCyNHWONtSBq;mgikz`;Aq~*R zr$)hYXdlw1P(W`Dx{V>x#R$psWb}R`ovFLrPSFJ*EvzXK#iI;ML_00T3xM66%lF?- zO_is8g<6zcculpK4>0n4ZTQ6Y_hRluBl^9ViHYcB;t{>wiom!&!l$>A)9b`0rQm=f zuV2um5Zo_pv-@a20qJjsGFqg)vV8^nc=fD>@l`CjKr;nqEuzr;I5HJNvyjZ0e}1>t zUV#JTVyGg$knA%`OOm-xx&9cbtv4de%U8Nu1Bq;N1RRe3ia{+V69et#ls{jgkX*i~ zF}~2~9Ul7Z8y?LBrDy;~^zP;PS@bzpYj$l-8}G9$6hP9_^5klNJSZqAshEzR$h^NS46LH}%gmcuaJdmK@0y&qL)W(FW5DDF+)L7C*10Q#H+qrey)5F}B7n1?wW2v$ z<9SBib79XP0zc&=a~Wgp^g>#vF*WN+!5FN>3J4F1 z>4~UFy*A->FZu12!v>?Z&8|*10X&(dNr^`*RbxQw((~b`G2Zzubo8fBP*6~xKIK;Z z%B2Lxf2z*?ECv~qQkTsCJaRZdRGE;VBsVF(>*nI3CaG2_5IPXG8I#QLUjD>?=achvnjN;69wFoXONGI> zrGk8HhV~)+$x1BT4&zt%)8j;T2vRKR(YZTIKOwL{=m<~xrpm<7Vy%wNW2P3JMYrJC z*tj^s`T8QcYY0fVScd9#pf$cXGJNRhko9f|k2kKHIaT+np!eq&w@a;~=YRl7oZ zH)40GHA~rG(em~Dd#yJ^{h88=cEjC?dbqDV1s9jwQ3_LW5wuo-mG4qLfTjF?IE4x- zy&c~wY_h&id_Q2#@&L3JMx0vfk;L7>$V{>&lDi$N<5;@`ntre}`nrK8*na^<;m6;& zq#zeOKW4zUapPOs{!4`(mm7HTY~!x4<$hBa(aTBk2-0M(l5oCp(%l$A%HvUI3O?tr z$&THFY}30kX8;fw*q;org(-2A7lc-~hm7gC;T7`C*^h)TpLdcbu383MhigP-ad-5BBn5p4JkBSJEfVg+ov+-csUn73HF3iOIt5Dm0*`mp zR9I5wR`(&@fF+aG*KctmD$cbp*}@q*j~d{8%WDgLPHq>i(M_IdHit~B-Y36~Ap5ZOk4>dAKIcZ%#UPXjr**Hmd~>eq->PxnSuA>@!~42X5}108U<+PF)v zCYKEfI+q)#Momscc1Ib^@LTL(pVyKVj{0@#B3C(@j7V}?%o%muZ*NnWkjUc34A6v# z@|U^hR-IM%yL#lk8+FX3 zSCv^xeHo@>0ZwYd7;on*lgQah1==|x5eIXTu9un)&yzLZFfr%%XG*7a4+_cqtyB7S zLARDY;i9Kmc)wp0j;7=V6b~Rj-Cr1BfN2$joJFE?Hh6xjtQC<3K=S67A0&X)86CxV z_96_8HWHUdTA&?p%oq_&GHo-sr`QHwK3}+=X@w^KLgIiIwylH5avQ0eeKI)sL&0+0$~=0LpSM%){H-Z@`g{qmY9UU%7J; zLRLOz1b+RhX)a_9hB9|0KNY~A`k4xuB+tE_b2YjfSeA>Dl%VY}OP#uw7Ms3dWl&t_ zp-))NJa6i-7tr#{Cnf&v(jbt?=fvuag2abKyAC-l4q@a#rsA{ndVPW+X=y5N;8H4k z1(+CE!)wTN?ebUacGfPS*Hd#kN!uPcsfma>a0)ML?DDD`aca~WTj?veZs!EP-;+Z& zV4$qO0*zRZ7IQtrZlZZRS%}NoE_Ap?A;R45k(Tq~^!0R!s4t33S`sm_l@RJ5XAU!= z-^U?w@r`?bR?6x5y;E-TMb{?4q&I@46oPJQ5{{Ju!8Gs7D$Ba@?rz^>8^&BUNdbW8 zi1#!Eg%Ic}wmnkfVf$K^QA1|;1zK~vFs6k}E;{*Zm6N1~^k|^E8wnro-Ps{6Te4C-> zWByMmHzbyetuK*CXs2r}&QHasH!b7olGd-vVbi%sMEmCp;kEG#!8TT{1HA{VYGy5i z=6yy+Ffb-=J)}MAsqNL5Frs;pEKGKryvE1ZeI=;J$FX7><;J1J)?*d4BtA^EsfLC` zIGHTpyC3w5<$c%E3(TD4R1r}R z=?@#&d7imP7|8l|i{Nh#-V|0<$$XB|-GBl|X7otu2CezGrjxnbor~KaXUy)O2>WgH zG>Z7Bgr2 zrG0L7Avo7ACsEuCsV@qn>gE~NInI96Yh%W2O5EsiWKn^XvSj2kG-Q!S$#&*`(B8PP z0dOl&_NW!1Nr+fQD(d)1lTGu+{yr5`;xYc37P`-lQowfL*-TH5PXiXkzP1Aqu8>A4 zS{@IDkCHzvIe7x7ZpQ@M5+L}5nn39T_*4@S0VhNwi6)sMYbz3oMJ@m~tqTO51FMg8 zVzQ7KM`4Xs`Uy}pOEZ-ye9jsQV%jqlGrG17uV_TUc)0t*0V&0f)O^Xvw4Ytmv=0|5 znG39Hs?6dNgeN@Uqzm-IJ|N1M-895{uTWsCQ<>MWtLd_7?evXga)?;=Ye=a`OjA5;02by-V^?6+ zSnzk>I-mG~{0PaiT}Hm85=r69Y~W>Xr?B?@=B%kHh22NQa z?;K34ViTp~Ec;n*8Y*{FlGZ->;5;p1$S5 z`e6}QwAWG@jYYSGBQTg=9nS{>rV7)$u=%|cEgDsnVy@2ROwyY#C`U-nkyWo-Wo%< z`%W2A7_Cy`sL3mna+v4ywQ+r^)IrYI1&db*8!;P`G`MQ)SvfKxNt$C0NOFr3M9!_a5ZP^Ty^OBp$ zgs+nFd`eU2C1uow5PD2Iy!vpylbNuV`c>u!CEWHKqL;6m1~slJkJe?WvO!B)dQ}E4 zn)((KRdq<}4W^TgC*MgUvui6EcV)0EhOaOw2tQV1pG8kjJ7%TEyoQIJFV!j5IffZ) zeI&=4(|%d5pcWZh{7Nax-J~2)T##oSlSsmvm%UJJlx7fhToe0~WgL69diRYc<)dvz zgj<84rQ2LC@2Ef}oz|gr3+YOZ;|IzR#*k6Yj*;VM@bW<#1 zG6oW^%(!6{<1QTm0Ru^*%(ASw&eIiQKu8t695P1lZXf9slo3gCJ;P5fA9A|D)+t4~ z+Birqcl(s&NqPo>*`S>mO_~sWadE<2S9;C-bF>~JJ3#ZEm0)eWavV?sW$cS_~>Q9LaZD;MP{lFUy_?MHQ1_A{Fu+n z@G9>gTeR@`5s;J`jnRdwZt-Fw->x_qdwW`34fgGwfk843X)NVYv1&2>q~v^0M5LY! zFz%Lf>q$rrS7bJJ=18a0JaaiYO&Ajn)pEwR z(waQ@I85Rb7jg|V6(S|3P;$PdK2ZSwg}V>Dy|pi?q}2}z6pOQ`2XI``|*er4ZRkdDNjr{P2h$@ZS!WTqo+%6IrI^Y z#6Ur``!%Lfv>dk!);>M}`6DtVzY{jj=lgQ#2?YNhG`34caPXpms3r!x=!C9BrQ<6J zqTG7fdSZJTbbk60c`)zX72gg0;5;Tn?)wW{y|tvM6Rg=y6%2pLIo0e!-Qn#nvNusR zf=av%+!z>hf;Q#rp`d}%s3v7b`7dulPytO<=n0rK!`lLqUYsl&FGYmz`9)JpfpsL7 zEhL{wP|HxC|K@XLE&sHwDDe%m<*$mLK+%8}QrSAhdnB97EhGajDfhbKUqvq^hld}$ z43A&@HH)1QwSDPEPB(FDgFh5xE@@J~eRZ0%yD4Wh`^#Y8fyw`NdLN*}&p`JX{vhBx zXnY2HFwl^8Pk?)Sr)b@1l{NgY_Fsof=x7r$fxCRuEnEhs$xfSFv%7g+j(>Bl_jkYL z`z*TQ{dW7~BS`QBB3U>hy4hqkC?7T0Z2k{Zl#5-5h+1=f)=e?95X^^v-L98){0|G= zct}S`3W^(42>x&r-KdyRMgH(N-p7+w`!9c7P4@*CG=3e@chJuj>tGB? zWlBm?ow=)=#SMnd>rj6i#h&3C^YpS_NDxsS#t6H|? zJM=e>0kQ2&U<{OWMCU37k-nhzxwCjhEj|*R?;8lh|7{%SZC}2Fzalzwl9G}h)o3|6 z-6j=cUV{5r`@JJNZ?MIkg!#Mw`yuf5YVfh)VF@v@2N=td@o^bx=~oztkY0U?U~VHL zVq)T^=4Lm~`W#6_L`1{I*dUmnPAG5>{9er>4h8M9djqK8#r`0rCg=*1{0|ZChY*t` zb2c|Ob>1^9>UDQ@g;Gq@Dw2uyPE1Vv^o{A?4)FJn)?2!HcgvwhM3ve)%!x1_^BV+5tC295LJeAa?ZwqWW8ynEkyY{?xAQ83QuIY z`iVaCgL#t(5JCFL;DKxjdLkP9Z&Tv^BV5w)Aq&rEuq!P!Rxn7E=s7w2tMbNpE3N43 zDAIRunkUqP{5%tVc7erk82OzQ%Q-5Ril@Sp)GtR4=JYNb0-KCR}w7vc0 zd>3{}X3Odx*n1f_M14K|kzb^b$asGzG0k-nDgksu(=w;g)*8EYeaSsYNHEMluViC9 zrnA=5!3H$}IT0j`2x=yqPe>q>?OH|GD6>^V%U*#jKL8it{el zYJzi37Iyz>a_zH+?$?TyEp9%rj_S+UG%v%r+wS|G8M~__p~6ydX(=)0n%e_T<&y3L z>?m#a+&q#bGpJWXXvr|P<)tO{M4P?6z4rEY6vbZ&v7KN(-}kuP-Q1d+njRh=CK0uD zOuDL%Q9G}6NOkuyrlbJ#GqXtyHI!9L%PKLQi<|8uXv;iwt#%cC6dn=;oN%NiB^mUd zI-AHS5iT#8K8qYjp?$)f|FCN-C`EBF`)&W4c^!NscD3!T_L}iJ+P2L4J^3VC^>2)h zKzX5L&~O!$Qx=;{tWyz^9V+|pxpM2C3Qx|8Yu$UxmksgCMfpH;(2&J?o#ygm|GrHt zFKX+w9Yw3=2#Kh&DZ+#$Z`GUpn8D*jbr&%iya>eqSy)h!n@>$L>~CzGAI?(B|3ayn zRh9*tUcJ7%YpAMnC3T#en=9?_?F}fFi&^$(U8nZB4!6iK&)>IJbGx@!Ic*m>Yji6) zZ2=gb9einiY21XB7=M07z>G)`2TDk66uui)iwk7g5!E{BBiy&Hg|3j@S1_~WK{fR- zevdNYx*21q{#131i_l^F=F?O++Fv12J?+ou<+WDpnbzQJ>LQp|k3T*h&RXkH;O0@0T` zQks4gWjUza@;c#{o_^^ZTh<6}Tmk@o{?T|&G$_vie#U(hZ!z&!`YJL`LE}@TMS3F% zgl;W>x%w;($z*cCcoI~xHhn)5g(~Zk-7KcNB%Vw}7ZG4gDViDnX^)1IH<|5ka~dH1!&PT~a|TGJ{sPq^?0cz&(n0EvLj zARz~76iq^^>tv@L=oc62I6T~;n3yQoB9Ku@=Tj>IIF~IH$IW*$iQ_W5-Q@fhSUM!W zJPKfOyDZeyqg`DD6cnM#eGE)Y#7YvgB+!yqPGNH$ir!6#$>*`7Nu_NJqY{Yspa5mH z!ez7%D|dT*KhQ$2XWE2>pon!$D#G>g+Er5iAzh-36zr`9+*GjNcl41f-Z}1g)#l!( z&OZ8r<7^NE?I1qTL$m!Z(JR)d;S^SUBfT(XHPzni*>06vTp6<4(_R#;#4Pl;%@vkR?It9{}j}`^h{?|pj4=6j<56fo}-lfY{JDhMg?;V=? zvgl(owWMD$AU|=fuP=)G#5u3#@otA5Kyna7A zz~lZF_Z%LqC&gwdQYK9vCo4pB4%KTU)NiJ#lSAj$OYSzCoJLI7YVYw9=-6_5B%E8# zGw#t);HEKWIkDnS#K^6aewuyxG$0~PlN4#C(crb3bLS;5s|IN-#qO|QcJVTOG+)MH z^JFWWEi4mx!{z936nJxlkm;_;>c-B~HE*{LBKDYAxGK*LW5X0aSsie=HD@feKV$~* zK!B_AOwP=#cx{YKbFdc|W8(SbMPK{{bYNAd! zA97cg6lK9U8iQ~;%CKDMq0bf@H5{OE!fL-( z7a3=iQydwQYaIcZ(4uPvx+=UZ53By3+--DP{^*z$4*SV@uo{HV2U1*4n^STjW9na7 zfmQq#AT3!X@HhHDOjLAfICoI{XK2fbiN@D^cvd?j4J@77Wf>FS@l( z+hx?R8cQ+hb-RJ1hP6XV+KFTnx03wFyT#lcU$smke}Fi5n@r9)+fl{GfUDsj=Mcm; z!qH~^S!_&REH)8wN*kkt#JRj8G@Sp3t*;D=vst!H1|1*}+}#;8IKd&fyGsb}?lM>i zuEAXc!QDML1b26Lw>#PU?r-Oud-=!1L%-c!tEyI2b#)UG-xa9p%00&5?Q_p-S?EGq zoJbUg2t3kK(Rf-Y3&l!^##SF;xL5Bt;m{g~M7&m9ipKVNZW~C3t6VR6 zBJenmcn$^A>LoXM(t`RTjGaN#%0fULC)^Ww<#f5t+1L$d&(dbAyg7yP0 z*%CW%FT1tqb8&gSr7G{kMS~cMN%i~0I&$i??Cp40r^tz{PIS&A zK9`#w$$RiJ7O|Ivf6A#TZ<%eq@!_o=ueZlcprXShO4tR(ee#k>3ZhH;OrwKCl#Xv> zU)fa?yQW zLck+ToMmlmrgvur_wBvNbY^Q?BHI?n4iK4n49*`?S&LcaUzhLQDH~EJ`vI{DzZ~Z% zbF>`ymug4yX7#I5f;I8j7*np8N+)Crj|BJVDgnxrVF&tE_X~@QAGx_PYXNc41u!{b zD;P7x>*kL;rLNh|G(#ub(zj<0dS>y%(4?>C0L1#edi=%+3Okf-zM)#1fdw>FhAd6s zaEMs~-?5mrr_m;;S!Lo9hO&Z7(P)pDU{oHCxOr%huiA^iT=jb7mNItA7)skN<(j45 z`(uOih}>q~7l|IY0_1{{5$$@*%Rb`L6wV*FBCVTDfTL?prL`${kVDx8><}(M2Jk!#7I^;c)8iymY$le8CGh&g z<8oO?snNU55tKZ-h zW5hAJXR+IK_&*1s0jutM~+qx0mNBE;?>;%uP&gOgp^0npHQ$3@Ej1Mhi;jWIqvM z;kjmoAhaYg&A1(g0)Gf%E+9BDoa=3S@g?Pt>hIAP_uiY&GIJT|yLMK>*VBL>eDO*(Ww(K;WnwQq}7dr;o|gyy%3PgtACB`S1DeR2Jn zW_A}C6_KAS{XSX2)|x;)SF&W>`y?C(UHRw^8&}Q& zh9&uS*|?IAutV~??H(hM*OQOoD#&B;d3_C~nXEsCqSqg7+9U>IwhG5})(i`l{GT^R z>4~dl>A<&RNp}4mLDr=d9}R@{DVvk#7^HHqtc-QRcRhgXV~?BU^Ri1S+A*C99m`wf zT0>u}COK^!#N7yd@A(hmvAdM+CD*DI()2hCXrHr7a{L=RV06ym{s~-J|FD-y|FIM} zq<%a1)5bWf6e0#{=hq;c1_o)35 zt_|ey`$v92dz`68wh~A!7o`wFu3~Ej26Be4RI1J&za8O!Mj z5M4A!L^BH z>6c8cnjGGRr-`Ga7!=BLPJY!)$drHY#KRTU?WZR#BfB7LK#uKg&{P z&EB%D^Rfq}x(o~EKE;#d6Zx*((lI|R99ZLWS@Hes2tqo?{s#%w@DL;@v&2+>W1ZD2 zsBCuR)G^xKjs5xyWo*&ucr7TAt%2od3vCl5PNAq|n)sko{HS)?jNJ|TB=7z695cQn z{*~1cB_&NdmoeWc)?DL*qZ3YR>uV2`{K!)O2i3Zg5NMNk%}1sdQWx0E*cx?YY}(dZ zYAJP$WarX_kTaFEoOB`6jX#eVINqnsbmcGv%sYg=9hThS_rCF`)-|FI!oHg5DK=w z{5l{Jv)c9t59N~YBjIB0UGlzS8o6t7E%YTTv{)jt9C6=PrAf71lHdF&eb{NWM{w*! zU}If2Et>sAwkmo;yo!wm3)HtE7Z2Byh%MwI+FO`w1bmXb6W1aY%(=C#cS8t=l&^YMN;SpPOOHYqh;pD}l3?7i0|?ZHvd2-d}PEqV4HouSN&myt#+&Vn|HgZ*@dZ8eb#XImvW zj-h)|M9+V@!rD?39`;pL9!S54-Js!IV!=(%u~<>T{NXwlWb#CL$UGmiuZIn4JyRjr zx4ymVMRb%7GcAvJ-jLEXC}pO4@u`M3GlP4cftBH5cAm}`ibEN1>!?APxyv`*i}&%f zyjcdhs-G}1f?qd}mRr5M$q;r9zAwbv!9nFKc8d)SMd8s3*vIUkf6Nq)V7jSo%jN$( zwWT>kyxd-^XGtxf{`uCey^9M*RW-r8uxvum%--aT%K2Siga69QI*Y9C2V!Z8dXy7h zpZkrn_Cney!V-5u{T@athJjnQCKlJDhV$a$uzJF)2;)FUIftWf5ssLczfLFG9IksF zF3Y_rV&em}Iq^I(>B%z_2L+lP2WUP8&8OD$vNtsvEy75wDV>r7{y9QRC;cbYHzL5t z`jL%}pPmk!{&SZYPkgMbhMjq2)A2l#CKnl!+Gz>gN@zZH`aVmx_AFDn~ z#b`ghTNzz$)*q=-Q?kb)$$yC;BNdRol#=+;X{3SssUi_Vb5b=uonlt!&BJFl)sfAA z41BWg`S41O5?DZB_6(|edXPvjpQ`kE$m8=jPcdwapmSBG;DGyZF`;9$@S3Q?JfJrd zGgv?t;+vcw^6A`ueO=L?0tw6*H(Bq=BA{=z{eJBTH{*MTvuGj*)~cj(095zJ#6!1XQrr39r2DF-H#O&8?scK3Ux)eC$FE(g%KK7LG9DK9Aj(N2Dj8 zJOw}cYKWC9m+TM##^`Im5DLp1WlpmOpLMZEAjw&pcX$7Y2{L&)iL7D@JD9LPL7k?9 zF;c+K{t1mtDllj+G-sR+1lCLkVZ`<`(7A^_3TOhbOBbmhL6l&DMhpsQ@E9p1S4I2#h$iS!j)wf$`){s2)OWi4x)?Y;*{SZi5z|{Yl4P*x# z(B}P<=RQS@^}YOa`2Suz9QLo=aU#jtHKm=XsOF)uswzGtZhU;JYGJWnh$)Mfge6uW zuj!ygO2@^ORM3Z&SewAjqzX{}lhF5XOZ$2<-Z$&`;?PTG`o0MIt;CvChp@_j)3tBF z!T^0u!0ygYYy*h?3GszeRx0!oB(e7N*BU1lFNxfSoTwz2h9L=MAwUWS>ZCGXVE$XrYt*QgHK%gx)!K1OCs}4@U3@ zqR*t@A-{k>{R7kAJ9Z`38XCA32JrqVI{qmGX;Uiv5}~KC!?m*mLJMXsputBBsVuD* z*K|AA)v2qEejT_oR!J&2hBTSPyyV}evkj48{*M9hAp@|Ig20${&1F2haTGbm(QAJ| z4`~pQkV0#^@1s4odt?L3XeG_?7Mef)&q-2%LnRC%s#jVXaQ@RKa@V2(7X>e|W_v-5 zh4Grf%`8O zibkOa-9mz(8KICFA^#0&q=vM!+-D*m{B_*#^?&a>1j6l)wlMks6`!Cp)&JUaKj^a2 zS0@F||9n^RLUkAR5B|>Jnsq5D}N?01ET+DpEtm#Krsi z`gV7B6BT*>OwX^Q5Q3cl@4$hYwSR2I;>gHIXJ=>S@0j;;Oiu3Z?!-SNe>Gk!!y*xL zK$;2^q2L%NmhN`Eja|&p#LXF#%+_$8V}?QR=|`D;?MycANOQjqyi2d>;HJn2n7@aC zplOu?qVcCM+Cuw(x!-iiQqr^_UnD2*9vJwx#pKO{&4Z1dcze1!sVOYnC2kR0rDTNCjab#Z-yZ5Sdl6S{0-xWE`MgGPM5ozo5J0n zZ@O4z=8g$y_>fzbIoojlrsar>$i%>xOIcv0m-^1j#67w^zu#JKONUNLO~ppX-rUiX z))Qvu;(!qTo(l1E?!BZFHzhw$END<7($U`G201LwSZQxyncMWYh4*aNB#Q54br8`B z#SY&_Y}Nd46(Je38o%pZV@kNkAmeY88%I-X%r-72RfQ$4pFNOuM~1>3o$Xy*&M^y2 zD`Q|3JEx#Ci!^TH`Kw`c)Tyb}SN)KvULv2!pUvb6>(gv=s zw@XV)v6K_g>v#QynB(}uJ+KsypRhBUo(!NtxQd1_(|Lxe{(|05D zf|WQMq3aHo&A_?)q5#5dCy>+&>|M(q)c!7eG+`eoY3C5%xA`sfIXFrkZ{Bk1MO!WY zRXg|D;dzTnPU+cl&rdm6E}r+-=JnLN!{BBi5<%|OFZCXi5P1j&LjSlBwHKjm!oR?G z4N=+RpIShf+)h3|K1T-!Q8Gn0H#ZGb@j_Bk(#lHW;jB*=^0ApJjPg z--ChSlT~qj>u!Vbx5ijkb&g1FXGJ_3NhB|xElyQ2fTOj?%fjbJ%IYI8dmp8cg*_s; z6E0>89_oNh5)3z-YkZCw?vzS$QyBbP;^G79;r43x9CTJCV)k2R8-}2rakr zuy2YIE@yFhveIsq&6ilC8G2dXUJe|VIHJLSMl~|I2u+HUm7}+swBBp-r=mZZs(T&k zh_9$uhkb{`YkEkONV6_r31)==0pX9w{Qm(V>j@1~`tPWLR$I5wAbyE`|I#0-5f07& z)T6C7CVE@g3X636e#et0O-CPQZ~eW7stiJ9cY!qBXxWh?=>UAgU#ph7h@Hn~gXPx*byRC;(y zW1^HbP~jso4A9U`CEPhoOkvu&%u#a0$t^oUs0D(qD9}!}I0A?tLaN;5$eWxStgzA& zGZP6;ILbCp!)o*uY8)u+d?MSUK5|mBQd4t&WLGq8yEq~r>*3C!yx_woVi|_qRWLT- zKbB=RJHuE<^d}j0@c-2xHW~hYND~ z??Dy8=|8T%3XPrT*Qz&XNO0ZISD!4r`$v(qGxFpBt zr;BVkg`@^hP9qt5EPj4O!e#ZDs~BGx(=lr*Z!DXMCgKy1%w(33vzczw#{GQWYIOO4 zf|H%crljdzroGi?JrPg%$EBD<*hR^UK8yqOe>z**M{SX*7VDFE@vAVS-ik-TJs;%6u z1Y_8lLkl-~)cB1yr{~Nr+f+0MzHU8~M2z4+9|c=TW`&C{*-8VXC!i}MO-z3MqCzHG zH?G0^Lu_9Tq1!T`u@K_ol$CH3V`Y9#4SrNqau72y;d#gPa=^&IZGX5@bMG3v+88#R z_Q|$25C|wh`|_H9@FkD3X`T{vB=b%dtLHNCds$9@V2_pJM#kj+qUQwR zzwk3grtqu!gp&$9WJddkj(SN$w?#r@Q9Wn2R=C7x)3*vBual0R$at4(!%M4XQ6t&6 z+co{lfA%#f+x_b&-y8Q9;X%(A9n!Y#&&R1szqLW^WC_Sg|#r$3~m z>1)!sWRQ*R!r!FFU#DapR4TA+H^dK$x$Z@_=xSg=W+m|kNb;8AY!qGtrzZ!x?hTwg zWB~@X&^|su`gmH3!bD`~>xTrRt5FegWUEzPh&PMXB9btu6fIV=B%#^<@V*v-A?D#2 zNdlMWyy81Eo>NolribhP#dz6A%0R^-L&dgsy90CcdxSRi|a=|j|67c8*Fqk7|1IYCbvWAqY3+V)$=`xci(vV#y& zddi3{@yH=K`j~OdJZP6xsB(g%5QuJ*zvJC(dVF_| zev{TVgcTM<7NgVC=wS7FXTPat^U>wJ2U+6sc~U7~M=LI)W&3bo^H+p+#TQFM%2#XE zig{Fz5u+FtGC$3ZyeJN3uFo+W4*7zNRL@n2A1FvcUuvm96`FvOJO6q7gfR3^baAsz zaJOn21b;1g1^5;*KqI~bYi*4dg2bQSJI0v6LI-q2#QJxrG>iIAgXC8IFJUM!%&Y2D zLv5|)eXBkwR%IKKFBV-&^}@0?OsFXuoWsX;dttmJ8tsh}%~RXT3-K z@x;h(h{s6x{teO3f$`vnw26s|%GKu7`>VjC3w z$-0Pze0M~gr}Nxv+g)I53Op$Ed?_5VeOX;lt}h8i^Y(mh9MXR%P(e67!b6k<^jVc} zJG%dT*cNGmK)2A@H0~Zv^Cth=ZqW7uE4m|<`aX6*tUQ0RxIW@CcoPg7Ju5Ot_C3Bh zY@a9ah*wvRXX$SQ^^`ZJ={i~No;Eq%H~5}GI@fTSPPS*6du+KAAq!CF$LPE=<`C~# zM=%g7qfCttke6s61BX!RRI83Ve*5{N3gq=pcNla=P5Rck74i0uTK-={k{Zy?J z;p0TNHxn}Om69hxiAqH5JGYDP6;C&-A_MCT2mod1@ zwYDX?uN;KlN3T4`peSY)JH|&7rZd?<@O>A_ol!n38s26#`Z_ra&&N*(S$e7R(AD`D z5!PY&zs)AZB59ERe=uzL(cq+48IFl}47FeNX(2qCsnhvBx3!)q>g2YbvHi7gLIfKz zG^{#~c%|_=1T@XZ%M5fXxeZa(nM0DK)B9Lun#kC$@BWZ2HX8}OV#h6Bu1rm+4@eAT z%Q@832O!`Lf{yl^M%#M>q*o8EqvCSDUnUl~e+u(`K9(mDeqATf z@5flWGg&zG8Q)g-eY#9sZt^}q^^MfM z6A!SAz}(=}za_|?jdK2a$Bte7j^{d;9C2;VbcVk+oRzaofZ+9I)7R%^-}Lnf=lcX1 zVK$=8(cPB3?_-r`)Y$7K%a^*XGZEnMzW30ZOJB;03rw$+mt;(~J7p0H`Z5@}5oxO! z{nQU%H+Sv|xSojrhHNkdvPY@X2CaIw%sBL4jQJ_!29gRO=W;qW&sVl$iHFhA{{?U@ z)Vq7iiZ>i@3V7QEOdb5A>g%KAub#G>FN&N${}82HqsUn0;~8FET(s@)he4?x?EU;L zN!`_C5y{urHxt!S3imS+yW{+nhJgO6ed=fe-#sG28f1FCO8f}#= zF?jRSW0};pg^lidNXJmG!_N=lXd$oZdLt|s0((J>U zrj(qmH+@X%1kL(NpJoqL*gOKnaN+FLn5Je$v^e-nj#CTOLEF=K)m$gugX0GWu+Tic2Gesk7WMV{QQW(g%%oI+ z-nRedGD3sRNTR$9e=g4|QlbRdgWGVx`eXueuSeomxyR zEQ?w#h2|P{5o>FP1S#Xng>xCkhB``SFEwrV;Q{W&=0tC_pcYY(WfI;thw~T|*UI~C zGfq1!5!mY3S~%07d+MXW{s?kUltmX5WH!8SG9~0}X{2E9D1K`{9CD_<>q}pm)u&dO zLMTb;lCs!hHU!kyrBG5sR#zea$3>IicNCO%`w`ZePo;+mpLqyodHLp!rQ69+`*n_I z$q9BdN5K;2`wXc*-gj~$SuRh+}3kSt#y^8@}--yHHnAOZStil?~&B7 z4+#M>2mRwcSgdc}w|C63d_`CGb#t~-cE5vYamSd@8_F}!eOX9Q^M9ENWMxhW5DaHR zFx(l8=m;j}_d088>@Wc$Q5k3e5NFygFa_beIV7z?xdj4_ho?^MIknVRrSFW$sbkf& z9BCS=R&3{}xb*O`8qNf(xU2RSb}C||hd1gtK*lq7q)=WP8OommBWnP}o>HT`>{YD1 ztvQHuc_xW!lyHEoIjCa!erP%8NJ zqlr#kmbatbiJ8oai#SoQkdWs$7+L|~8oB}p`u3NPz7_81s(_dsJK1;FYn@Qch7(O_YA55Z7gR5rm6Pg&4mVCv`unXYxIe$@(%#@(YP zG1Yq3{l?ZC!#@$hY04X^wcCkQgY19o=o_>>3~2grzEvGSK)CnQT@1`KV!odQ^gF~~ zkQ(*G1r}I1TSY}>YIat{{|02FlCkty8X7Lc22$%OJ60!n6&)}I)6*5jyaL$zPY zAj-u)SvQwtUlIc_L49g=Rct8nv@;8FJqj`3*3l@I_Vn2<%E-J;uR6eY7m2L5&4uO& zu`oe#Auu@QWacp3>5o3+{+Pv&MR6AAq0sotbd~Y&zMM&XR97=T4rkv89h30zc)MzQ z5w6H@u{{+h3=)x|miz9bPY}nt_WWKD_@w87PLJ`Aofib8`}+l<9zggJ zV)DPjv}i#%bhmmmoE@`{44Xfu@;{NeA2dG_04unF2F%dWsSFXM1_Rg?1U4juLi$Up zf&gNq|3#T0vPUWS+7TKEPz?iKD&G{CLw=89_0^n z`#WTY=s4V@h@r?|lR6s({;za_0-*ge1Hk&XP~b#5x=64nD`2?X5Fjfrq4Yz$3)s)D z_^(%oIE3B*9m3IoSKZ~oxMcqXKN4#J5b6F8q72#3&MSqv_kUTP7DoUUY~^2@^ftcZ zw56~E=^u6jNpf_)|9_VMV&Fkz1^?G>uW}7ykJgt=*!@O53kgtnZD)&0+ za7UZJS^JL=I-;l`(|jDItk7XJO$NjbY+c^N26PJV3mnpVND67byESD1u!=(f;f=Z{ z0sJ`~*KQ>CUgbTK$93v6RtY;#?)X{ow*mTfK7c;#vzKer`2;+^ zrLUZ=mJIghYZ!pGUg-#EqoU}3|J zUK|ApTfv!$g5r_}wVhc_bzZHo>p+Iqe)K^_cYpb>VQ_Q z6kSnqX&mi}u2o07=vMX%wu4O7EQzG&MUmWHu5$^?{@w@m z)tE$^6`3~Q)3Y7IDB9s0#9j@^TviCd$Z;Le*-IQW9iDr!a8bmoyltzko@36~ACSbL zTF#-kb0^tT0MjJR9j0n1!Td^_W^7tS)mJaw)LxC66hCTZ3$?G{Eg1GqrS+iNom6kz z&T*?nv#6ZPEleg{ib!QcqR}E#n7)$rTawmls>CFKjo#%2KuN&%6%1>e%<6p3^{fcC z`ZdIx%6jSrw{|Oo1`zf0dmq0{@SB=T9zNKUJeO)$=mHVw4(B9`5dZXf$Ak*DKSeG^ zma{sj@OmZV{v5r{oD#fl^4?))ij==EW2)0qg%w0HAL_En$BGF(AV(jTS@X!h^$oA# zl22E|=#K;-9w!juad=im0oWKAY21!l6lk@>U**a_+HY{VeXI|M&PP(he##=XA0oj( zAVr6;_z(7%l}#IZwx;c&>YwTq%Xc`=*z-2CziW<7;W%9<EkD1Pa=UhrDy}ws%;XXikGnpvA@4hbO_|FkSdrg1t9w?RPP~;;3$^GwOFmNf>K&)s&>!X5Ryl$P$PfE{B)s`{}K;zgJQwxyH7i6cyncFp{rRnjLIMcx5_sHz487nOa8)IlC z|BZebk59Y5lrjzbnUrXMUMFQQyR#s^4N=QC1)%1qMs9b?e6nw`;!LTSlL8JaZD>*n z60o+^Jh%ow8UGW^%87S$G2h8jFweO_8ZsfhVzdfXJ3q~87Rbes`FvjpemO9(!U2&Y zf1ee!8t2_7bsB{9T3_Yvrzy%2E>mHEko9X-%0rvCJRHQ8>89&A}%*4yV6 z)ECrvTdQw(l&#mT|B-JellV2eUHz^reOLA3B1E3|WG31fB*}|Qw1Gu{cWk|Tg3H$) zqEggzeLcCv7c7^_Psh>IZb{rsjxtD6_35|%D*HVsQ3%ow<-Xz;9OLaNk*988=av;$ zA8@+YM~TK|@7Px}XKXq`i{~)fsYuLJ6rtj71 z38`X0yELt(R*$5}`zOk`Z&ADZqVQRmyZY)1r3F8u&Sr5=eObl9IL1XoKd@mnxTrf_ zvnFl6YP$71>m9-l{tD|g-)()^i4H2H41~USt+t}RlnDN+-hznbK5M~E7H#hq} zW(AV4mB3!xhP8%7oEKKF13%jJfgsyLvy{|;8`VVe2&B_mtt zZDqh`VJ|q@ML0fXR^p1|;!okutQ#-av#YoED9LRW^*Z8Pl&80@F33RO{=P-?gD9B{ z|ITz?1WGV9+2FwRFRhf4*?ceTV37t?yPfaY8R4Bzz7NoTGKF#lThxC~yZ++H;byuA8T|OC) zhqY;Qea(10-NiLPRg7>uyec|t{MzZ|>DWCd|M+0c`YCYS&h|FmM^72L^!wch_|c;j zquG+aEXk74WQQv7L=$O7Ya)UCRJVjgc~gydlkyQ+eoRBFqs8zhfVO0NJS8n zAd(DIT#-)=BB!P@l0;S<8icO37@6Jm!}Q^4{Ph2bz4S1D;;kW6R5T97ACb}$L8-X^ z=N9Syc<*S` z>hDUreJcHV+mh621iV%AffXBSs{%guXZAlv%_R6aUh7|PiQ!JL!Oj;qk>`ATreHYW z@%}fT6;$`@wQ1?!nHhVhd^J*8!@geo76|vb*3X3VhZaTS=s$-MTOY26-ps&arVj8OFQ>8eHb2M-HlC8}H34?y5;(x~fVB;$dM4Ens`4d~g$lDs3a$2yRB%lBC%C7Os zAGwjnQi)f`JI-?ZwjQ~PxQ@UOz^b+d>XD%3yp{Ol5)r1^F>kTbr+ zu2vFe6?S(QV$Hk#)sJ`JWFb*`Cgkc52JGGsOp%bA5cM?YBfIUC)gG$C56S%rpu~Qn zxW|(|Lo&HCY9{qn^){#%ix2s$muA z&FML&gab^bIBUd0yAukidl`u72r(Zi`;`+b9VwS<2ziEN8r`Umh)q2<)0drwxon2b zz@pe?^A*jcbCkQg=@!9D4fI`o1?4Ivp98l$;z=gcr<3wScdPE?f|P;#eu7V3B}N=W zJ)M2I>)zcCkKoMg%)9*)ygH}-jZa}%44Ta6LrlvVAEtt4>*sjfAPFkjgth$T7FF*v z5ZYY@&?yUA?HC{bXJ`%?S?YXnz-hMt0B;vO;e z?LLyQVB^mC$2J*&Tq893bxnl2X#T#nuJNEE(_+j!X#4ZSxf90hu;J+>)|=`vU1F7_ znPJX7pBKG7Q~I|BFLZO1o4HE?aFKlXTp}f`T>>UIn)r)%pD(bh6alHe>dK>KM~k(G z{RxE~))EZGLxVmsW};(gIDi1z&Tu@@)h1rqpP|_ z8nEv#@wbrY#s3}f{f5NvF=vqYy&qcTtW=w`^Yz{tS2&pj+zE{YGUyc6C0h|53i{?5dEZhL;8aR3`x=P){Y79W7UDW~pqmTa#eO!@{b)Eo#t^ssfE56J z;}}`2)U}bL{P}wZXW^IKOjj07@OE#abpUm>Y~50qrS=o~PBIeYKF^+8&?aH77!l+` zaS~kQ%r=kAA0+wge7gfjKoP4}GU9~i_Y=R;&IBmVgi!xb+c%)IqWr=jrEeN#6t~<; zhv7M~@a-KS?ksws&$Q91k54jhbcY2dJ&1%B!4W7^9K#{KrhF-!o+D1k>*Y3@!64wl&1Y)pSMaXCzvp8Z%ppyIx@@7nPkIaViejV5*BLzzE?34wR>cG zuG)0d9Bp4 znw;&8MIC@xvMdOWjHTN0pyW#~^Y5$)*c=nNK^lKfI<0;yg(wZTHz-8CC^ z?23pQpV(l3QbRr3NYN>I6Y!m=(<1p7GEr72_Xix*p*1j1Fj6Ggl3-wx@-_L!X&`eW zhQ`4@9k!P+ZFR8>!(_CaV1@MdGkJKaOEA7Xo&EM_vQCo{nxV7sU-BUG{^i#%Ccej$ zADOUiGwFES`Wc4}jc0yTlg027rF%VL8l6GXAic?7iRz6yZ@(1n3LCv$*Giul4Eajw ztiRDf9z2Ixxex0Qtq&=JXW~Nf^^TXwLQrZgnwB6ATJ3k{$J>49 zHPm^mRvn!on7-C!xwZg{yq)CHgQjAw2*t7W%8?5X_oTk1fPrWnD6~6$^4~FNIN!Td zYIYEw#@QM1jlXxi2&p?JlgM0u_;uIOmdl}|a2~j;!J{*RfYmBX}d)U1H0E41)}?gpcS|8AAaYq z#)z{A>Q%b?ukNW*k05_UqpD3IRjIh}fAnqJmg49B79=TYcYw=mBS2zF34SMRk)(ZV zD`9Kluj@8BvT|+6-3W~9 z@^Ts<)c4cIx0|q-kKg**0Ww2|s=Xg!Ii(GVQ68y4c7}o0XO2YXG2V7g=H(gs!C%EA zQ&<^lQoEZjHQzUyewoT+ub_=xfBH4f*M5MF%kMNZS2^0**_#y{5!&r&r6Py8^+62v|{jjSzu&b_pb8X%Cl1)@;{jC-oTiajb1@T!n+_YyS+xL4(5Z z?R30vynDHCx|iK!_`>2X_tB4`2CU;Rr|ZO+7<;Gq31>fJ*@X7ow{yFWJeu8mkqBAb zFW?JpL|NT2!@OJI)kjVn<_43Yvk(vIh@o$7EdZO*$i~JNEGt=FUFW(WBpd<_r z2D4FbI8F?+Nju4RzDtJ37H?(g>AT%Q>q~&AONPH|DgnkWue3b!1`xdLJod~q<_Fx& z9|MW>uaFUOxvZ}=sP&`R;xOmB?cL&_UuJ%RmaJePFJfP~607D0S*P{%B~l8q`}bS8 z4bR)jN$#ol-a1Ikt^7#ro`?+#&_#AQMJL4H-QI6m-y=LTFfq>DXC*0{vw~S+9pgN+ z%vY;1OS0@*2(z>NFj{u7L!jL`D`lp+2}ma(c<#;-(eeJwSg00I%eQ%9Q|P1XEdsTP z7A%j8#%Xq8<7N%CrPG4Vm2+|G>^RZCN^NpT)v=Y}sT*__)L#wZk|!QpXRWKM4PJyZ z<@(s%*8}}{_jSobv5*sIa;)_DeoTJz*9eVm$94tJJPATc*uPWSe~!)=oRJkc_V}FXl6_}3O!EpD$H=@I zlJ?k9HavDVDOsbJysLuU-5m@SmE@7KXoW8GBBLai_rZ$MQo;e=A$rs2c(|ELYAK0{ z>Y}BVZ3v8kYM7l=xcS>VFm3(9*>ng#ih6pa2?gVWmZ1IUm8|b7lXwzedkeBYPscbH zm6@Z}iC0Y}DmY`w6*n@(WAUfPKW z?Lb#6jaN~kbNk%r?uu{sS@9h2f^}lD+HnqzvH`0*O64X&*5<9_9jwNRl;v+a%TPx{ zzQ852I~ekMcK-}Xv?rkSv4VX0EK${E>wDwVG@EYJ=ukS9c&b7Y1(Wt#jMag ziM0(yU&PfmSakGOe8R;^@wtR=D_2S7;t zEj<(bGxi-_x23OrlRkY7&FJ(bEt&PAmXdMTUvR+%2Z(3}1i~Sj74iO%6%g1?R4{ys zz+d6|1>m?uZN@f{c~G&b7$@rK`{4<=$bB@@M2cgWw&p3w_c`6jDbpl1K~DqR^Onou z%~~IEuw!|_u8p#wa6vUy5Y#q$@Lw0RK+N)m)kcj+XI<#EaCW7J;}&h?0a#KLHrUAH zQ!dzT)J*A!ZOnEj#qn3!^lZOFhuM~NtL8H6;tWT{`#*|x%&eLtIHuikPO}+I zeZF%R+sP&QbbG&VBN8(P`qd~kX*9=h-9W;GC&|H>*ay}Q%Vcu%7R;gVnqLQHDFEQ|B$Hj!ne=rxPRXnpc+W< zAN=gHhcxg`oRooK0~CMnL;EvM6~TME|L=k#*6o3(p8IV>?|+TQ?0*70;KQjEuUI^C z!9lcfE9AsMu)4#2d#qT*$yR?cvy{UwXZOlJU%a)dQcroiG}0Ff7Jo@>*8F*Vs~yc{ zKdSN&Cg)M$rY%RJJRe^J-#9DSwV7ufo2Fi(HV{46e?G-1l}XZgQHyU9wwt19rQ#^Z z3CPo+POg%kODq3LQ-7w>ByLQWXE{ruvUXdQlbEU@xW-O@!Jw7smI2jPd+el;%bTvH zj$8{eGC{ZbC|RL~UQ)EHe7xrUWsy6(8*+zm8tr{JgJ6zOK8pG;2Kh?#kB9XaaccF_ z_J@XcM@F`%+=?Etr)A4y@!NLSDB(;oCy2%8Et0PEC&jK*rxnZUzm_ihQ4}!u3Bta( zU_K~VO1%FPT&(-OQJlP{j4I!`NV`g(W)cS#)34&2wS@w4H$SqqKmqF~AFua5M0h^D zuJv`BE>cQzbk0T=M;zFxZt^d@S>&T zCX(|9=%pv<=MFFKHK@=UeiwzgXIofR#3U-)>9orM)DWkExPZ17RKzMm`6hAVGAc$RSakaD zzMiIQq>}0edfEdhO+M^%9N2%OM2~;L)g|gcbU4U0G)=k`K_VtB1dNP;KeF3LLb6#S zlr$o=HE2kvSiJ`lo|mIV=l3ozhPV5&K8x9tJ44JRTtVrCpP;)?ugXG9xP*O3RJ+Qo zEY4!l3F>k<6o^o@O3jZ)VUpJ<-ym{<#)GwWaXK{12#gGDGA94-)Q9>4g-MiFHEKDn zz@e$6*qjBIPrbwD)0kGpOE@q_d)p4N6K!BYOjLX8rQWS{Hb<#F4+VPN7Aoc32?P`rWcZz*mx~H@@v%ZP5sPuUattsI zE_`WQeB44texoY%!DHco?6OW*IbF#aTETc|&B2In(Wzz{a!ARyLI(6F$twJ|ZNHdW zoiZf*DbILC*pxCbd37{*VU?anv|yeRUHg!&KB?SWbV-($ix(`fq7$E~pW{Evxx7FHcxkjEccD<_GenbrM(%ec!Sye7%SdGw$0hC^i zk-9NRn1al=9;Jh%*KnYSZYJN|`^}?W4j9cYC^}tFSkjcH=1Wlpi-3J%QUg0(*rzNE zb;MTXH-W;m1~wDb^m$aG1(KG}R@zpElCwA3abx@D_1Ooxba6hnE{3p_s;^~NcF+U> zl#sf%GmpO}$n6p!Jm+l|hDG3W6%y);#K_Oj2W@v_1wBlqXDit&tB}C(>H5I9y%S)u zD8fXll%x6eIy!O10-QbleWTwA?q=GbCR2pT(;auoq2-ig<|yIO)3*rsr{C zLetXYQ?jyNI}?aQjQGmLTcfTMaJcZw*r9@p+lgqYskvG*px|Kqrg~*oOH-3Eiu&1~ z_FRSaHzJZrd#=CtynJbDm+BADt0{sA^Gub7JrU#9l7__JL`--J7=+Z*(MkcWTM<)p zn(fhc)pG?+N{-j71~FF+B|CVh=EECfTJVsD6g zIKM}!SPSadcmrJql>{e3ub80BHDPhTDwa{RFmFblr%Elbo=3YX^I0II7B3Kzr1ek8 zx~1b$pd!A=>MN~aWR#Sb1}^>}>w(?9w3>$0?tH`-Zx(!D(_F+58Mkk5*r1R%X#}}& zgef)I*c`Ur;1GdT?qUA;VtJm9Q zQU_Mv$2Eni7w~cr`iXP|7+eNIWm}cWznUf7$=YsDkn67I?-h8pB6Q^D41JzR|Fhuq zDT+$WPN7r`m}wFx-`hJdyDHknC7^CO=h70!sF`~KEibGp+7&TNzJ12uG1itbY!atN z=WVO)k|4}{!z9Gen3Ln~y1S`iJ@i~0q8^hf^AuAq`$2b>5_@*w?5;l=w4ZsNn#^ac ziU04H^q~*LBx#GAK$RjG_!dyP+5i>?4g*xK0y9|uEnej%sM!c>jIN~d%E(Z##r+sy z7N;k_d;TUl)APDlQ}Q!SAd5f+_@bWu1;MQerl9XVwLbXbZ7vqGRRB%Z&wS4ic*EEjfeP2r(GJ!x%cM+X zBn)2`hM6yCZQ>vnQl z*b;b8R54s1f71x5Jm~g!?lu6&FtQy#istWTV6GE+#oxdeDK-TJsVO=;2xn!hEIdY# zhKoY_FV34?RDmh#dsDXgV0SAPQ{rriX{&Go$-v~~1yrlYp5tv8hWvpSY5dIw!2fl! zEUqZ%sV_vo)2H;~_@W+0n5}>hc9Qwi!fj-HwO#yNupZdJ1*Rix0ms!VpxW)+@oLUj z_lzS)9&EyVde8OE2TJa{>)8c&TU-V^={hHoNnCE~K}rSJHV(+Wh4?+W!In_6lkl`8 zGWK?-(Torvua*)W%mYc)kT6*t2@V#E$1lY8!jGq>O@Gu027kh1I;&x{Z6Mg4h@2>y?5z0vhqZ>Cw zMEsWPdi|-4?StA=6B;zfmrQJZSea0(AJw~FvH{kbijA2)zPm|kcwAHta@TW<_xEo< zpe4eQ@XzOKJ^+-;+S-AAk_?6DlQ_qBsj&k0NQ9kdvOoIrZ0=rzqZqwBNS0UVX}t2R zm-7}9+Pfx3e4Za-pPxjhfS8VGgsX4q7COvT=+AD$MH_4?+iVT|B&nCkweOnNhdn^T z#mI=bRlLPkOeHE%t}0Je9mZtaM~q68q$~@MiE0R}TeK?Pk(S0V2R~hXxS~W=70$>v z-YqzR*?ahrZ)64`@|y3xv3r9I3#fOx!pLw#AdyP zbibAygZ1SRTf?U1I6pTj#;sp_vRs->kd@rsqSHTAw0!badlh;b$ENwRNXTLAl=4c> z_VD;s1^Z=TA5&kz+jZ67MyuT~oXgPae{zrQQ~%0ucnHSe;pTd!Jt+N}gYw(n24A4p zp4&i1^?KmYOUX3ztR$o-p~D>0HKSN-H6!>QBLrX`rkRhG7c0fT299E0w0?@zg4c^ ztd8fX^?btu3RpcZoJ7HxDhK8whQLSc+u$5g#LX02dOn^Q{d1gdxqQgy0wGcW2yV|L zU$5P|;S4M8qhfG6PI;&t%nm74Vhe>>+JuRc#wKfU@;1K?t9(=oDWoZ=HY+QPQX^-t^C?@a#Z{i_ zpB(ha|5*7_@BYf2kRVNtGKwCO#YNU@_ECUyIoaHA{Dp>BDcg z&BjK68vWgTWfGa)={@xxvP^vM>xH*!O2Vo}%-9rj$O*9*bqS<6`b4mDqmmXXp zb2&Q)jq2Q6vP8uY?cnrs&lR-{t8{krX(T|lIxI`FYzez)=vVV*3oS&EyIcD`?hN_2 zdHDVg9_Z;bF5~N@G8Iasx6TR;ZM}g6$r3pL{1mvK10N*k#`?_!)Zo-_>ZpCK_Tuhh zpfXt?zWnoUIQL@_bMkJ6TwWnsl7;sL?$B*jfe%Kuhp-h>;$bj#hgy2eFa-i38UYTz z8DM}sPgW93^9hsyoFRyO&Vo&5dqmcBZm~+J?&jA6nhB{aPL+(n`C&pY`EB^{2vUX< z!ZCP!lR^(747PRw$c)Zqw{4b%jcqp3q-(X0%QCH@WK}}e_>K&V4@VkXxe=+}7a8;IK4wr!R#1OCEi5={e|E-ZyFWRm zQY@Rx-M)5kuK~w31mIkTn*4+VlZHG&Ef;m=8iB`rq-;ejI}-MLAuA{l6cm{n#X$=L zU>>r}UAfZwL&HARdkD{CPH^*!mRe`#TA;+`J}6cu`K4!o_r>LJP^4~Dz(ry0AXD!8 zI^1PSYJ+p|3-?wYOf(a=*0^k^*RRX=CyqD#hP03{jc&W6#>a2KK5Zi2zIT8wwzxm&!-> zCw#!)SkF}-`vv=Pzj*M`P^~|6^s|yy=k#ntoIx*DW(UW}sw<6udCScHE4fkaFo2Gp zkcRi)d@*oZ805Jg07;wF%M<}y*~LVWBwciOcjsCYmXVR+hS=91RKWpmBd3mpuLD+d z^Dj*z%M%0tD&J0pejT}Pn>?j9*4){JTb-#*vi<<>!G`^&Q5w>HhT*5ESP9ryoG)0> z5SyHVNJ+il&qVr1s$26GtdgwgzjTxvePg7FxI}Kxkbxr?a!pV$s9|d!hk4IrI?+fY z+{H-~PE6ih0aZ8rq;8H8=8cr;cQUWio=o(o(SF)0G{ z$k4C?Hv(iZL~$`5G7K(~y5eSc+?hpP43C)L%XMZBlksL;GmA@4|BK1I_)J*_VTg_&h9~1IKubkx12(dI zEa5hx`_;4Dd~}BkD|0CI{I0(K35!dzv_iKth$xNzTbXYr_&OdYfh>e%SxE|Hv@Shp zAOh6-^DPK&_>1aFHXkeh1$vS`V`y9FHVi%?ng@S2(oGOB?fa=8U{N~m*X(ni=10J+ zK_oXf9n9V0K=@6Vdg zCYxwe8T30HuEy_lLS5`u+ZMY&H-w6VsY8IrmM1F(Mk4Xq*+Ozi8PQ zsq;sOSH51evD+`B?y@9YXpFlX1;f#ZT~g<6rV_Zw?R6`qAd5sZrnM+cIGa#@|31tJi-NLD5?)Z zLN&(q#&5$^anR6I(3)P-^eYN<_G~okY!l2r_JgJvS4(ow?o8<8G8BCqRBqGNO7pAv;>TC_zD?4;e@>1cuIL-Kl z-j3k2KCi&o0B=_hh71cXVKF;I4shd!kQ*Co-!naMYLOL`v_b_tRnPW(g}DMe!woOb7tVbkn`Sq=`%zaQ|0&V->HrHO9!=V?bQqCFuymR* z6>1>p2y5g`Yb3!z?mj2&iuNNBxQu;5Zj+ic`cxX{cx9xwf2M8Mo_NZFVZbgOdG5^&dv)-9 zd3<_#dK8cK(v;K=zp=M*KM_Dm8DKdsJXn%*Jzd#iu5+@caGD_oL0G zi*;Md(8}95g)YK{peK!7_Z`XFGZ2jr2W0maAr*ikq+-_d>|kJU2?4uKpmmN9E(&{w zDH+nt9sD8LfeNKV7y}Y;jg&bQ#1uoTq^3=Dr}pBZEURV&&(D!`q>a} zC%zsgMLJvEImEwWs_j%X2rzLYIwwwe#j7ES5i7_A-t3ABfLFK_wC~BwOm}hHOb#fG zSFKZ$u&ahp-$u|xIuUOhh3WVxO?M7D(?wl^A8HaA5MeZ7(++%yj?SzFQnJhcN^aHM>h9@H; zYqv6C)VDK;H*{l)ej5I8SG2HU)_`UJSDZOWUHY=J5)uyW`2?l!sV<})I8*HqqY0eY z-pxeWWO06eqbcZozUWecQ&@Gk7Uvn6IjD$u&eCzr0ms`2>hk4#H7+rkBNH#UUwSuf z_U*ZTc);Seeh!)4PNqyC7P&OB`0P>(1}M+pr{XJX%3!$$+$iJ@ zItf_85ZsV|u%#iX+7;HFY)l-l6`WO3WsW?iG%n{`sGLg^ijb?8rKMP?B&<`Ei_P@2 zU$FHyFX$oFCkt-GNOd)BWo5MiWlK4p>i%L$9Q1?%z?%h80#FwkI zNac!T8}4jG+Gim!d>C_LbY(@F5V(3Y7Q{z0Yj!#-NE3O?Z>o8t?9$p|o}RAJ%H+li zfPs4nlT9ZhQ%@~haGA2CpJlFJ+fd+UPoh~k3Mv~zlyyqNqTLKt2%Emom@uXJ)Ylo} zr@$QEA;tx!jhHw)RIu5CzrAfjCo($Jb;h1B}ifE~~5vocNbxOe z8aq)^(`Tw9N>x~E)jlB0l7uZu4dF?11<*nB7qh1?f~0F0fGeRdBFq;HT9w7A00ixf zAr6zBJ~!dqe+e>8?!&0u;-vh#&as>}*4aR>c|-1kBS%K66pY*;JXxezPR8OX;@hPt z%+GZh3s9Uff&T>s3%MIj#aI)h7ooq@0L!FVo&1%*sS_AnENuTtPy<>naHGj1O54Lv z6b>?1?BKgmN6Ak~o*G=S(}|NX@d|6ln+>Z9W}XiG6Md zF*w+oAn9=Y@5FF!>_G4uvA1j14M&WdQ}y#FO^zsRhnY}?QH3c-S9xP&6sgL$W!V_P z!K#X&BN?$`5NLFEI&#^_l*{pni9;KP#_GizWYceAl`I|!GPH%j43SK-LKW%Kq-z=+ ze5=I;yT4-ydSij!A3^I-6fE6oLkvvWM|uW^Fr<2}q)f1A@n8sBodrb~(uIzXUZLPO zA6*UtISAUz&Cl_u>WSi;9X#fUS)P+VWA3zHg)!I&a&>rpj>TnSW{r*cVv4t&G;NKH z5l}onAh>101{fI+F%_X|-XRiEJ#>&3jd;}NUsciqq%%N-pz(D+?Fc;764)4;5TP@0 z2{i5bG49eCej}x<%3$R@5E@Uw0A(P%VGGrewv-o(?N7*+m zEYmKg%}hxDs?U)!3Ca+-nh28t-?$3SDroV!t&LvGUbBAe^7nAO9Ob$7)EZS!r5BGo zgkS=jWYxM4JWgU?HtR@yZKe|2hR}8mI>1)Hi>5$3kMlEY@;qD<6ic8-YyQ+qW@~~e z`=+pB@YI36dNQw7=UolI@P0TPLm>DeX4?v;7f<;99M%hGwLFbk;HAxEL0gU-JEz{9 zM{^kxqqQ~n+M^^}^4hgRUOcQ~kToKd+V~OQyiUm32+CfuT(ML_^o-jM`P7Vnt`*6~ zk1jEr9_Fn6F~DD~Z@X8J@z=9imr!i`d#T-pnFV=w?IhZOTd6 z8u7>V!2mR(^VwPBW3OISS%Xdf;1*qKZXDc_k@XWe==+lZF>B{vX3pLY;@Nt8D_5H) zAfe*(@2(+7o{2J5&Z$vU15RLIqko_jTOngvtLmcnH8;3gfU@6k(?&;ZDm#pS2wYgR;H(8Z z`_qj5x^${_(}iSeOO@rwNa>A>HKq)(8dMmTKaLdn$ym<|j^rQ0TuOZ2A-NPg3ptE+R+$DliaEWphl35QC=G|a%FZ=WF}FKv!MCdHtG5mq41ffNmgBb zvvkIN;+E5(Ux^A(Wk|wp+o7Q8MH_Isb9A}c-1;oP5G^)p8-uu;eOcmMjcoGbTaJ;F zpAMNe*5Ih*mcIjqO8K z%$Nw`rr1sOjisfft%Z%{UtIHKa!pHAqQzZ^{C9AJVgJ(obW(!N?({GKUDWv4Q9x@i zaDcm%LJ*+vd(xMQ6O$2YAL7<2o2l-bn6W#gQM+HDt2f+=3s2@#Z1%XFt!BSUW5DP< z)3dWoP8j$0eM%<3v|7u}3S9K&sTJ+be!6jd&mm z?-)`Qw{<)YsqH2pP2Kc;O(Iv#Ag93!DGQw`9%}7C%<})xD%Q+b8*437IPYcG8e&7V zu$NR!($Sr&q?Ye+dvinAlm4{Ye+vz$-l8%6E`%cjrmY0be47FW4!rl#wz1sLmngKN zG)XB~o8TP}M1kpxOf_I~6$0E2;`=Tswx7nxeRGGwNB zoCqyNnma>ttqjZdB&SV&8n+PhBv+FyN=i<6OrlrmqgVW%Hj_i)TvVl9wRGI;i!-?| zD)#$5pp^^Hb|Mw!qD*Dv>t8pRD3FlE5T~{2;|gf**H3QA9jd5fQuuBRXTzaGh1UH` zt!DoUe5(=8&yhjwNqq4su=4WHw3(eGC?T`$3Yf~XJIli1+Y1m>Gbun(6<~eFXbr0JM9+)5TtmX=_F%B0yI6by^ z{rRNBNbrt__)PTyWe|zin{%H(!@lGXLIcNmcr}L9c<}QBe}=Lg*4SPhihI;eP9ybQ zM-!nhP^7QKu2bq?n&^3Pz#bz1#XoN=j2046t7O9`3|NWNK};AI3O)z`QmMfo!a8;aGAD;iHLK)Ao#)sO#mK8i%a35pi8cVdIsx{m~W;KdA;Gp zM@ZE3u=Ex3FBJ~(>5v%`v^Bjv?W24FW+;=B57iegS~WpJLNaCuo0(BW4uKhma|Og| zb+{5GHKIGG6wXV=@;Xa$*EznVlU*<8?Ol7|%wLx@4W&}Z8}u=wye7yXeSFV5gehqE z>Dy%Xprp1fpsZ_*yN(4 zbNiVxSy{;w-h9{K$e$@inY5_%CV=1k*b28yg(LoatM9-z7e#$@+{|AMZaw&1fh(~* zH2wB*nhmXqCbc@U@pA6=V8?i|xv{xvyScWVu@nFUH5kGb$W@t!jxP3z^_yXTmhniseC9`~Gaw(9JPQJ2^4#RYn_}D~PM+|mo!d6z5@7UjsL02I<}3?f?E+HKL0^z$ zM8tYn10Ja-&cHP_taAMO2T7+ZyQC1 zN&B(qv*RxgScB(|z&@X=`lGNYSWvz>bIGx0(0;5Cq1K6A0h+TO_zuA;cec(yZL0! zb~H(gazNQNYOGWe-@@4c)RJE?agXl^P^S$ zWp=JWBAVc7r|09m(ScCXpX9)AWT`6$y~1#n;}ci6jpSCSx6wko7+Av=S6Y+?2hcA$ zz{sHsj?CzTtXS`P*Lk&Hdp3#s-RwX#GpZfm`B`Dh1N^*m@vK+m@AYBc6N;h1H6u(@ zYOi5lVc~9X;2V3XAr>UC9)q}2I0OBu=j!T;iNF0>`_tI$TSo#}eIdaE7KhyvEO?oZ z8^<ycg9QslKFB;Q2hO2=bre#Bp4#j&5W01i>mIb6u<#&&mYhX*C1i z*?T8d#CuHTXXdOK`}WjpQs7__u2ztdU2Ft)rK%BfXaKJg2>PwyBoC90PwB39{U!#! z+m!^yOZs7w9s#!LEX&3KK8}GKeM2tMITps$?m*5T_mhOb>JA8WVKcJ3hRe6jd`GK8 zhB6mQ23$U}4`y=sU7y$U&)|FB^3Agp753L#j=1T>1aVvfkjO3#DP!OE!yVEw(|p5N z7v9y3dtOgFRywMMPqL@xAtt|p>SdPK?5dqciTo6km|Lb;u|Qp(vB&OxtN3czb} z{WUT$z%CQ~l}ZyKph$iAKY;N`v4jF1rNV>$tP z3y%lF_scm-zU(kOWciuymiaz2hO{^!Il@2)Q5QveTqjm7?QZk;teAO!jJ>cOnZD=m zG>RE0-D5h4MifsDcMfL{I!Dq1@i*%<@j4 z2tf6SosJ5HGOH<_wSpeJxDc;`mzSOWHnI;U-J4&!=Mmy=jR1hVxRex`aN8Z1Y8%z z+|D5%RM};=LHWEJP}1_FlLnnbQwbvWQ% zwr;U@&hF)g0$-a<3ANS1F5C#2CwHi}BfAc_iknn2H1#sD&wgI*fJWX6?w+qq1AC3_ zkzE$2Z%DkKpwZ0`z1y49n2JR}(n6xVZI*gCr(cA@2z)=rANHpy+ALftvz5$MbI zYtM>ZxocEzkt-h4R3VspmatWT^BIqOy5x18ZQD$!Vg;L&@5ENfj~v4jy4Dj~#rIOC zAX@TlY6z7gHc~j;f&h{awjJ?2eOx6dA1b4^b8J_0BWReJYoF08Z>FGvJ!e@blcl=5 zSE^?QNq*i^*#20rv?Ec6`cKVWFc{U)TaXdPHM!T2f}Y;>X1}+wv9X*Ye?KB3f{8SZ z=o<+Z*PGAi%uLPZXWeWFf{xQKF7n@V@hlddMTvz(?8%;nDLUL(b5eUh`|>w?YyJp3 zlWLxF#6opIFUD0Vs*B;!t0}2>>R{V1%znEBL*${EMN$1BdQm2#)6}3>|CF_UdZl&% z?f&>4j;f%1=arFwIZe3Yd+mkAC+QvSs_oAn(Q!G)5Uc;@nlFFS$ zhbH9DK5QXdP(^zCEK#EU$-q}1tb_|0$B9oLL| zmTtH(8S`Zd``-3sY2%rv8UX>z>Htp-4w+WuFqFQwFxeMyUR{wAb8^^v^%ad*6Aki8 zUnJYl%G`W@XxbKFs(H>x`IxqWnR}1L!BHkDl`6%k4n}yJ!(_*W;L4%=dwmGitI@`m zr{8qxC)dD84KD;5GD8;I`~?bSpnL1Xhv$wftM=KnRzb>{|EO6St6dgu=FKmHi$D>d zUqWSoIWMi@ZTpW!-zE8F9n4|a5d-a)6@|B-gW3)`9FH%heTYG-e0w~8yT3)4Ou|`_ zru=J--+S9K$)Ak)UZUlK531$it?e)+436H;F!i1hq7PEfGxu3Ix4Frs9FOOYuqvU< zSoF4d0dALgfvaBE?A9|DEV{@pxV)CE2$Wuk4s2fx*!~K<+P)(*JO@+zsHjH4!tmsG z{QY>g))FA97WoOdXu{}`mXq_n_X&XQugz08Gc#MPFdVk8$!#}~`K*&5&p?2Lf+Y`W zB%#d{V*+4iPZtDR`(SHGfH;4#_m1n0YoDKTTz|&3;YaPdJJ_tQ3}eUFKV_MNrkGbl zlpSt>H^%32b=PNLNlRday2b87DE{t9{LsgNJMsjT*yruzZwRo6TiR zys5A0C=7ucUM@J~X_(Y7RpP*Q@7pUCanfY_<-$W~%AfHvHAq8@>typ;%s)AEslla7 z(iwA_|7<|3b4~y2o5fuo5PV@9(p|8V=ST9~3TAwrxsiNKvJRAc+vKLg~A3 zVrmCp1NL%bSD&0z1lfZZd!D!LoG~eMc`peM-AtOc6QT=GGOwnZP8+h(3 z3weiIZHKoZ_mg#`g^^m0_9i)J_JH|}7Y@#NJ%Ne6#lOwN+wa{`shz^yPh5L?D|090 z1RgX-2){nuWpsGLnycU8HVpr!Vesf2@e81|W$}o1T=n1!?byBISC9vj$kyF_JCMst z;p-mt%j9;Bu zgj4`N;8N5;ip1Va&0u<;igCiU#+NLTuMbbA1J2xID6r1bMk<@vhSQ^~Y>_qB%ikwW znRT15ck6qW0BMM6cesNY@Mg9tfL=Teb%}|(J*QDKJ5X4=t=Ys08?VVvy)iAi#HLco z(stb?DNAaqb9j&~(>OeZ^#}X7N`4V)P|b_z52gKRFQ8Nd*7y-4f0v5{ohcMWM(XcT zT5IE=X@voK{`qJDaq;ngfDm+=&ga!@+*`)OubM3F?c-Pv?XDLrex9&mB371eis9C1?#JNm@;k3Chw_Z8H_$L9tBH3MVYK|##p4ZA?z8Sy}nJT0q3WCpb7bqG0ldyTA-gzP>V%j-{%0_lwPI z$~DNqmbNNv*P<~}p4XYpz9U&l?foebi?lD2^{juE(-FK-Q(1iot97|IQ+T05Dz$?{`NrWn10ykw7jyO_dsrC^cUj9tAH7P!P;R(9zMggM6al7Y zSIEG+3?pd(N-O9l{q}|`Es8NIE1b0D@GJ<_9K_$1(*TGJ=s=w31mI?(_9t2hj;vOK z;K9!s=x>3BV~THqLdiJj1UNV;S@ez5dA|-qLPIgeV5;ib=*bBoDgu*=$W0n^!_MNp~SOnE$$f}HpH4(qODg~|NApLD<4-;N_M_5Go_qB)Vv_Uhmf{2JPD(jPO zX6TUFM7*Q+zUilN+uwieaaI(-Zy2c0sJ(myyN}Nq$Ice~ZzJlTA4s5?P+nM$$r-r= zqIiZuf@8D{0CFE+FvDP@Rvh?uHV|NF;neT5pydAve~c4sAX5}^znMzxeab(OKyqv( z!FeIR|5v;j91M>`CA%*y|D&&h%FW2=1*M0I{0@T_sYxFR6r4h=qQhDCp$6*!>jfCKtS3|1E$ zPZnr$%v(Vbgk7iGWYC2DYsLTPOUQt+y8uJ^0U*$Su4mBq~u`8Wn=F&9ZgcB{zpb^*P|8#hZAP1PfpNKw2sGYBQ-+S83z=IHq*h>;M zdIxqh2`ax|>YWNj{4vNPEv(oWSig?cidVfySK3C~gmzkFGp0xwrx=%zC+Z|!P=v7m zR@Q1IIl0IrNWC|7=wbsEk%dA{CD(+=1Q4kyX(UrqpLt$Ds1f^%Vy&W-=A%ZUv_gIP za|;C<$Y)hiG_Bc`!!e_tA0um4o2(z^Ic@hJ2|iW0Fsk)It)`)Lm$-jKq{FQ$RvTD( zgycX98!JJQax!( zQXDAPI{|4l5gzv@U9^W?TuRh-3je8?guxO~3L06aep4nNSvpNyHcF!YT27{_NK@of zht$-pJHVXQXx(cTPF#pTu6zVj~rD|zoS%s zf_fx$q0J(pxB_cQnIeN`vcSx~fM8zp*WQy zm?&kKDAh1llpFc7Ny0C{$Y7%yp2EtxRVXJ8LcJN*W+ zg6UJzg<}MYFsK7Y_X&;}x3u;*V!U8H4jj4X)zM3*iJA=q2QQq7w*ES?hd|u*!PVH{6=O!58k42hfo3vg+ahUIa=@L4(pYC(%ALR%sV%$I z3V8XE6c0KwRx2z`R`lww_~xdR6oHZy_h2m9oir|$f4Z;ey`#0mCe#M4d{d(YiBcY- zkUUP?Ibhm?kTnY7dP%allKp&ObC%6J{te}^>4nWW^xtopyg(QSz8_Zp1rXI3@Z!M4 z)Y(Z`wlW9;cEhj>Q~7>={@TKW0CXDhH?l)VKc)Akd2x7wH9;e&UquCI! zPbJ)4@~Uyqmv)6HWTo;7xZg@R843Bkc30;ussfq4Zw1L<2{*ZXcMs=uVo{~9QQypw z-y21?x+LUB%;4|5rUl9tPt@l6`i^*wbVs8LBw{urp5B{gMHqM&DYgKXjo<3lf0>3S_gkz}b0!jwkCP_cec+@i}zf>MG7e!_XH zV|g;yTToX4bUWnaIN_q}GLwcY+qV;0o&?;{&%3i2zY?6=ww>^+MOqB`-MaQxQMS){+4(aXj zkW0LO?_)O!fODkj|C%F#6$3nW!{RM=q@f}ZfRQsY)9NXxo~=X_)_RrBMeswyJRzRj z;kx7L;86pmtj*%m(uE1a;a*zgyKN8l2x}0ka^t4+H@(z8J3AGgRrgD-*HJ^0g#^_W zN4?YMhiqo!Rms#R_uzAR!rA+pEtjU4EOLQ#Cph$RB_W&!@1B zF@+kx_t+jn4_(8r<r7KlZd%B<$8`&2VR+Ta8iZcH;r3Pe0|C>tsdbFDtbPqvOD{h1iHS&O+y>m z{z+jG`}(crOO~O5xL4hxq5M3(OZD zhp;*XHc_euMWXnVD83D`3_5s+q*!r^BG*&IEH7g`3`wTUjrI0|Qs4kvX+E@b_2|Qo z*SnKcsRnWZ6uVZcd_>TmZ}zx5ZCqdYpL#LJ*#9&^w7WYhR4Z9F8llOFe0!jk4|S+a zI6t+qWc?ynuF&2+*L;W8J%;AL*>V?PDIC{8k;ro7G!X;NTDW@S$H1|pPO}ofKoPC{ zgE>u^q4L$TkmsA$C9Bo=aWQ)aS!Bn@GQhPWWy<0^fA{Hp%z(2glb1T3nxwQ-y$FM? z9iZ9x%hn*W!0U=Y_ZNI+!)ZzA)>VbAF{F^O!5=&E9pb`v*JEpp)cj1dw&q{^a_K4<(8zP{J1 z-%)0flgB~a9UyXA<@jmI&UN=Coe>(DM=MTDPiiR!r$>l_Y9gMRRW{;<2!jvx;6&7%J7wg80%hGC)sDWMt$o!GgI` zpe(vks>@e-tH<}q3RyQ&JvpMA5*(|5H?2%@sb});l zVg~`>r>GPsM<4`7T2%P{!`lzJ1Em68@hB`Vr`CB5dhhT(OuAEZR1ek_$&)+`9K^DM z=sC)+H6&+L6sY&LhE;z~xXpmg5%RHSSqdrbvhqd_yVThSusvlZx zSNZ5!FhWmlO?mPKiwf9qv@5Q&O69tS8B8(#0#ER_*_d6ts=CmNEfPQ7Dnb5 zqEgu5O_>|L?!1y`e9?^!6{On!A6xGnU)Q$v4>z_Oqp@wHL1Wvt?KEy<+qUgAXxOAl zW81dhm2>a8_dM_K{<-&O@3qDpbBsBMzn(em)GhKmJLRkB<)+S-amwN0e*$^`)G{|l z8Piz{6rFa8;s2mv0d*ZI%T-{wR*L4zW1qBS@M}C`YaA|NpE?}lbkX8qldI%+*Ja@q zWSP>dKd#f1tvgYPx6~{k*v{`tD`l6rPW(@p!MzV!@Jke2;&w+PUR5r|e=R{i81-;H z*?ULs8GS^;ab3EeM+IzN6TPz`Un!EG_diQnHNnP0DwzaZLH!9C7^+K3pb>$p6@~Jg zDO~iU%*>((ZSc_QBpui^QvL5V3ZLJyI_X6|PI-1xUKz|KWuEO(o72G{WVtic?g+i8fGVdU-DbYJ-o7oW+@)ap{2*z=L>d}FJ z9By@G)`DG6NND=H!11R4SCy7+;1`X;SuFFkiW-e_({A0=KRBPqNCvBv8@0u?e-TQv zIvheX9e;rTNG{GMWC@M>tqeLd&i;lBu^-tpO#iGq2&+D39#vwJwO5X;je!JJ5+*Yw zhc!r31`B)h*dcEXf1vQE z+r$iFC{{A~PFcyN?x&&lWE7~Ip2l!=a#Jx8XO{nL_%Ud(&fzdTLh$?g+xV|v^puoe z!Gb>%RjbIGBPhOY_>eR3K>VmXh7At;*nfL+YR}G#lo3)OS!3OxGgmtrSLfcbT;Xy` zpBdr_O-X+E`KwI1goh{jN$+ZujWt6k>Bp;w0|^5)hP7DvN1paQzu#>WC*LA~smx!1 zZp`ncEz?qOHY~K#=O?Eu?i}n3@x~hj68#;K!6-p(rVlVftbN=lC zc^#d)Xm2+=cXF3E9?`B1hb;NxWg%9LmJf$8>`}Hn_n<@qBqL56&pF$uYzm{~b74{} zBLy*5A1@)wcL>~e4DNO^lSb;%9d*k(#%UjR3Av&fqNCykh7+y+TrQJ(G>e8o`)gOm z^t~j*ZiAT)afA*_g>B=y4s|=LktUCz#c$tj?L3HH7Px!7L0qySZF`1A!iObeCJm9~ zZR4Ac+=&d`XnNf1JYecx26?xNPz(6!u1-l2#8EL&CJ20syMp%U;r2-1xr~ALFo^ZO zLG*~0aipRWaMOI9X7&*A?4LtNHVT@q(`r)GPPNwi9mQ9yH93nBwg}!d z49jQ`Nuu~GXz-VhUCjfse<&zVotV+E*HF`_lyZbH`%l-GAG~a)o6vis6+B>hBv{i>ue zp;oahy#58E7dmTW-R5Ftwlw;-ZiCWtR4_oHN>}sL6rPw}0}ihHgTd>h`?2FL#bSbBt;TBYaej+7QBk!VquVz1!%QDf9D(m9 zUaSa7;@EAhyH32ha$HPk+{P(t`aoP&Icc}?ccNX3ntqGMl$nZMcItvSD-NQ44CzJmK<5gX4lij_IH^Aenc;Vl+!$UsG`DPqgHxh|4XOo4Ibx7l>cj+$ zZ^d+p4Q|O9-{N8vhhGB?pITA1kcRicHVr=?*X#0nB+t*N86J^i*9hVDp$YR9dVgP& zl{oT1{W%lIwl^SqMHXu)anCp0TosqSE5ZFM**S&6;)Kd0gG>Sj?M{R8pibPdUB2!j zM1<7f2p)r84|8cee*Rbd*gV59dfiM3xj0!F@$meI;l__J7pALMmN=3B9*A_Fg#48r z4?I;G@^jYo55k~UU(##p-0q(Uks+(52$=v3BwJ4}DG;7H6#hV3)A*Kr_3XHrtkiWr z6kvDW@>4C-w_Ii99^QW|kD`+|i?bS$0ew{05^`i@d|XCCVt8yU2rLDWw!(#yo;nEM zH+zDfl9qNG4qV%~RGb(s@e)X;UtURVw9;Y>e+&x^?clj~q=^}p3`VBdz(oK0NKd&P zm_mgP8&pU<$v_6-fjlfL8zN2YK-Epj!2y*quw(N5V^{{458lfJe_|5G-tJCfSg$Kp z0&I1wp{}p3FTcpgdNvf;d$QnQQ4@4j*a1V7WDsd|Okr>QMJ?S&tE=W3arGh0u$=FL zO(H3J;NHQCwKWYQDO!Zv7s1ObkX6AGYhvHk)zvA-Xi$F$Dbymj1fuwUXDk*}SEo&o zpf2g962VA>-r0#grrUyZ^7vu+Rf{^oKlrl&qMW)Swem>tsuehmABwoBbwrLbWk?uI z5OJ+M5y~Yjfbhr2A~x@uMA#I>rYKK3ww3s@jR7k~xCd7TazjgR2!|d%cH(nE7XaH= z4Epx~V}Fi_57((8#3-~jus%ex&}uGF!ggq6_CAm2?(eXc)nYxHpdk`1%lBr#9^mw* zd*fldT8t8j_gQ1V&G@;%D}JYBF3s5sfQmXr5~KCgR;P6blpRjH2Y@;l9v-&;0E*$= z+Xx@iYWX^%u*kY@z|umI$CW=&e9Bhri&uH4kVF5X&nT@u(_ZBoS?gglf~W0z|mH9MOfGNHovCew7$wU+sEa6 zGo7jwn}H4N*X+>H5QSV;P-ti$NK2p)9EaGDq})Qgr*8VErKWnPE)>+{EY<7)#ris8 z9sD-0v#zwn)K#oT(ey|mLK+s2F1NU+i-L*>*ei>J;X7OM1v$x6Zh_XmiQ%TK;ci|j zS-HxguV4P<1-{oXOTh?Y($mpJ;{Jisk=$2zq{bRV`w=6M&{Mta@)Hh--joP{*nVW) z0}UaXTn7!Q-qr6IsnTgrqSI<}+!;Z?fi73AO6eYI7w*tZI8uG%aR#}%D&&KA z%kKDH*51a;a~F8n*qV3>@`scu939o*T*V)n*Kgk-TPAZ#x@#8 z#DAyx0scr65)&IfT<)yHg*g27=3ny^VZGOG5v~2FgAMrGf}nwLSWSWfM3Xg?AOJhf zK8owR>vq;@bRhrdS%8qO(@}gtTNVT@E-C_$8qv|w{XavVfmN^=Ie-iA)kBK>$3W{~ z2C;&Hzhc&Rg>3)k;J_rVuO4}rIKCPDpS^t#vfFWisEb3A&ytao|0rXw`4Q1bl9G-R zfqGB(7Nma_+f)7dLz6HlLyZXrBL^%_mO@uo7cf3LktZPdpRh*bggp}a^9Lj(Bw(iC zf$_mk;7;YjPT__#lZyWpcs9_a?>&V68(N(A+=yA?HO8NK^8u_S9L0{mA#VPP`hO+) z0OYF&!lI%=W^xTeQBqLgk2-rI^wmGY-G16A0-NiB1(FW-i~!W2zZ!`=(2{Gnr{5J4 z9X&DH(G%gHgbR;4SmM(-bwT~NAiOWwpCjc%gdZf#n2nPK9|lelSU$LK;294)0t~Ae z=qzf=5+YVtLZIEAC5b$|i93bHsMqNqZ)e5v z2S!srKvk5_cC0NnKHYsPVIKy%)@s-g-aGemxR6V#!BvB{(P_suvs9NoJ+TWocjNF4?BNZcM- zxcGOl@Lvg-oF?$i%`L4a%bici@&}-L0^OgqnkB@gD?aMod?d41AqTqVVtT5j)@R6S zewV1iZEkXq6_5QI%}z>W!SU{WfUt$#=}T_fUu>(T(|kTrj;LA85PIqmlU~u30Htv{ zyR#6W6~0?WuREroU-5$f#4%#?*Z|V`c-oqHt<9W8_@7iduvLGe1uZQ#M^!85G)wjM zx*G+WEaJ0b6>$3iH4=uo0)9K00In+oiW?d6ITjPO>2dx7k*d_|Ad;lpg1Yh@**T;(trh?9MxqJHC*dEzA%2{q-QYKBa5E`k9 zZ-n1Jva4UgaY81|;}fI-2xZ0dSBvR^H$s+D zJQJ{A>b$F`TY62(a``fw5^140S<6Uuz+`DF~cS|FyA=-AsKoAB?uMr zc(L!PA@X^=!(sIJoumy@UhfnTEvx4fG+LZY(SneHQmij6GS~qzN}s)QF!}pJTcmcF zQlQ&UVNIwL?*#I!1r;%s?XqE}o}815>jq2Uz@Z4s(7f_EBKs+)E3gd_%aulG5WD}q zLhv6rx=tW{M!P`RSrvm>j9(m=y#2pe3RJcVvaqnsS z1XPr3yYrJ!tVpX}qSG8vJ%NarD8J{qlsqfYTw>pp+Is75X?Yk)(se5v4@+tE$Kmc) zcG@tW<#WK92)eRBOgasO-#=Q8)@6tpe~woIKnhnFv?WTw**OP;Y5K0KFwlQgKv^PQ zrxFd8T)i8Z&R-(^&r-=4c`h~VVoKR0P!CKD4uoRwBeWl43Yg&=ri?)?G?_|LV=1nm zkFRbp1M~CpTs5syGc)2zqG3qYY0yQQRzb~!4QA2+sM@~I5S*nP~v$!@fZTwodYTNM%vlKn__R(#Q z0(G%K`uNr+ou!ue+Z=kRTfN0wK|x+w>z(VfJ`X7+H9tK)J<(&A@KJV@*tgAJ^sjnz zxk4}+CbxfO+y54lRZ!4!Z*OjwrcT!vu~1p|E~}A8B8N^V`TSI!^2$$xUB4goMNfs0$uLD_;-D^@A_Wf^>OuD+LgT}sk&0LugI$;i7N#S4<_RV%C>>r^jr$N z^ANG^j_N#fbVyhR&U313Ys0(j63n(4>+^eie2l!F12vz_PARqYxOb)&<=%LC|-TwQ$mgx|VvI?BWuJyIONy%+zG-B#EQ_F5J=?VT|Gi zZtby9mBx~!(D{xQi^d3ADR4fQOqeEi>=(#?#_d;c4m!eRV{(2&iTfj+s-w54N2L7tE zo`fWud772rtt?SsHKg3i487dt-D@igdKK(7FcmJjnW{RPpIUcQ_7Qt-so@x#tSV(P z-PKA-tG(7RaAP|R#^(63O80E9-rDxf^#oFS0a5TWAiCkHYo=j`hP~n@gE{Z5Sr5V6 zmarkVwPwcWeuAYlsX}*?z1>TzJ@9T}02{Rmyy>ZEMVsLb!MCfkZHac?5iMzXDrxZU z=^)&98q*e5ox|t2N6z<233?17No;BUI*M|$fWyZt-Rr1nrPCYC2ZKS^>A7n)XLbPO zdjDIlsruq>?V~#d_X;Z2SlD8luS`!?Ak0Il+HDpMX(YL%`|YubKP;)E#!!o&OW)$x zMjltu%1&dt@~PAjlk2y1Sv)L~idT{FIghF%6j!gX2kKcn4uwDn>CM|?C<#U&&f zZ{IYViD+nW*`?~DN^h~q>>Je?Q310|FIG^8tfp5GpLh9iDsiK=)$Q6-rZ9~~tWtMk z?jQ_%%W1P&k)8MN%*kJDcE^PVIEj18+=TMpmT^e!Zy|Qa%9~SiPbH%^*5}ii7SZ^B z{$P&tUilKjk`w^sSx#Vpvs~C$k|wY+)>03A_a88%K* zji`nHW2afZ^A?|bCj}k&R}ry%J@}yiqzm5J6}fTfcP{3C!q}E@fCLa48X5hDTULJY zqy-Fke@&DUA<(D$d!XmOG2q_RBp^Bn9KTcl^Fx^zWNHddJofh1#;p;LZBq zpVz!^NeG`%1v9Gq)w>v7ojKrZeM80aqWk+wi4hD00DiT9>SCD!9iTZ>fE?Sxj#R5) zQ~Kj>7eUR2XKNkim!bzrO>hy?x=YAVhV?eP18$nP;Sp7)%7;```Zc ztQ;X=NmaEI!QX);KXU^VzHu+7JCy#9^^Ldu3{?>ZwPOI@ZFkn`Cp4-6Y7`CeANd6; zl7Z`Ga_NUT@N{1p;Devc<9MBQLJz{_)EAQfuoR%ybYZSflE}X~^L>3UX!3`0OB|#^(m6@KiUiMP=f)f{JjiM>H`_0FCsOH;Qv_PpV1#m z@027%wZv%PaUQVUIcfYF$KO@(m9Ajd>T zKBMs4E{VTIeyd_ct|aE?(G;bKhAv6ee^+n4i_Lg2uq>%JSR{ZBbN=_2W_u|Vk`I3V zJ=MRgx-ejraQH<<5tk+phO|btyigngJkAiQ98MER0J%I(0jN#BFcLY=vx=B;33Bw= zAq7tg*xa2is8+)t5I$H`FkuQRcm{SoqL$I1Z~bGXq`*pR+pNqn0d4nuS0yC(NMDOm zZ$Cxd_U#T?s)r`gyd6y+p?pjWX0|49cE-WyZ&yugKv$~p8<$5=7k6YCOKJj5=3;~S z@4TNC$!geuTpwGgRjvwYp0!(td?|*EsL0x7&4tJ*_n~r|$U37gbh) zAB~=4{Z~N2-#0C1FXVk%zq}go;YhNA>S|P(BmRoJVA~A@CL>{vzjIQeg0mNkEmAXd zFsX+;{^EKBg;0Ot{Fh$ynj{;}0kxfuD(DkJeK4&ooU3wdPk@I`S8xH0)r5wWKA6m2 zP{zY+{+-6?&c+JTQ*o(FiGMlr;D2BSB4vT&GXHjAk`vgGYB4~t%N?xJ%Ks=^Tl#WL zcAKIvlX0z)Q&kJo`=!b1&AX$UYr|CJ-xNyt_}*KEcR$w~?NtjFbB`^^YH)cVuyTxJ zE*2wT{f1ypkkY3cw|5F8l4$BV~e>|m= zkL3=V0(!Hx{m0FXTbSlGGkfty1qq-%!4QhYLR5A%JGo$F#~Sl)tTE`p%)X66Vpkpx z>W)5CR4y7aPpO!()(;r`eY>1Pq5+%w`>KcJUB3|C^=nsESqY_6DCO5tX53n8e9y97 z3O+4am2!N$e;$qsXy7#aMKYb=gG_kzVyiZ18{~6i_gjZsT?+HQ`iwY>vuS^0X}sss zl1qA*;ni_hMb-`}W8eN(pllTGOyBA+2ce!On9NtTNbuQmpP$Xa_tC!l_;GY}TL#7u zRQuX|L|q=%%~$8AuCA_8M#Xq7*Fiy`*yJ4B4S|8eYrSwvCODL2_aYxMWYudl1{oLR zWN%#TvABjAjxT0n!Msjd2*B7yB^+>*Cyr;wSASK7rk{zD2?HrAa0W}?;l9V zLz*C-h)vMjN%t1irS!2-=wfWG_VcKZ*~-A#Q?GeUUBME$^)D16Ifq}nyR9zL1+3c> zO__17A<6F+T0ikW(-rtWKX7C}ALXxgUHRF=qhfj2O5ELgKSFU@U944iz3hGQ+ltG& z1668>MHD!C<6u_{@AkgzMsZSw9N0UQA_xwEKc`TPb}a1**w5H?JwAq> zbv>NDiP5RbN6bX*T0X*H{axL>j__VVo);MW9&BgDeOK1;%91YP;KHn7kCRF=dq>@$ zpc{FIP8=fETN3(}Sw!w~C;SgS;cf!_1iGM!E60Z)9H(3rKY&Q8RBLZ6qslHJ>X7D0 z*HxJDb-hqz+`6rqOj4Qg_=5gKRGOuNC-*(BoXviQkp)_A)pgTm%gz9I{0`fTC}_?5 ze&JYV??z1N*(4iDv*G?kKr0i`tXUJ0>)?rK=t#iNi9ssS$qH@z`DM*ZG>zyY3;)%p+H?xX44Md7rz`6}-C$EB z!t(=pG*bHWD8n7eHD!!@Q}k<9dT+Rw4eoE@RtEuBQer(@I9SkhheR6}P$;**UpzJux7FvHb`T0Uy0tsIo(mEi@wAXE_(?1a` zcPuu*-?{XtS5g0v+5M7#R&wBG)zrbebKvdL_deBLcN zq|U*1)nX-`?t`|e>VfHRGV-vrAUd>{5HRrhIpd#O%G1K$*&zXX1TW@rSgHCc0PQ}S zq=;d)#i7$ruxAj#*Jr5~wr+fVz%osnJpmv3Wu>Q70#stEQ+Vw;Ql*9wSyN7;*v&|% zjUA1*p7iqE>FDhSM0%={)V~qF@EYrSt=jLH+oqRTZbFp4(`H`!?D=FpKCk1+|5#PR z@7Z~;QM^#mkzAj=#qI6fWI_Zc^UWL+?`^j;dQK+{b(aFc?&m4zv(AH{xhkk1AGH=( zI_43)@xi8$fZ!nq-=KgDO%jaz#E(tmLJL_s1LfN%fogs#wXgk&gOGgEm?f9_pH`9N zC@5tvBLnrma@?=@X@5^nf#<|+!N4aU`?q0i2McIUHfe%_Z~T*<5Yj_GRfRIhh>{JN3i0pQKu zm9@7QflqHoKJ4kEhtsN0!0=MK4ZcmdZ`IImcfC2KxRNdnu?aS*0{zxG172sfTk!(- zOLw@kp-f_8B(nG`S()bW8`Ym)_m&tIw&ZMdM9Gz&2iWYNL*+tD{lP;{V$6#uUp?o} z#@VBQEuQTD}vsWkXq&MTY05{F&wf3{lyHh*C zZ{0>bf7*k#XFGkB+Suk1fqVTgd+x9H9s?c=b0F{YFAG+Pkv#{cl=bTTJAIDd3wwu_ z1gJ0-YyQU(SAP<9!j*-u!J6rocrX=g`>e@#?(MRfZPe!#Q}Rvh%+?(=qh3w!gUZkp z0R~wNnJAt%1J<1BP6tB!H!DXQ=A)@kq{lTVHh?1QfH0#-q z93q5FMWmRV!fERi<8|OYU++4!xGscj!KTrF>*BIH`~$J-^-vI%{?jVwU}`g>4>w6J zliB3)`k?sqi#Y;h2z$XmC?stPQqta7X7k+-8?I8i@$g%nT5!W6ne@)5Z94xl>n#c? zoBxgw#RdG98W&8s=yxlh{Qdfm$L&?guc5Tw>$2l|(W(UBt+QwtHXMLKj)+uv7>w;X zmLiy~WroVXG1r&xHK~PEHE}%B5Q>Ops3erwt(prL_V_QeI)O%xX6DoDPDn ztBdpB($*&646&ZU{%ht#8r-{PxKV67df3|9e)je@77=k&R3wUq0x~$8iY)ZDi?4F$ z&jI&4zGa^N8;&8rONJ?PozumA1!V@9;g3z1VsbA?&zCN{e!BiQ8~PqR!L17qX?piO z2tgyM{i}7mI;@A|!uKZTit6O!<0)hXUw`)Y#t2aGc+G^NL*1Q0i5AUR-W@MCjxF(! zlNYfUDTCKKym)4-l*7WowLVJ*6C-2j!$QZ1>!0qz^|i8?snYA6wp%7nj3mwqSgBU8 zqGGvU-e1(zFa~SC{x}*%yL57jCg4Bj_j^r{h#zceX^FsMvc29vsH)O`=2ZU~ZBur*g$be2zv9eJyIUJWLH37H%hyI&;CZil2Utp5-Kw>kiqE%4)t>0ezDSkK{7UG!h`nWuDUkUU$n!mGC z?ohdWLxFh1*rn2trNIPhZMBF;+LXy3zi$2i;m}*QhyMj7Q7x6DK*pG>?CIhD73^cf zxm3Ex(<~iw%6j?EAF>F%EKb{uv%{GT@Lyv?_a2-5Tr6fuuzH)PL8ERb^B|UYBRiwo zxvVnPTJ5fvK`=Qsz1eVbTbL@QPqURq8JTw4-MXMSGpJ!xVHH4=S}@;i9O}dT6mm`rOJ4P%4^iI_sLT0g%dPu%x*Or_zYBu zCyGk3HyQX5s4YUekLKY2af1PMKRDO`c@GJM3L3o!BH``7|H|akA`S3S)x(0lyegK> z;`KY#9*sGWM8&G=@IAqy{E{*O2M5|c88hsBv@0{zWYGs1rzD}EpdaXp ztFnL08|8w*!$G~wgV*-nkEh6S5;6&Nn~y`&bt0VV?ep3mxNRMy8Xlh3*6G!-rrXO- z?&%Jc=ryB9R7Ew}Dl}jqMaNd4UIKf>)b13`gC(-Y=w2EN`GcJ18=IB> zK3j7UMuBAEl!wzUtwsk{);1#>mKb5*N1gBL+6SLc8EPmH z!_b>@AU_or&fqjh8Gq4kZ|NzqwoTz6)gV1jyXg+3>fx}JnLdO*l3JgKUIII)D;#ke zFw~o+vex}ruH2=TAIX8UfIW?X3fu($PjsiiF62F!Xs!+#N#~#2)UDl(=xDdq=7Q0v zUJ8>V4TuBmBZ_e~S!o$Kq(zg*mKbstFxb7}eL=PwSYaJK<1(enjuJ?xYfuh8tPB2tbwg#md6Q$ zIN)k?`ps3m+1L+RhLjFZ+vJE!-Uxr+1TU3g$I;_#Y*ox{6Y+P^zAgF}gSR*24#;wkCD~h4UFdD6Q1b%M=sK z_#lG2>|edj8%=_NxHr$O?^g51%h3MGjIN@NaVl6_TY*~i{|Jcs-XLP@0;o5F`6%X~ zFz|gL2AO8^MQ*lhRZaMeT$oMBZK_>gfr3|H^mp>;-gqY5shWCrYZ;aM@2?!#9px|= z7uuF-8rpq7ln9Snu-rdZ>(oPD7N|7;MXEZF^En~wVSlZWJv_7D?Davt=@=!oUSK%Q zsc1I{k97}tvKBdcx$?8{Pn-DZUtRlgJJ*qnkv^uR4nG>rFP7Ot%G=qN*1S|85|xvbtdb1JB9!RIZ|I% zHm|RBCid+~u=sq*9CsW~RXlizNp@x^QYb>o`eMbHwEe*k5u-*)l^N?sCV7x#6cYV= z*5tAoYSO7OODA>*(*HL)ZI5=hS;Gn3bC$w67Nj-svju59m_=Qh47p<}NMeZfEWvZt zp=s)B_oAqr?BtOB;P{x&KkCd&rxH+nWeH!!_klv%C+#DFTp!v5&u5t08C0-HXv+@E3Vc{ko*fVd-#npd!ndV1Vd7t z9r~nBhK6~KQ~`t-t?l(oAjuP)T~0?Bl$(93<N7#~Zu*72m}8{#PjY4?ivrkUChOfo6>a4{00tIUs|_ z;~G3eY4VMhjt(M})*1@lc2n}kFBUw+x~78#o{0bQd2v)BHg-LJrNaWPnDT~u1-KU} zB5|Q`(bVeTi}mqT>n^V@N*gLvGfC}-;wcDM4YCaxp$h{s=dCUbBgK>&TP%%!W$^Pk z&ev#G5$aS1i-lP$!&Gog+6MyjGpq9{Gk+WZv7)*ANLbI+==fZoWo41(Pc}!bW2+w_ zFI_mKccMI+H>px(1g7>yjzw%xUMioP&xZAPq;|gMY#~v7F9phL;Gy$TqbHcPv?@e! z64leSB?4=u-Eq2FFvzk@i0w5}jjkMS+C;YmIzex%h*3LWqCRWGcX1QuTXa>fHG~2B>60lpJW%0=yYFbje2N}eg zm5LZzd>)6YDQ4s>WzcI=c4{h;v*Cq-zpN)Dp@;r#Z*OkCZ!}NCO-V_nRuV_<8I|@) zBacyi80wzaw0N*!_p*_Da_9z0Q;{SxJc=?7S(RFSlyQ+pOZBV^%T|B?G5MklqaosV zf0K@t{P!&o(T{IjAFC~!7LRg<+d@OxtF--60|wR7gYz1=1BZu-4zal(UTYz)T*Y-W z9w6A$Tv&%%zwtalUVlQad82 zj2%rrsNf%LWYo^4)l6erUTAkc8rE-7YP1l|gq`l46W*6?hN{&?v9ZxsdqUI+WV6K?!dR^Q+Zh?;Ft{45Dm!D)>BE zxY$Cc=0<`o8Pia0!Eg7Og!L-~L9%HSlUO@k_Ks2&(Udstyw`M^ z_90R+;Bf^hjY0~4_W#gC3HpLt<4RX@Z0RQ`B5bm3P)l15l>9RI&sef)!}%Jk!{JbW z04o!k3Lx%Mgc z>3(u+{i&3MLis5Nx_Ne}<`P^)gDZoY&z|n+^A2dAFBaC&1mX%?l81{%C^^Mi12-q7 z6Ki^OYq{$DrK34dI6m2vQA1BsR7h3;e z9pWxQR^wQQz%Fk{*a0`{%6*=e@*`lj2rbM@96SxkeO@~1KI4)!rDr_uw|x5LL>Gvw zU%;RSyFB7}UL=V@7?_IGk~vQ;yw04WfVDt+gpSJLTR+!>&nC{rDHKMZ&YvXHG8w&=*n8)Qka*`S=vM56IOSIp;k=HnyY1Ma=M?VD z*}JyLG1yRzejW}RW{PHFdHJOl+k-w+gup%Wtk;uhYYH9MJQ`q9B{UE^!b=Pq$54sA z;Ph(C`BjeAOK$89^jH8BFL6zCw6gkM&S1V{$8F?C(`HiYo6=ZwV@IuhKGzFkW1Tzo z_+7$_xZ3NydSmCYlgfph<+i+*pDFEmZ$cJRDRXthi-RD+lbubF7E(Rk`Vd}31{;f0 zK01vP+Sprbl9K$H<_kFAK{kTcZdC-MAvV{)R zt%Jc$@A@khVRY-NiCk-#s&a&>|64wD*Lf!VAJ;X|pBwy`8##vwXi_HUK)@XmIN^3F z;9uXzqj;bIvr6Ejg;IRss^rwgVJWrS9S2PA?bXrCG>O@y^mFB*)6d(+vk<_db778N zUJT})BpsQ}4wH}Ogiy{F8pDswRINT~4<9|h7#v^cha%Bo1FB#^%*eX^w%~PELs?h3 z_U5tLeR{U~ly#luL@~i-Hi%tMDa0)Pk(2_48e0@xNFqX=c8iTR=X3MoXjY}pk#%qfg`uLgJL^p->ZSv?i9M&L^$6#lZ+hI zTG(#y{DHFlK2XWXte16&P}^QqTyC|4!qzRhddU(TOJqPM@EF^ab;=gHemv&>HmMDo z`dmkPDs*j>k|IGEV;XvRs_qV7fqWkB6J51tfur?7PJC+0eO{PH$A>TZYs-=+>>Mv6 zjC2tyPnL<^-0zuIV`PQZ4{U@n;w+smchU$O1_OaDaW2mx)l@@O$H-9K*JkS>VQl&~ zvv>Z&w$qdm^m?jhY3YZDN7`*@FXdQdGzsPRw^L7@Z86eqxmdf;=Qtb0a4c8yGmduEZdgi*z zaDEGK;})xmel$}{G4hgk6_yE3i#zsvuv~-a98GlryMg%?usj&NBT)qDxyWIijU)}G zij}uDH<)+Ivwv`ez3On5tz)#0^LP%ktGzX97)U%teTB-4;C#cd=5cL_2!%=@zYHhA zAb0uaPbXi?=Y9snP_LzPM@aJ7}_nZAj+`(3of;7<-2WTwgAB6*bGiU^t3z<}qYCZR! z&$@m|Xt|a7M%E{&_}j!(7NDpppNphjl-C$LOYHQq5>v|tU8tMQq9oJcYaFPoK7~qg zT)YMrbWqeza^sJCp)8DY?qk}wkh>@s>99^RGrCI93|)0#a<+{}qWAw<+*J22sn7Dy z%8eDadHX1Rk%?Q?ZFZn^)386w=3^sV|Km~Dej{Wwq=Osn$Vuvp-b63W)VTUh-KZQH zqjvoE&IqsFOL*I2+HNa?4-JWn8$mEXgUsYCqYpc$TrRCiFhAqu%yez5h5##^C&Dg1 zs~TCl?)2}uu8&DdaC&WfT)l?JZ;zLhZ)7Y>Nwuw)_SrRmG+U<9uC{o2r={wItM?Ca z7QAld==~kRTieddhJXE#Ut2Hpb5tlv_i{P=sHAPA=Q;Iw^@p%xls-M7J0qZreBD%$ z{+w;MEBM(6fr^14n0iH>itSA`gb zBMI3Q&W5AKyWPVbhulyOiDS9dQ&dlGiHh3>qN`v%vx^gF%doJx+-&zyptZ)qrO$=s zt?V?dZGP?baa`j>Dz(w)TnEct!(sX|&OfVbX60M22JgC#yUNxvzLFYFaizhnwLM1q ziVR_;v~vrm=3KXC&=H;{&zypx!%rv6U=i(fNqDE=a;Yy9)LQ^%9qgu~<0Q#Y#_zD% zO_63ODl22hiD~D%p^8l`w-YJ1P_+wqeAsboDv8Tz%90^CjwzPOS_f|?ZaK2}J?=l}`gm}L7{6Y~#b#D%FD$y4C zfN~E3dZq*_%qIBgU-~gmxGx6ov(bT-6%rCcXQO8~t^dYHXflL2H1f9qG-k?=ra5%? z*v++dprY^~EvsoPSNa|nRQvMDOU1|EjH zz0IdRi@h}^Xq4u=V>`{{OO=6VdY}w){3ws3W}qW&y0I5R&DZ=-m-dz`oa!RgR}QJ} zAAgsWvs-r5C2OPRljuAPi(%TQ$9vRDWJvsata#o8abOY>LVECf{=9z7#~8Kkg>!kx z{{am;hylkZcIZ+qcTf~dlppQ>>LQ{F8^`0cDWoQ+&Qw~l;>qVo`0f5U?aV0WC2u}D zGks~Cgqit=&bM@)%V9#7(z5`{Bhk>W_sDNvwFgoy2yi5vA*vx71ei=IJ;L(%BhFs* zdk$G`-)}~c)3<)M5?X0pf|_mZ8W)o=V_1<=`wQw4Fzmfdf6wSzKuEU3 zDrcBL|0%%T_J)f#S97^%6OJYq{QBJb6*h$UJc&Le&o@9UbrQqOY!8K_u`5w+`i1u9 z6(%YElpp1LEDE(^NAZo1szt-C^qg>9(I_}Q{Vbjyw zGiLsmdGz$nf~Og?(5e>CpFhPYFJCC#du<@Jbq>G?LH#-TmQU;ouXhx>diEP`H&R24 z=j`5|Z@8@X_ER{g>zCKA_K^a4ju%@R>EBY}I8afy?69cCEtlk~?NVhxyw^=qtGjn< zU2h_zWhRq%_zE{#1amvb>|dUbgcrFX>5(?Yig^%3H4+)L$Vm%$>sX#%bL>5*Ia6fo z!DKXAMNfl+AO+m-87U#6_1cVx>GKTnK7F#YtD>(k<442F+jmiOEwo-5qSs6bL8_N z_nOnv@N2^&D&JT*p&td*58Z`)TaP3~|A|eINtal*nu~x{f zc1^|C*S|k8th8mvU>W4mT#c_qW8N@KiTgO};ond}HpdKD@&0@rrm~+9pr-0Sx$ml` z?JKiT*7Q<9e#-5;?eb@;%{@f5Uh}4y3p7e|nG>3ANEp;uT%<57_Ru&yAJN9?`(4B{ zb@w)>M3(D_2G9S@OMHFB+2Y0V(2jPi{@y!9^}Aa`q$7 zNVSh&qNG-;xw5f!#ELv0@y+SBc|NeO#G4F8{l%j5C z*R3mGc3o_GzOQd$N%{L|QB9A)4+~?BpLI!z!1r=U!K?Y}_WXP}PVs_?tt_fVy^O=# z<7p1{;7GTTH%L2g%@@GwLp>e@k>{xKQ$od84sOi2D6p^+)%^|^QtL4MTIC9bXb**X zH)TF*DjC3$Z*@?a^U*WK{vxf9_Y6zNQ7UI`(=Yeq&$$W&PdZPRSA9jd zNWkK0T?(SY_YtZTix2af*#H zYIdDF-IdY%hlbAdvXQdSs>Qt(czKdwwa}pAsdKsG`#k^f@mcZ7OG~pvAib@pPB+`e zh#aqa-i7JsDGrnWm5mHS>c5eUX7lX>am^fbhZzh!Vo&n*O|@P-WcXnK=EY09+XnWn zR_b#Z_+ZkGio(UkbI${e%i(ueD*5_ z47?{7IXBKVgSnaed>7xu|HsxhhF8`!YfpA;+qUh=#I|jFV%xSov8{=1O)@biHYUcm z^SsY{&iCW&KkM52+H0-us;;}L@2>9J&L={8+%|%LXgMFzUz3lHTjuh@2n>3=znZJv zuPd^569UMqK)=uQe6toiI@fAVHfxNiD46?YBOA2~B6-W>;hXTpeg> z=JOgtHIk6t!3+^^LP=lW=qAagm2jzwEX)`RM&Omv|CD0FN)d26*t0$E)6BOJ@1DG; zvPX76FH=;lA$gtUjy69EtG@qRR(Ra8ZOMg?;r$o5p05151^@CKur!;21}v^Ae(Ny{ ztXNBX8VMy=VI|~F5LnnP3mYnL4e+BS`L!UsAxldXM)mW}vc)RgU; zoUI<}03o-4tUH-bu8-?GGn>CB``0u9A5ZEU=Ma&&N>v=pGhdyKVesa^%MDuzrMG{7 z4!2bTZ9%*g%e$#}JZNIP!w@h@>ZvCVFt1BJxMQ5*pujg4Tw?08IId`B>$x7_&Ss>+)qK&FiBq{Tc4YPv=<7H>s`Lb{b>#s2Ivr)wiMXZ7a2tUfDTIFFL282u-G(fluhTA+w%Uu1dhVKF z&!KH*zh?vUujlxyr(;>f2P!Z0>j_^2a?E`mw7+Ns{sy@2Er@~M#Dm7gzJcW5Ou~f_SFX#|B*1s2Sa02(#6i7hzzkBOEC$fYZcGGG9`c~Nz6x=(Fo?8p!&{d=jl5~6QCV~Hh>oQB1i z$qo|TMY@i*uCD%(AZ@QBC3-GKVj3ppNoC}`F<{2Q+ZY`hCN_1~TWt~!_5o6$M-S7a z$#&Nek?hLRZi@PO=KiQrBbK!P+`0*7OWMY~8f!yV*W2S6vgPP7NCq12P4}#%TVKc8 zBEgY*KD4qZ)*-xQvbQ{ImVT7}{ zk$cdluYgSeI?IVP{)bAtL^+L+p}M-ZYNs=juV;@>@Mn_=#ar#|0}IwNr0*>zXa_c4 z0VeOf9A1izc5vZ!>`8VeBFC?U((1dh+uSQ!kLLA+`vG-GL}KO8gvE6d4zAyNh$#u-YKy3o}ogRq#~P^kO*XGW{2{&A=BeJ2?akzp2~9JT-Mz zlrKq~$``u{4~zlFs0JQ{L4FhoUy}TEn;&h8ILYeTXN>FGn^MTfBXW6Yh;cPF5S>r3 z9-OWqvTbJ2P17zlLNbC^bcQM%yfkBYnNq=^>8$>M!u7%MZKe))%K{D&>3b#}l9KEF z`^XLPi;>4Z8WJ7+kWpU0}*-#>CT4$$Bzf4TS~y-V6{( z^VJFt63x$1soIFyk^726spoC>urcPw9Yc#a!Yheo^>&U{6Q;AhC}&o8j?}hO$|;R% zB@IY2n10cXd(mA#k->lSH(V(6hzjFSw76L{LUETmy(<^zuAVJy&cGb6>LM3T7i5Y) zvRq{57fOg95BNDka2BaX3rBlp(>;i(;}IlXopFIOzuadvW?|`{fHLVBDr3M<*Okin z%bmrxjYCdGr{3H{8W1FNH0r=jSIE;59CMy0#(U$a3w|y_lDI6E_2;p@f|45iLQCKK zt-3Bfy)Hh!Zj>6qu6z=6AS)4)g#t{6yYf69PPyS)LGq>!qgG<_Heq_*%O>#vb_Gq; zD)9}xnHFSL)4(V6r?o^oEs6csS}OfG@m8apsekBhrLmpw+Ysir-RQtzxm>iE>SIy{ z_%^*Io?fSKmn}21bg|KS^7l=9CfpQW$6~^lXpYV;EKtQdh{`EZoB5qsB~2!RXh=N} zo((;V4@@K64slzFpkO4vH4haXf3Q_S#VIL;P1V{@-z#r(l;{fgi)gpK5tCZhV0`4G zuo-8m9v0=-Le%>)ap0y-rE?DDVE)`q0*>(a3&bKjcz^>tDOoz4SV%NYuFsR!#nPV+u_-~5J0s!GG9j(71 zgfC0}HxXYm5-M|cu^TN?=(btt+5teAU;DO8Gz9(#x(*hj%egcQRjzKrZN_*8KvcHe z^RcxBJ~&kW6BXlN7YT~$BK_2dj@0R-E4O}v-&}Lk-llN7qG*VhCL-P4*!j2RsSI@X zsTm!^9^6T$Na3_AUn&>*>Q5=y&I*&=Tj z9Jzz-ovd6)mbD=K1tdz_>_bO(EM>Q-LYS!b6DxzH>@B^cxCgsF{eYpC>=`|ENtOez zVI59Q-2TpDZearG_kDCmh$PEKp6oor-Cb04^D(RB zFnRC(h=hY6|0SI@9}-x%m6jeK+fd_8Z^FiH^CA)KfFVCj=!X&%1Ezbcv;h(e78J|R za;meVxOH%R=C1 zfB;!@hvu2Ro#-*dq&bIC3+0I8g{CB_%+vp}akF~WmI6F}35s5zrwNReofvX=RY~z^ z3Unle=U_Rb$Vg_0tvFob=ufe`1l2}*(JYj6j(IHMJ)IYL>DQRCBo}}VV2HmGU$bUJ zPPZWI+G3)cd*ppuv26neSUM5J@dZy)+uqOu+ z4207_Oa)MAJ{442n)?ngJNZr@2^Ak4RGD7!`6 zYDPvnI(i0rIy$;q+sx5=NZ8=siO3suz|m5{j(F~slSA4aAhMHEwXPIomVGEUElyfb zGZ^ho{Oj?9%U}#BCL~RJtOMG8K(EmqPc{Doa%Y{=44s{+rD1~J?CuFo`1chcUQD5Z zt5g?a9n>!kjUlbwo}nz88Q_rlNI<@yEG2Mi4l+y!augk=ojNKiEaOjKcnJf7oNT5Y z4*AMK=|kwRe-tN|W7=6N>>mA`&2)TbUNz3Eq->K(wNPQyeQ$JSjt-*rc^VPi8@=?B z1>CL$t1yu{c=V#j_ns!FL;(c3e)Q_t*Z`B(1h2Z4E}wsZK`@>z`7V)C2IlrhJFQx| zfncsB;B_QHMX5e8u&N~PR zH>lt+C~c$5IuaKLp_*RJ^0^-(7E4Fpl;I|hrS~R?s&;6%9h0T&2^*h`OW4R(8>uz= z2N-+cX_?+T&gO8DQy%}aLj;7RH18+y6cml1=o^Unxk~`#)xQF~bdi<5scqZxN4jp| zeolC^!a0DH<%%`fW)GO2o?fWNxPccuG{QSLPCj$r%@g4Dq>7_!9!bmpZ>)_&FafH{1lQD$rf>7N}MMYiB4stWm zUIoY;K2QyPTN>V{95n7y{IQ})0xq1DOnZ<)C~nwcK`ttDwQv7$EK_^ZFXq^65a9X(@~*vPKy08)ThMynb+_ubM?C$$Ta9JPtjkE|WUun=Js*httL zhKk7W({*jKg@aCl#{7Qg3O;gGdod;mAQ8=W+;kq1otpc5@m%wd|x zkN#l|G-zSR)N?Vi@B~SCN8j2&2CR{95+%FaxGxAW5W|>gm)(6U9m{v_g+|a5vie&M< zK}(8&!1;}}s$QZbhzakW_je5qBfHBZ9ob}jULQp=835w4v_m!(cJH2f=FBxgx5YzU zT^9{M`QwG|hY%EeQvudjI;4SG8o%btKLgusyX)TkrXY&8%j7H;*pwKWv{%q!YPGWL zeP@a;tPijA<6wTvq+#vGR(f+(T=vG;7(2I;?c~25nSG(3qF{s4L^Nk~dvf&EQc{qQ zJ=YrjOy4B+G~8`Yl1>t>D~!_cl)ZSR0fEA_d3@J(3utK#xtqzo3NUsx!YQ};kz=}4 z`a%_3IH*)btXLi^}gKAN<*I+}|F?{HAub=iz@2593kN z117Lg+7=%Q!#mi&Qr~lK%#aXdro3>mjw>i_zX+RqWyH>=Ykv%izF(%)^3zSz)~N~J z#u3IY#?G1XCdPfpw%I8IvLx_a8IKBf#o$CV|G?o#MZ)fS+vPpJI^(CQ{2*mJIG4`; z>5&>Iz9VI(sHr95uA%rQ;yjNm7ZLytkV&T45u^Xph7MQlBwgX;w5NlA>Bf+Fb76IE zE|{aMfOBlsb9wt=j2$A`wI0^7m8(KWRi3S>ccYTVWgbxeZnG>6FmlC3X=B$9m(I7$ z2g?_`wZ_74$A3=eB}CqTR!B`(^^y>+_a zC}VZ_&Gncs_q;ypi1VLxYXc=qQ%w{9PJ5Z_`BfE}(W2<}hLI3tqcD%eTvqFTrtlH` z70N}fb{N5aSxv-yKfiu@vZA)Om7NpKmIX0k>&1-FBhbLipjv4ICVOSWUxJr$VX?U? zzT82SeLTXnpwInuiJq?9lX)q6v}kLoqGf4!UWYAC@`F zItkc&Gh_Xpg6OQwL11dLi2g6@jb!TgsC>ST3Q;2gh&jNpo@m~;X`@{@8%EJG?p7(u zheUa24bzskM_;0}xWUug%w^(fr@Nd;WtLLLpE!V?o}QML_Vn~rTU)!cqvQGIB`h>_ zczBqo5vnT%6nlQi-L(Y&(&=_4> z4wzGJ{4R7L8WMtZ57R_Lb)o;BVs-%6_w#FiP#QZ}IK;ArGAb(4s@=n-u-dI4ySKco zY`2A7?whFW)a3o!*;yMHgdxi>Gjrp2BRIr*_iOk0@kv-*_}dOS`Xstj2ae<;>xT~Bl=b}@PEb34^o`NRtpC_D2skH$yGCRW5nDBahg$IC(t zM9_Angu*K$i>tZ{8+K&3Hp`ovGZ=7HB|KSX6{1nhyaL}+B;R*-pV39S)zFfG0J$MA$pb=$&8k)Y}q zzl`OjHo;10WS-V>o9hYwjOd@@D=ZHBmf^K+k)$gqv-}Rm;#f^HDJ}d>j367ni*zIv zgj7b3;upF|#b}<|>^$O_q~mOtBCCkK<;*RvXTz-0NEy{KY~&Bb#=b-qocGx=eq;PV zdgJLoB^)i&q_oMhAh7fU81gZ0EQzV9vOd4&l#%^5ChakzCgD1o*T#0W7FKXFzGa+O zHZCY9DXOIMR}y9{`-6(_#>9d&i$k%^DfAp1>{(DSk{h2Lu>z#wl&QMqcV%@+9JiGt z+j|lZz4Y5oEWaX{!$;qqc1EDFxKE;}n<-yt&`QvbmgT@Q_<*Q2mh50)7TvPIjI&6s zzEdx8v6QrPDJWhRUlQAi(iWBnE z;#FR)W?4my(A@N#)>FN~fEN%I#+5O$ZaXNtphi$e8%FBU@d*v^&>xTOPZTN!cj>YL z{szuPI~>C-4Ur9)ck|j}sd}oJ>utRCU<)zzA$h+jItg{c82uBQ`kx@@hNRtD?0iQD zwA9ta`j&VpCKp($%HVw=e^IRFu%Z81Y}S{XJ`m{m%Y^sB?wfjMzK7HZz>4@2qW+hY z-q!P=zFDxqHg(`;sYI%Cuf{ zIWD+1S4lOOp1hozo~GhbZ%c)3wv0}Mw}T`O1D)woTc8w_P)`h;$IsgJ(JQl=b(~RY zn2gzMf)Y~l>ijYb&yh+uPw<0^NtZO7-<~oI1I>MWadH^Ha7d@Hq4DFp2oS_HxWLqx z$1UR0B4V2Y8wyi}oIF?qHep`pi{ti0B{enQwB3?Oz3o=TsuZcthY}9#uIrTM%oq(n zfP|%QD$Akm0KtYzeCjfX^GUV_cseV7T#TKktT3KeRgXmF-rG;JXDnL!?ne{@aDAh$ zp4?r{J*G0~D%HPabK-k&YOuDAos19%+wIIAKFkk0^rn*BzZ)y`+MAJh96a(jzwJji z{@$so+IXF5x8XN?J3-(lHdpZP9-1rZ3+lBcvZ8TDoAxe`5Ey* z`w``l4Oi4}C9}}<9#S?8tp`^$4d-+*H6-gbt!`BqQq)n%!2>gD?IVMVqFkmKSEiUUVQaPGKSm*0o%P+0K*Z`|N1Kp}> ztJadO)tPcm$(3-T;WFArU0}&)P}+O&zSN?PtJ6@n$q9o>MFrx=qM%g^rnjE+rQuYp zABT-|5gJ7;;X-i+`!)>SvNQHYH2A|4DB1inp9SU@TWYtzSSrqB z#6)d%KMG3sMAJKuTj>(zA=6svw>yQK2gvI33JODI@xn{+Y4(C9FfjUUtH+_D#_Ue5 z?5X52jd(rhSP`R-33Y@wtC+Y>r(=JGJcoSR3@^voPQrMI z{}uX81mG0{_OzS1O}P0p7Rp2Vits)TVPk#b6+AdD`EUw}9X`d~glisMdz@_sSN|bTkblXMu)*B(*Q@?t-;fs zCMEvJ#%?b~{D&_b%4JR+N#ZCde8^!4xaeST8@GsUcOz!2nvyRi`I#1l0f%nRX{%r@ znYWZec$E72c=z;; z^O^*yj8>UJEHj&~&`uG3kh9BZQ?gotBD}Yg+@FjIM~egy-b+AsbuDR-j{LeBt($HP z=;_^#?5g{!pM1UCVd&^s-&j;sUEK`--rO>68#Vu>t+x_euK?}JF(}&rQtw#2#e2!O zI+*|MDU39rzLSj#wvI#SFffTeIPzI`VASoq8zkfGLm}0Ngp1(`@c}6;ZRq^<>tNbT z+@F`qLnBmbK?2h4T8;-!@-LUB8c5KP1&z>5q#1!v+fzD8v7zh0#KVIVs4rwNko>23N`>(LgD@3rGwQ)hg%U`307nN1@RE-T93TS(Xoah! z07B}3I3<9#MtV@J@qK#6v1)uTQY3KeZ0BT99jFvWENX8|oCF>Yj*0J|)4imu#(EC& zTcR`ncT))iJUd%YPb(J}bQ^;Ed)K-jOd5j2Bb*qB;KlJf_o(`7Ve-Uz%Lo@atrCDD zLs$}jC?Wtb%fKJ13-ziUcht9~FBK8j+T=`aZ2CREQ!~qy z{QOgMeYs;`WK481gARMa>R-VKQ&Lj{RacgmAz2{dwY8Lh)y82bI3%TWBBG;@&dS)QAk*VuZ*I43&CSIn92`X4(^FE&s{$d#GqbYRH!cL-w|^-hTJv5E!Alw( zqy)MCBbk2$v>gXDAa_QDzX~}(KbG4&LK)CUK}%0==`qkhybAMPRb53U7Znt7FH6hx zU4TxSlA0KS_SUWyCumw#O{uQa61D?fr$Ajz&C|=ve!b4WJJ8gZW=&eg#VhiwE1x3gTCx71>6m{Im-wUsEe9Ky7}=@!C3i z(FCczb8u)4~3x z++lEN2;29N*a2h3)v(-+l2Tku?f38B2Kc^Oy7*{VU&IZr-MEllZ*FeR&g?>igI{mH zT}8{d?xO>R8H0QNucm>QUP5AEP*4-SuTTI~{?!DSfB-a*+6F&2;>boufUW9{Bj7h6 zFga^#ZKb6KI-f=Rylz-vq1=1}ukqI|K0!f!E`aacw%Sy3Z{6ORH!j8fHvysmVk!)* z5Nyifp`jne#VYnv+jDb@xVY95&;+a2*4A-^m>WHQe)iK)VknPs>!)+=|BSnT8r4e% zY|i~P88D;)dWdh^dr7_9H8y6hE}b20KaIe4n<^?`cKOUriU$ZaT!_DZ_x4@~p5z`B z6hs6fp<)*2?%@$A$Vv(n?|7qmGt%q$;2;+{IX4fFlxW5U)cHR2$PU`AwHY@zHxm2v zG(INP-KOsH)m2?&q?5I^blojb=Z_1`x1*!sDNFF-Mf*ob@ku#33Aq>N=io+3nn#-Ek59S9aWw&?eo8s_vbV*TeLxsCY!Yj{Nrl=nJNAn$E2 z4-YK}k_K!#LjS=v;J*UgM1hao;zbcYMg|et=Kpks{9oVwBY{`ljXOnw|7|C*m5^*u zBC4Wb0{B2c^5gM&)7FXvI+&V&y75nMdOJygf3wH-DPRNMc$EuH1pS&DaCyDESqc`~ zV8CtG#{G@U&OOA3>!#+Sor>^(F?Pk}6XdP~2rCFkt}KCJ$>YM=L!R~DmxNxp>&~vO z#pPvnb@hikOBWv>T|d9A@o^Za9o-)NBAEa0?Yy71GZWJ`>j-@C%0I4xz^Rx}_k-0tamkL;|ju9EZ*2q7>sGVWamZ**H1 z%>%{xuLIczmMum}=bi?p00Wc8+@Oe{yWF0Q7#B^%$(aUPvT|}#va{g?X6$V*=jWAx zr(x*nF|0fLO=HNAD3|}q`V(s3!;5 zT6_HS{GiWwbbgM1-FL6*JAB{?6W@R%pOloOck~4oUSCH83K7=Y*5~P$M<0<{XV!S7 z*0ZF2LK7H@@3^QuW4EJ4XE>;+`nI*bk6_Bzf-}1=dOnb(v`z&`zT9sGNWQ{-VEvxz z()&rF40vbVJ_AYAXuI}fr{|?bMgO+tpAi)Z@}@j@i`#u61KATFUr95bai85 zV}O<)rmcKH#$op!K3@XcvZmup!q(vYi)y?(z|oO!cA=!uIrW=o&~JGyXesQx zZQJjE?Os>42{E^?P1co+wsWd@+()k_G~dYd?;!qTR@)1o@Kzh^p#T7C%l!i=H{XxR z2d4;LpbsksZsda^uKf!2K?9P`2-nvjCMITZadpoO8X;vp^%off4fPLdbU8t>632Q0 zG}(<=E^FH3lW>J6aNnWL?o?0{8LzDBUhgs)6Is3zXOhAg+yF(yw-Uu2LYvx*=)ULn zjP!31oVM=5KL`s?rTF&nxFpE!%j~bHHu(ZzGKA5+SaTj=%V&UPi8|0Wq`sE?-06h( z-4-$XJNi9|Ghoz)!ggUYCagkTFWUpZUVa5ZXK>Cl>vDCs1IXG1c{P@=Hid z3-cX0tNg=p+nrzRd<`p7vz6SDSDLTMB{wr+Xkake`lWEGO<)4TFFkW@jS6dg)pmw+ zuF75J4Rlizw-W??uI)wHryg(Q6w@6I`yb3(Q*4>T5Qwl30 z17AzBL-q1Ges68N3+wC3>Yn$<^oJVS2$|k|_Yd(=(F!OHN~lQ8?)JN3i3OX1Pc8NG zp@W;L$X;rHa`^5rd)%;Y{_zN#S3F+5UDf`MbE=(dn(^L%!Xpo9?s?KsgH>5xNu{+F zm#agXICjddSEDzF9`E8~c2upMaO?vZrnChxy23R(@XyccYt`m#EdBQiOQFGKy0|od(vZY6eo8uHa0dh8@9Lc zwX%YdILMPQ0C@NmhvsGVH2K+Hh~gmjxKFS}$dJ&-2=F?eLHbZWycXK1^9I$v-|4EH zBgHiQ`Qer+|NhNWNY3ODG}c}~nIr1U#1U{2B0x&3t=3KJt0FHyaQiY(a9+yNEI*-% zWmVGf;Z}WycKcwdpe6;%@o^u2x(=SLbGGu8p^A=}cecF3{Jc&p*qSeh;t(%E06hO* zd%^OGC>pBLjS^~iNV|K|>4;_Wkc1m#&*Nf6177z+_A)3TqdcN0x0Uw*O=7(6JTC|5 zZ2aWZz`;q5ibA}TUf>!@8P>sy9YQLUO8;y1L}B0!#5Fg!qTZ%t$&_;tyOFqGm*+%h zS^ntE@7Kl7y|)%Ef;)W-jKoweQ-6#~Rsweop$aPTR{Qef zbd+!Jr1ZQ$EFTbnh_-VN!{FhW=U_kwW15x&==AlSVmw!8d445MO^m-&wGfeV&~-GW zO1zHUMozWlfBfr61ayH;=wsLrQ7ZIPr%9hWO}gJ?v_1r$rtxinZaX>I1jb_`>*3J9 z7oU}yxxTW}+Dn9vCFYrJJ~lLX(@V$bfFktoclHi{1u59_^?O($0F!qnDIo?dD8*O&_kO73poqAOYsTrF!xAoV z-KyK&@N2-O_*No_SV-STM#C(FZDA5X4t8K-;$E{m+4j!s54o>pf&=$4Yebo133 zdRf)9AK-#*l_cI@pEDU(sybOO@sI@tqqr?lFOFfTev8V;%E!vf2}Za)2B_OR`3xYz zE#dm$xFl;AyFkbV!Z&7_Z!MtBI+NRWl{{yRQE3d%S~x?{iPwZB8;4moaY>U8S%Yk= z+7?_Db;+)*^Bf?+t2y0>L_vK-RHcf#^DZQMA7znxvly3$@?N13tWG;7ZPSf3Nth_kI_*UBHCMqM%x`U z1p$fg6=qi~d|f3q!EwYln@~zRYg%lX0uPn!*f1q71n?NENYuqr#;1u(g~a7p>h}+E zTaIgs3AbeJ*9^d|hZNA%7Zv_xJ+0eBamAA_oa{;7Mwhb03Tm0WPj&Wr@pC6Ho|Z7m zYD-U7HRwC}{=zLO^p_Svh?w}77N66ykI++V$tDk71v3I5i_Mzubw+p{proYa5IJbc zTtfRW?1(E=L%hF$B`)b<9bbOeKFf5Oe&nTiktxm)E=!g7tq9{uOTyCY>kb4R-@`&y z8Jo?n-6p8*67G9`9i>-pR<8Q{1=+(?@0}?iglV2=(2K9x5XXvlBikWRx!#R{BuY^& z^i*{aY&wezA}mxZo44HN78N@C(2j({1vbzvNn-JLtWktANervX>P=nbmEmPS0Zxd> zAY;m)C$wWWlFBaz4`vyX_cJL~nRE-te}jOePeuLTK>)VfEAZ4I^O@W?nt+N5nsoLq z*^ipNh~)Mb9aoON#F~ixkf)ISl-!dtW5K#5xx_}hN+`=tgH%Kp0j-gi2;R0Bx0*V?wr3=>c4w&idcMxa>bvP%%PL9C}q({$e6lV z!l=LimOd4K@2-XWl!k$bvCIj?!3qIzD)i=7pyFyOGwG5~D2uQfB*qN_Um zbYw4qUD#j{eVaRXDY9CWeFJTVri`QMt3Mn2Tr6Ly4A#yVp!RBP3n~yy<}*KMjx|>L zJ2c;d-gm8dhJdtCGg zRbAbU#l^+Bxw*A9*d1nZabPYdV*i<5 zUGaX-K6nFs{*1Y)0D{z6*B`$H1`Usl_yz2ZUO6}@Z1?t7p6kt`;c%bl5^QO9qSvhj zS_IDy7HgtPay0fv?guz+fW z7#EndJ0N@sK{h$%8h!;;9CSvGN-XfbAxfY$KiN;kO35m@J4Dp?bWy@(G2ybO2QwY- z?MUF`y(cQ`$^QzU4)O7RD~vC2PwV+d2W@|Q9A2XzR%&L7)7IEHWr2527dvKpbY7j( z_KtEFUP>bNp92i7^)9AWBFFDN2TNYi$C`-eHFzc|6=#rM&*=y6sI5sSSSVb#u^q4M zTdMssbz#EpGM+~LqzT4>8H^N`z+6+gi-oQWwf8Qc2I7lZ$tPf9!JyLx0|H;$DGbbkmoJdVg z%>aH-9}69*SXhTyao~*ODi1gdeiYohug`7%+*Tf!Z&g`AGtl3^eSN8ojQ|fH5)3Bj z^NcoGuHCAv5t~|<`R5c#ZLy^t3yE$-xP0cu8DT6iCGq(LR_1RqJN%t7Ee(n8WvWYb zU!frF0%-0%=1h*@AzIEA7x4;b1C~66{gWzcc=6Jc&deI-v}JCmO}5UjFEGh66e>TS zy-N7V?HOza%Le9e#>eM%EN6pY8zLi5aP->QA5#oldEHL+r;><`FbH&F(r$$>Ps?<< z{Dii+iHxnF<%xF*M)(!fdF?L=loFATkk!M(R&-Bce-pIe;2bvOx&@NA7Ln|qc*MZ2 zY7KLCuZ12$!!cTWCNP0u3vv>;ttstwrKYyantrg+*|IIa?Y^6KJ7;RCpXutu$pz78 z%49;W=SbaOHdI~sn zo!(TQaHon5)}M;&s;%+eJXRD@tjJS0qBhaQx?&>Qfj(o~7wPCIsfiyGA+a=k9O5@$ zeg}ubmc{YPHFZ~;I#9e)(BE(|Rt07%;8H&9Jej())i0S^ApV!s@Nd4AAPWZzB)ifc z;X5J%QX*#|VH(_~vFls={+KB=W>gS+A=arIgPr%$0 zjIW6XTL~i*^U%mm&J*DX#i1Z4*IZj!;pOJ;mYhhsdyHbh1c^Tq(_i<{$$u}T<7(wq)p7>a|& zENW|gv+Bc)clP7IlW7K^I5;npj{H6+V#1#jG2xtg$clyq6f6i31u!VRbjaj{1Y~q{ zY*bWWfB{+y{PJ5@&I^S(16m=T5Gr30DlOq>c8_|AS?FC*&@PSD4>7Z z+$Z_cBll;)_k)0pX)hOw2b1FN8(wrkKmf4PM_(UEI%@FL^~S|VGz8MW4f`}b;!j?D zfk3_R7b(Ci`I9bbGIlN3x|9X5UL2%=_>iBm~`562I0Sqn zfcNx>94@?^@k?(nUnU>%|Cbc=hw>Hy{`LKCII(OfychB-kflP*Pues_PXK!lAI2}E z{%<>a89rOVkFcwt_}M1yPtezv^uaj>zG%N5Ktu4~vGy1aWL{n^qX`vhkpmE;fv+ai z2s?Lh`9B}%9tLSg$U;+j} z5&HG!w1TRNqdb!0xaI%&A7ZLg2H1Lhtf~UKPmV3_liZ3!d2O2(|Gyu|E+xk%$PWHr8GQx&1r!Hp5X*O(Pg_L$ zw8hA?u#8Kuq+YOR4o*%^KEAt?rOKTh!xcz&xUji!kpI0^0O7O6>3c#d)*{=alt9^t zZ}#;xdRz(tgpr9{gr3Yy%|%5;fvhX@hm*52@Vc#Rg8a@UCQyd{uQv0zfN%6W{0c|@ zNjE=yF4H{-Jq=JB1F=AO?bqTW5D8LJQe)u|gMMRP^`4H7N&xHDN;q8I9?l$(#p~Zu z3fO&{`gaoixc%ATooEn6S>youPf6q{n16)+xM%`{fW1tjR4phd*nWEbbpi(m=MPg; zT}_M>F6g#55@hiHJ7U0{CPs|nCqtej8cvMVdpq32yCaL*TORn0)|+7{`xno1BG0J* z5V*h<8oy8bacK%d_~d0TJ{MKpo-Ui`KjV(~^V3sUXawMrhB1e?hlhuglasA&jE9N4 z1r-;Ug@ePF`5uo(2%lCiLH6dlR}S=O%H6|V0s-%NejyUzrZ$R%h#6K=VN}{{lN$zF zVL*aZ!Rs{7*Xm_L@u$+1R%E{*m{4<2X;{{z1?SV#p2loW%_7qOu?<2}e=;U#_kUJv z3@$%S{_<`}uWT$<%AhUkM==@DGbYc?yWlZ!@My{F>+1>+^!5@l6N5yjMaR+5(y*}1 z3!VRT+#^?zfW=4@Y$$KvwrJvHBM68lT-x1+DmyArl9+TZXOn6g(tL{g8UKP?%A|b$ zh_GU&PU&?UIdP!on-+s1UsS!NirRgWB442BTb&AA8BNEwqBGy_kooamW8_P3e#iY^ zv%l&Ds;moB%&iRJ)0lC9qd_0^CZcBp$UEI&ME4-??7-gMe#wxbfCK{@9UZ5krlqYc z>!m#t7ZdSMKoiKx%|$>&1U+S1G=Diy{aOIOL;-{M+b>+WtIaz1+v?(|JK#~!7}xZM zM9@z{l~EV4Hfb>#Ru}5P#+VhY23G$ zDMgaFO~0oDu-sF=F;lP(e-V|JD^g-2w0U$V`gljKcfPF?AGZ3L;@?HS(%OvKqv>-4 zN^c3 z>10bhhwixKAI`6eUlv0%a=!*s7`9z0aMFYbr7-kfXQ^0-gc5C?Q2)^9$(6&!5qYz; zx35M1FZc6E1eD<<7Igmq!QEw6R(Hc!^`do*f^%0nUSuamMvnh(j22Yr1BO^cz94{g zW>i$vj?PZK+YpEx^uw~6eZ12e%8J8W&_ZYkFrrSVs6A{);@n)lk{N6G5cxTBrVJ@9 zd#Z`Mt2>#|O1Q=)HC7K>%ja*W zO*rrJ^Yb^3TVI}zZ{m6~!r0k6#+_f}l%#kY+{u=pi0Sr5 zfmynNwYbP4M+rxRDQK>l9T`~|VBOUm;WB|`IH6w(8G`p&VNny=b(iZF@M$rp!n`V<`H==mY7Jq*W>ho6j^T=+yoQSz^OxK2Rc?vTI}07_j!|G_;gyUq@Auyz*TBJ8C%y;Lu=Mc^)=;$q*sNPuNiwOKMl8ck(ntu;Wd^;UHv5fTvp$(wx?0cC z#mP?Irt*gi3VIhwp=oq*jI_=XRP1oIO4L#BoPTFBbR6qB(GB>IbCy|tKP~E{9NKTy zr~h7Ou*A{GwOEWdO;cuSYUN$#Dxm;CLtIjlT7VaUlAdkei;*SgqVHg+@BQynzn zFQf014fO$yPtTfEd2$9Yr}4xnw;otd1}j;VrDMd!;t!`V)Z02K9KV=dDQ_X6z7J}6 z#-y*dmxyrodw9!`!?QIl4nFnsVfayG=R9zbNb>NI%`#MF03EV6iuc zF(Fn+NXWhqO^*dIV2zi}ii&b(5>uhfKYxnv@9)o1(j^L-%>|8kOL$;T?3%?Rv-q3N z&`tjG{d)Gl3b}THBQU(d{%92^$&Eo0%e%%C#Cym_cJrK)%T@2A0((uwR@3E+XkfVt z4%>Hl6$7j$QJsZS(kw9n)n& zHDQUO)5k?SuO)ipiFTp-G#9jG(rkP^IEv;lLov8^GMfDm{4B_Xl-vSmM&_I0a=Gp1 zq|0?OZUKI&FX*QN<4GuorOxX(OWvG-&462>hVI~)m;Q@x<2vIj1^su71_I+TyBwoG z%76S)WT%ZnzCqWiEOjAm8T`ze4hwT8adZPfnpe^q#(7%wd;+)@d%76F8oUp{_Fx3CVKZPtr3ojoS_-^@`ZKT(h{3XT%+Zq}peK-mj)#?Ddr&z#XguMw>MVzmro=85f30%N~Iju2kuC8>jaSnd~Ff#sATk8h?( zVXzHK3;o5k6al#ZkFKu(i>mwH)e#T|5ou|qL%O?r=6lx9Fwy1ToZp;M*1yOeI} z<{rOKfA{|5@|*`o=bU|ZthM&q@4NP16NkTm{j~Z~lt5UU6o@_Oy6Vwo2YDD@ao~8g z?BnzK#TCM>*to!587ZlB?%ua6%+1q^*mT_kJw?v^2bTDQI%cjM^M95FSBSt$}ySV zJ_Zq`_=KKBPSL8R#<*m71bMvUQECkYFPKB$+H_{}Ua@`(!(wI7u$Ic4F-!TW(TLeI zEmb9#+#fC#s@WYnE(EFwokAis9NIyUl7=FcMUuN5=6#IRaAi?RY+}}-bo}u-@<)F8 z#dHE4!varpH@2GAX$#lv6n7SgRjb>U3ztP6fHW(fr0$4|6m!v!_15ij+`5Tp8{WnFWvG0a zh_OX&)-Vn#-K5+X-K5;e7(0Kg*JQ`zVr?Sjnq@C?1K8Gj+7k-2fwAY`q9)SoAY;Tm z${pT#^_WTx&GLHj9BV~GHIuwEZzFYHo3OjPX!N;KynojNo;ThgNol(v$#oK~C8uoz zY=)e>s(Krm)=)nhqdM$`cGqB8+y9DIF3I}I6J!SQj3-0}@7|eiE>A5yleM$8J|cRp zrSUEFa?c4vQxP?scrCobT`cR)#EAJ6B=|S*g&0VL0mXGQJPZD<{lUMrznI6hm6?YI z+WBg6a`H76E|ON_n9|}r-Uo@9nVEL4YxKkY&;Xf`kTt_B2K_ogkIe%jNSNx{G(ogD z@|&yG;=tSnLzgzvOJ*MNj6lNK9z3n9mi7X^si7bCkGC07BcG%OT8kG2c9+8U501(Q zLe_3hSTti80V?q0D9KN8h75js_iH`tk&;84k=l`CDlNDGhmhJCh02i6%!y(mt7bHo zQxlTWs=NIY@yq4$`Gfw!*E<&zpsz`?F>hW_f9z^*GIGQ@(DwLr^St>4J;(ZXi^q|e zaDGI0{$$tGJ^XuAyNu3h;9#@Up^r~udv=wznPC~pczl3*abcU2!-vc!D!QD8rxNqP zIg~JMqEc+`+7Wur`ik~ulHuFW?f2h!D+vZWAMzcA`^8bxo>hGE2v#!c*ZyP<1g!ZnB>8exEfZ6xt+tNxeFg2_tTzxVVd6bM!6lFe}eICFoX!&4KqQ6BrWE zu@N+;ZYTcz*FbRn;FZy8q3ZlebVW1!^@rW2+SI$V+0hXX*uCqV)DK_pQ-%tkSpiOJ zS{`;UuNhQ*>kGm#OmkhW`?XAJ=Cq_X4h3w93!9Vak&+1W^-T#~l%{mGSXX1ulug2L z-H0Iun$Sk?U$a3aCysMrCFU68Cm+PdGT5zQH#X6Mhen^^;RK(+33ezW3PO_>5d?&u zz%2$p!JI97DG|W&NfkbSRjVxi@QYY|lTwN8O!;zqVQjy#M1)?0L%Q69N*go$8>FfI zlj~`7-;byCGj)TBmHUNrR!z0$?`JM#n=W_w%?CDX3%)o?g^@p zvmfpIkV4@-(I{3R=WJYfur(0ZxDx(wV^utAG&#YSG$6(m((OCmCObo~+Z=J#Nf}qc zUevE9M>tolx}~@R(cZiL`Q7xng=?i;e7%KpJNp6mVQakLv(AF)!TsrM+Zny3W>j3a zw=^TG&gN!#)^cs{O*Af1o1G6Bmwo5PrB)aOoI{92Od@e?6K`C4u+uEquOchVyRoP- z#m4ksT8_0!R4jWxPkSMiNr{!F&2{>@$g|%zP)2>^^-_yV%5p}SMzf21dpxPqq?wE5 z)%HtL`-I*wgk+9#?aKj5>k)EJq8!Lim>Wpl?Qk?(y`nrL{F*5%((b1Xwt3g!jk7_s z93Rj5E{o@ZP*nV(klCn|JAce44(l18RStnttVO~DS!CMr%A zmY8BE=TyBR$&pN^A3#>%QCJ7}(o%_EQKC|jPJ_dmj{Q-i6G6y9ecnL4RjroCXtZ95 zVi8+i&ta@J?BXLd9ZA$fnsoTgMysi}&ZtD0UWhdA?2IH#x+oiwEEMajx3ACwze#6Z z!Qpt^AYNEHk(i3y6yv!{i!>_o^mqvkiWp3HLPn(Vtc4gBd;_WO!_0qvHQt(FnNyc~ zF_khzL3og(GRAu3nGO*ZvPHEVw^XHJo8#^>w#qS^wIi@Ck(5=xQep*(>C=s>KdINbY>-SaJ;e^r;=$&d%y&4F_Ipq2^wzdjVgjRs*ObFOKEu& zRqFvHm5paUD6SqfVR8~11Waj#vC7CrJGD987u#fDF?p+eN)il5az2!x8ynPe$~R|m zh+_sM>b1`v{hlBK7po6Q!9XHC0PEG0rc8@P_^2lA;N_T2pQx(N64Y4q-N!O zCsrI85;D<-JL|C;s*6xF0cWpPET#aw==aqi^8~#Aa*l8w7<32Jr&Py$f}9W5d{m5S zI7Qe^!|L=2=BB1%;=mz5%fZ3HgTupWG+4E1daa&^&RRJKs`PSVl^zLxohJQF-c|-7 z*lEpfR=?WgGwxf;1&xS=Q<^1mDU!iHB8wbIXbe3XMWx^oV$sw}LG?7@kfC^Z{o@nQ9$LisJ7Z-5l7 z3ag*`w-p!={eWbenH^IdYpXKnMIA1DWk!#9uihY=#Xo;hHuDB9_}?D(6SPGVgmNW9 zvIpL*J^&9K`iAb-1S+h~r>|EL{~m+iS4S)G&3RH+7X7OyP&{-$(9A1LiC`h<5uf`{ zw?AF>1Ue6V;Y0=%q31(sgrNaC+ zJDY*Hi~muQr^EP{a;V@>@+a_iEj{63@gh)b&*&bXKOOf3bP2q!CaUZ$1<+JNpwvCY z(QmGwK`Wjo-ADhq2?jiVQ7MYH^z`Y-8{lK;&+TG8!T+VCW*QL@0-%;SK&j2I@}Gb7 z|KCdTTYshd*7m#uuj1Ok?p9Vg?1m0LKqxQ)gm_IZ~p1_+S*^r`W z+k+ukxGxa6%}b`N;-tj{EYs=)KQD1a2o3z6bAW3V4QK+srSKQC06%jKKal6eFYn3a zsR&TaE)8aIVq&77--PGo;asCrPn);rNIDk=4o-m*^|{AAX}Wiz8{A-@+DGjn!nZLD)cZ7eYsuKV#SG~oHm^{p+I zXWtJ$&`YHJsnQcTE65Y@;+JSaRxG#%ktg86G-KVE^y;z>XBvo%%u4hjTbkb4D{@;WzAx2m)Xp5(v}UXl8-jNY7%U7{v%X^ zt$_VpiP>FM2fE!2sH*GdoK=2X168QyU43b(%~tpUuvvG?nTwv?b*4OxB*1ms#iffLv|iHpJlxn6`PuJG(W6iy zXPzsh6w_t3`Z$_55UxEJ3YwUuu+7_jIdZ*QBc*+$Zh&{63##SZl-rYPLGf4!zeH)= zb-wBU>imtqcuX=a8=UBEnH%S>dSu}wRzekhfS`|10z01XmiyL@Wl=^UE?VU0Ot)-y}>s)p(pjQaQTD1Ar%-UvMw=TACd%G)91nfIP}}OzrNQL{SM(rVI0$7&^N+>Hn zJB`qlOxbv<7Sz8UaGJm%CCQc!yvFc!uA!|ADu2LASQi%;HNlD_)MY&MfzL1bq&ohC zXRKD=D5)it9-CqW{rKc$fXwt77m3(F?|K*n&r&@dcp7ZZ;SlOS$YaVv3F8jSs({&V+cg0zjJ z`KU?v0(d=SV{-++URHNtW5=z~R#D898Kk79pg>YthWOzc-m9pAla~2Ju1LcgruAVi z2rX)iH>uk!uF28IP>yKj;@~MA^HT;=KK-rSj`c(>7$;MBaTr}(q2wz0-J;maxdor2 zma|T^U^(_LaEJ_f-Gy=jO7Wx#e&!%3DyTcqg*!|sHY&PArElT~wP1B<>ipZL3lTB9 z(zw{!uRUEMCLa~d>$GzH@2Kz_F@v<^Z;B@@-Q6{>^OUqPT(~VY6~)D$4voblhp-@D zISMI4Y?5_yYBV4}sv+F|Oh`$Nuuqe-Hsc#)3r6M%Qi#;5k`kJUF?eWbQHeRd z7m(n_#*4}kOjW5yxO#J+UwNJ2!APtvR(vpg36|E&@=Knfo8BE)d~)5HE7IRer@Qs# z_+0UZMfpIVIcqQTkQBkicCPO6TGU6#q+|4@{loQ2#Rc)r4s@@G!h2^Nmvr8D*0#e> zZs^9xnMXv_PGd|nO{juii}f&PSoh}gaCEc4Wvf)J^=Ne&T{(*`+=Fbv^f&HeyCiI<(JT+_g7~qR&Pz6jxcp z`ra^TTun$U3GA}uYA=L~`1HQA5D71{dps0)d@vh`ka&EhPO^A6RLR7GI#$*{dAF`F zaelN2>yfy>;jo-@A8VtknW7owomsoCTe;)5n;h*V*||v^4es-R-^5_l7oI z&vV@AEaQT(gz-XLG|Fkfcem^4h-hN9Uokw6Z6LvOQyY0~dW=Z1(y5Wu;$Vn7e<-+C*Th>oV3BEZwVXFT&a9L5AOsK8z1~Q{3A3E3JzW)AN^#!9 z$5gjrPa%~nJD6+w+2QkS9+fyjZI_j)W9JB=3nrshsJlsr#iJ9x;J9O$Da)hJmc1rI3TAqM;(rs41hqhL+7R)TY2K zB&jc8qwvvf_P)om~x>_a%^@}ueWqTI4o;OJo@9^X07(afq?G)qZZT0P>3#cT8BNl&eEo9vC3 zW^um%X3ZW&-J{W`MIZJ30c%Ro_7TZL^e-1ESIXa0RoeGVV-SE- zi-2$UwLlX|S1xn2Hzq%dgAPwFA3Cr?+aH82x_dvjhYGWiun`Nr+qDjg#8 zb0NHt^b67A7Gc&J8Y**1klN9(%lGqF557RL@| z9ypKr(k$h2W$8Uzrw=kq(Tdys^M~p#8@6|^c?`bl!ly6f{T!K`S!a>azna1KWGL8` zH8Z>m0@9ju5+xh6rmXw_U=E@=^K%P%yOLmKQx-ZWP%uTw5~p?ky^TSB4ntNu*Ac2x zbhFfQ{Ym=jw=@2Gt|5O)Re6vb2+TqqL%<1~uR01sq ztSIvH*{W&~kq#OkNIP~}#fEih9aJm%txZ*L^liOWJIA{W|AEtQ+^y$F^6eQX1F?PW zcQ*s0B z6|J8~cx<#`@fCP{+XWlu)Xr?+)O;qT?WK}UFLtlv-iYU{?my?|Zb>b-NRh?QQfr4T zoD5Px5ozAvZLoY7U_XJJA!#|FT14tJ^qcLz zb+8)yywn}C@6EF%P+4HV}P?FQzYvVvX`&k$48IHYSQ*Ap>YaGq7Z8UO=;)y{Ihcz|^FP8<#D& zKs?X#&Mon~JX|787_h7e#;6}Qh}`sAZr$lEa>8La({8Zns}MNzZeQSx8f)50STo)v zd}BMl^8#CBZF`gOQXC5>@aSOiFh(b3EgF>K_INi(iBZo*Asn-33V*ae`7puj9SEYW z9&xkcW0fxx*k;*`ahK?&Cq#NiUD-laD=|@?s@s2!_sYPQ2o-pOuSq3 z-YtW?KFkHkRhz+M{r zx*YMc)%7*zXAlM}m}E%iB892SWzThwo~$SBaeo`T-2>iu4gyYS*QvF%ecrUhEQ zx!N3BRi>0r-v615x=V}2zgVSxI!FD%rYN**;QJTnFe=vHzZD?f)|E(l9 z*X5F$$PejB=bVCCrovgmTCw-C`7wyRpgqGX>$i8OWjO;{bfuD{- zMvw7Fkd^mob}J@S>UOF8)!)pWT(E)D^!2@&9}8#!vAA>O$_9If zm4lQJ&bFQ6F=rt&B&WUvvsRmRA?yLQ$pel`$mH{hIX-mSK3b4Nn&I259_wemOOoJJ zkMXT`LVlXqu|>U!w<`=D5lOUp?3!gXhC)_mP&NHA{idk+%+gE^QRRTLpe;4l$5oju z)%IB_sKn5$Z#&5T?)_D4TyDpv$g+Md0jp{$OA2OoAZc^kln**Cl@px-YQ%>>g2zpWW2Y=0j7Ji*r*rQhqSlTaRa}e|X>c z?pB8l45=TWq3Mq*w0U;_c`Iy($D=Hicr0^{cz_?TfUk7`#TyuYPsk z%m>cQa$0PbD_=hDajROw<}t67?Zd`|Yf4Y;4nEu-U_W&W-j1J+{^8GC^<%s{gBvBK zV`jFy7*-t}d&V25maeTKc)3uW9K!G3J~uBz&2HEO`UlflWd{VodH5^vuT{I>GT|zU zSI1XM!w8=+V0wFbgxu&J^Ke|ePpzBec|XtAL|7}F)xZO%Q=UWXF(XTK*LaDhBw$v} zsC(9Qu$7fDIA_d69sj`k!?!@%IOs{Nj~R5f9#-l^A61hvfKz7v1x)|HswZZP88 zO~ixgXJgDnrDr)^sqXj3nQB7|m#-&VwG);}eO`=IExoi}@vq>&Zv`nEj+-dX4^?mj zsUeHiD-M@>uJ)DsSe~EnTPqu2grTQgBPEDCTP^2{v@hR#xb8fvI^?VL$m1>5OP#W} z3gEI|EXX%`@mkj`8>wP{^d8?ms7v$MZ%#H*!S?W-E6|*{xc_uvzf?7n?`M%LHn{#y zye5zF;=|)%nYa&owR}eU!pP`GlXwrT{M~ZhpAM%hk6j!aiE8vo>h-2MzlA*e{Q#Q6G6n~^Wo8~<}z>s+KV(dbJPAf zW8K@Uk#4Zp5V5Ug&?K&@9nWs7kr7YqygO52->m1&kr9@)>OWXR_%LyFNOjy(mL?9} zDH_V}AZ)L*n{w@q)LZhn;KA24s7ua?u-_ir|0d`C+4*4c{qYRT1iV&G-#h8X@q&Av zq2`O%H(d!o`3c8!dRWnYlvZ6yZNZQgrNqJc5d2LSj|$Cts0ghp=J7+)UjCovlxhvI2E;4)tSoDQ2(VS9hQBj`$gJ@>fl#L}uM>Bg~ z>;r*@OGBdUaF5L=E6%>rO-id^^^9KqJ2ka{^? z{}2@#Eg@=rUZ-YbB^67A#0YJ6*4+MNL*hrWD)Db416aK(lV~2vwwglX5D29Fi(Q;b zsyt)7f&csuU_)YYYt1C=4zM|_xxZ|}p|4{HZATH7AJugWA zZCoUZz}%qPp!moB9E&sVepiQ}#|fG@WolyLhlj;RT^_gJq*xoaYdYv)Hj3<5y2R)> zd3J4|nqUD-^gOPuoalrrVX{riSDBGIa`$oNUK#|%B33K|R}-4*IOnG42|2FXkVl!GnbYPOWkVV+#tlES}4Mn*+y6qAwc9m3}* z2R5`T3sF=%>F+~nZ%p+oEFy=nZ4FWC*jeNW>ZVlRXT6(1z{l2ZPSh>rQ3;U!mS#Mk zAG&~NVXQHxjKABAv&cPR2Ik~;al`S1Xk(J#5&*y~?tugt{7-?p3RV%sU0VKd|p&3b68g8pEvuumHzz01DuF)Y|67jf%k~zh#cg zzbjSXw+k?!n`Zn$dI5eZfTPiT{j_*%QwX}}QQh`fX#tQ0~PU*TvmVw z2!tHK(G{R!8lgvpFXy%V`LBfizNrcL!qav6%Q94u6sVqE?(^_GGm-zLPVdNV5Rn0f z0|zLzY4o(kJF6p(()JGcuYZWSf2a=wz@&_kzB+ya&_<}7U+DKim<{EWp8Kf(xk(BT zua;6MHa{xhS2vBU0r z?FvXvAu)&|Myg`QniVfl_-#xd97xE=r>&yG9!TbV&-w(`fb^8gVM7Z4(H~e({&P*n z`5|L{81Gie^HwZU8P<%^D$75M!!91EN;|#58koN!8fD@0+7FkpQBn)93hy6eJv6*b@Snih5)Me+(m z!Ua=^J|X6GoEQNyi_C5%#ugQQqY*eAi8+|&n)$ueS@Aj zs#7~WHX`ZMx3rpH(rbkia0weyXPL&wP|67H1b!?py z27deYEeX-k&@enKFE0=B(YPm^OCnRB8q_KZPY`G+K>-SMw8^C@tWim%aS0ccH6n?P zi{k<-|4c%hBx%( zG`WNXy~4uUnC<=fD-p3kE)6L4o3yO~2{85cTtr5`qJapdl44?EF`>z2@E=e~aXVcd z8wLx8IDG_GSIlVHIXQ%Z$jHdl6ildyhz>Tnh@W2)8j(nnq4!*@t{PB)sH2UIg@m4B ztPLiygomvcJVzxmA(6~e>bbz@uv;XCb`vBa0y{rlVsmZoI-t)m4S^+Pu4VYaOohHV z2^l3N5BuiE1{2zF8qe0OWCmY2;<`up0l=u5lH}&*aRI@pkTn$A1?`XSaMeiLkVwA?z0a3i%BegOGMr(LIj zzkL+1m)*2&Z+v~S@$m3q+#Q-_L7*t$90Slc;=g_3f3<&=22`%J3b-tmn7|=-D94J- z`-{9ig~WM_;Rl12FR}91r>mmCqu5$j=ulS^Fe&I8G;%tM>^Ujtm$BfV47*XBtmTNq z_Sja9KU=Ry^;E7uxk$lnRwVc=PavFl+&KFAjLV$K!h2ha=%b9)KRrADn#$!Q8MgK* z6`=TBeF6*!|D(_Ja5{w@F&ufgC?3)(hx!(aVey`IGhUEk9k>Jo|uVdbrY%`3I^rZ447A0 zM>v@OlH0)VLawI?w2a>B@;K|`CS8yS?LuW%tQc+$rxq$;)=}UA?B`1lJ2u^JDu;t* ziCN^oja&5r*-$Yq9a3{}B-k1KG(-*)9Fxt??6`cyGDJpf0D>bL@QBw}WfTk&+Phx8 z`tum4j2}6Ce)Fn_UbJ{U=O>WUMVf#33=05(4%cb^{!}&guRbIe2h!vFX=C=cM_-oSA96 zjJvlhq6;4gFqK0B$qfqV;5$TnB24(d2Bt9HZ-J^(taoH+BV=}HBXr|>ZYQY`$U)0~ zKB)ukTN*TrIkl}hLx`C($>p)6HYM>XlGOg}X|;3S?dh-X`BC?g&p$f6vf%EEX$4GH&;Cyr%|1%P<(Ij4gjIuNyHHBdt9P z+~3N`p%==-)62Hp-OuRDFJT&(ov?-+t_BC```%9+c*Uv4&)+Wgw3zCKaGA9>B>gr~7?x4D+_}LYqQA4tyTbnbCNMyH*fi&Yw?0SU$Cq z(rG|72ww6%OO-VK(6ByL9K7o`jvtC=s(BWMoWfzZTxt02L3yU%MC-PuvUsH;zXHAF z?1Pbuh)n5Mxtp8A_{b4{8+(AYrheJ#Wp&$qzBgkp;PfkBqsWkG?A%Y+#iPt1<>+gQ zX5Ja&-t*Yb&ELLI`OxRst;1*VcD-(-y9j@}euP9J1DxvW)0mJjgZynXF_Cwu^r32j zxV7b>g+<3e6(@Y>a(ID{Uj{p_CgJ;U?mIlrH1K*zS2uTx#W9a#8#uYzPK+WxWeC?W z6twV(+VcG!+uY2NT~*l}PX3b`X#o_3)=W+|PS*alGzinp4ngqT_Uw;hEIhyXUrK7% z9u>VLB>22pFHupS(_atw9(AfcItf#I^wzxFKS8h zmkVE+l^Ef2NT(;zId&?B&WEHTi@Zbqw9y%PGl~LD9Hla~F_f(kRy@L@{Ea=}U`vW8NMrAcL#QI@<<9uE+qhHZrXypE3ZR5P6 z6^&f2M2sE{G1sx@g(;qOY@dl$YgFI#3vQJAVh-&g1ei`y6@cQ#)iHaBbjgXg#`{c- zVe00TLAumx6vebngB=6%grO^VS{Aj%Sj=%+^6y`4SW&Cy!pbI$CQFK(8?%HblEMZ@ z@WMecAmnP&JMZaJ8mzMXSm?%z+J$fKCc6EtpREXq>gF zQjv5H`G{&Sxp0<-)KGOqWpONczFe{2)G<#NrS_s-Dy`E(q0dw}N>a#kE-(cYU$RaT zr9h)@F>nOy;=U)JIIhdL>B)9)9$6g2wd}8&v#EQH)D(}GlOsR|lcqoq%5^jqE9i%T z8&l^qW2L^MbM3H%)%WMsp|g*{*t+xt3)E?IC*kwzQgQ1|HE@Hbbm7ah3$VP$b|4-b zRp)KA7YM~+6jrf;4=gkzM|#y(mttX^xvC4Zan>qIMP*Er2zfd*n9{X)YcJcy2B|93 z=W|p;#7L?jax2WU5nsBmzf1<x_zP7e4dFl~X0y{^(kGGdB*e|T_f6%u2Vgywe`0{Nx`rh65 z6{OqEcz=hH@y8)8Gllhs-rFu05I!6QhOZ2iPW$uVv=h(o1s0@x{pf2CPxSfvM#k#= z1U1hQ4pfK;M_Ii`qPTUKev_0lx9GHrnlGI$P!$zFUy&NS{XYA{Ypt z?uG|?J7dRJQKQ8|3c5?!N93$>3(8m-Y;=-egpA_T^+&@!Vx`a%Ec{wv;2(oGm8u8D zztgRBfYz^^lYvRK?(Y z-nd}IMz8*BkLTf?%fe~Dido3po3Cvy3-qr_LcstdUszOtL*vmjKdmhBY`e)sRcaTV zID@RM`}-F*6mopJA7_!4%ON2q2q)Vc+Aj&0YAxzko8a3DC1K{LJnwlz@J15loeVRZYl z?Q1eraCpcnB$dr@$mTNpe%PE#&+uXM-VxI4hOsm7ZJb}}--f>C^I7B&7+EZcB!hWw z^a=1jKo)#*1P&HHf!n#A z?a3)m?ic#?t;n|GrIn}@g(wS~%VuCCQ8F;dCbMl6*h-Wi2eN`oC1pYx(E28&rB;Vc z_ac^9wZjrn%dgxw#}i)=d3#1;OHTy2MXe^}BY57@$<*e4!EC(!M4&|lrV#nlq;#S)%~t*5vQb?;ZTca^)60e48Qi1YwqXT& z>C2=Vsv^;-_j|n`GxGJrC1Yq<$t^hw)7+{FATFX7{6!@uNXH&n{}j^aAHh5K=aK;=NVqA>d(@3KQEGac)S zK~K-u{rnq)#6kjtz~hQ<`pL-+M^1;W{^JC(zHLelTiIPUVbFeM(CJHpS&sapTe9N4 z@=bkBfpj(Q$J+3uWt-S_;<;S`_Y=2oo-mJ1B!-Ha=1rmQ zE<-dKTo~#E4bl@~42RmV!%^9oDWmGdaS{2O>jO0nI*tpvjCLytNy*-G{LUE=>K(g_ zV!0?GQKkFg50{y249m@WYx^@3)|BXSBF(0q!u~5B`22(VmlZ1?NWNQCDI{A}C6kQU zX}9t9n#9>&o+F126*RM^#QMWR%=Dz*!IEV?WXF9wZ1QSdC@C8-K`rV>1$^5 zJk)BF!*Ow)IYBWe07LjtmfOD;6+HJ%X<}3^G(zw{024G>bmFCVYeK^|^7@wfWt>Fk zYga{1;}6u)c}lqUx4Th#0#L9+^voG|K5BboFZW}}IFW1(r@G%bIvj`LzkNHSMxW@t zDqSs?y36;Cqew+c*6G5#2md*(4FJ-pUsHdd3sW$tTY3NGFO0GHR$&ATnE|ykSyhpS zq;PL%U}0oU;j+W~l!*y6PQidwt%8Bh>d*#=4zSCav~5*;{{N z%{{~2osY}sy1ei>6I$^ww>L#U7Yv4faNTgHcxcP`JW7vIx9qCYql0{RS^o(;v2>$r zM&QHXUeP80@Rascqx#B+n=1B*^-8tNuv-!JTCIAmRj$PLuAF=t=cFpeEeGbso?>N66ag=$2}=AR?~$y47$x9 zE~dUKr6^kU*WKI%ZU&c{jn#F@*yo=NiMPtxN8?Cbzndi+-8)h?aEdG|uns2_(3_h` z$&>a_iyo3Kda9*(G4AdpJRXQ$b5O2R`wdYb zyG62{?Jz%*NxQ&1!oaHkZV)kzQQr(LaAAKm)X}J z=H37*#>3xGDGZ=S=hufKx__6sbN@IR_>CR!CAO&t4=VRDvJL>nXC5enhP1zNvAyle zMP}{5{or~u9l%=b>9fH(&*>hb3#AK2YF)WF@tC%sX*9;C${7IkUgz+bR_qH^+Xk0H1xQ8CR=~60tsy+g#fKD;NT9xi#{S0UhOoq!KQ#%6JORx?6_0 zs}ypli-C}h*6Wk9)6MjTG&@#RjV#)SP#1)<{n6cPnYy%hG1fal9kr71c_fY8r0d(P zE9c~n(Hphh8tUwszP8~n zV$rrBsXe7)JZHV`*DFZhEh@k(0Fprwi^$uj?zU5xK;-JTv&Fv3=xy@-{NRw>WhK?* zkDQ;*Ne-^gD>O5G`o5o@O8Y{P|-IGem4G-JL%sh9r zSnl>%EE!{oRmzO5znao#JZXQbjd%K|wSB;phuMzBjmzDqfeYteFQ?11tw#gTR?7^- zw8z`r86e@q`ZPYiZA@OzJ09gIRxQ>AvrzXP;tNMTJ>9lB1$r5)lhhgI$DJ4%u#WRJ z9nF3Bs?BX#j+4F3?N-d$ZAJnd89&ulX(I^PiNqX2a8%a* zk=xgrv{q2?!0UP6q^dn_tHoT4K%`u+m76tKUF$*V_&EKG|9) z3~JS_e7j*69P z*PUoCA8YiGZ99wZN@eYYQZBL5aeKnki@Hk{y3F+9iv1lZOBPLX@BWqN^w^u~vma}+ z%T8Wu?{s0`eH$x|vIDlhsj}MHvQy|SZPj1Dpk_pQoiK3isdhW0S!*&7@1pH?czkba zC+SK(mFTf|=FjD%rpPi~q19-o96{~4UVt|8C9*_agd{e%xmKgL2#q7a-{0T9 zdtkCo*8k4rhOM>Gs4zU;G!_?)z?m!d(=(QwiswtAjaKmb8Kxb2-jV!DJVv+K=q5#L{02P zGyNDwAX(n^nU$3sR8%1`PG9(wx)P9xh@_5BTB9Nc96Ua8I$7uPjIWcL^eqK52{S#H zcK&qKar_B$b~Z_Nj`0qXa(OFuqC4s=&6G7Vb96QdX--a#lY8DIwN%A&9xwUUd>l4)q zYi*}%g;O!{vCuGj5~Pt?So%%scs`*Oj^Bmyd}rcrtAyki5Ycowi(*C;ElI8Cj-ohygJA%v=^)k zJL&|8n3)$?*Mhq!KAzPY+@9B5U{y;#YZXWBFMHijhRlLT_$?W$B3e@I(Dbps`rZAH z58@HF5}tIJ{SVxHJ_$ur6e%`zXR*t{T8W?07MdaWwrnd0DqKsE0~A*mYO~zi8uj)w z_$Z)cYHz-x#I}=6Lc#<(9uHgN^VRg_6)u|LvwORe{rSB+RllL>gTO*2xuG0NES8j_ z($>y)0g}s^K)T<~O!L-rGZ7dVJb1zL@)xi_;x`52#{3JG)8MV^$V&%m)``^0y$sjeTL3gs{Y~8sYeiJHCZuC(Lm{pi~ky0NPI7o%& z6?n%#@Xp`A_RQJd{;0N=RWA6A8xIP+>rN7J=bzX5O~C2obv$eN`RV$*Z$0;h_T4{z zC;gj9UOUzChPa@W(l5l#@61AdC)Go~Nn9@yaB&oVTkT_d-?s=8lDi-P5AHzV)BX}V z3Fr=SAk?#PQshGpO6N$eA$9AALvf|$?-?(V{wUMHg zLcB*9+GzR}fRWR$(O&$8k!3Rv!35D{2WQsK6-i82|4?W;6033}xMO?;66dMdW5&A& zhwY!7Z#Tpfq=X22GQ8Wj;HVhu;byG@K~CJtLR)`?t|Jd_x4eTH#>B3TmXY)Q@wa;g zPzdkmf@j~kL-JX18T}) z6gGpLXi-J%fwFhw{N}9K@y<6k@Zz+naYFh(h+QM!dreGC?7^@KOS6E%<4P;aJpJp= zDFM>*X?R~j00af3lq;$kjxtgep*_1iHV7+9I$$MJuv?Js{tW% zp8qlo6*T_Mot8?3r@4y!k2e^&p0J4mh;tzZfI_DoyDtv^_kIP4(Dy|o+;E`u-!wmx z#F&dWu$Ak-_E%;{`M}sKU?)n4DWI_Qz#02c;1Ay^>FYn@=a3BSUn3nCaR$Nhh5;WZ z!Y!6=p22TA=lc);9`)Y?F9USIUVMU~Jz&|?0FYF(bKW9A7^>^BDZ%*H*s%h&%#lSJ zb9i-Z0`L&>{7n(d8~_i(g5^x~M-p_TpMdYS8(&`l+GUXT1TzuHIa~~)s+1YILqzyP z38hj1J)&ay1`ipe18_x~{ZZwb0$ClY!z!b|-l%^D&w=_kM{vRr3j#XF9%Rx<5z}YD zXaUm#(7%|z{{H@x(^GFRF97`8Yi28~5CSUyUuz0&qOF{>*<=;^T{Ay;P;M(QaQ{Gu zL82tD5U;%WuNnF(>gzc$8-%=TpVE8=)f`iZNd9dp)l+kLIVq{3zCL;?DuAz4rBZcF z5~WX221^H7u;HSj44IgjDOn}Q#dVdg8~%0=p-pJLLqos=hWx6)sW&mv(La9v1k!Q| z3k&<)9&pSn4Shz}JK+VoEqs&eKZc=Fup_HOQ8DU0lVYD`Qea@qkL<)b+BA|GO~&*tEh3K8!$uR?*Vv+Q@J6}DZ?lt057+A}vz_y$C+BF|f|rYjCxt?Ql7eDfkP)*X z8P!DI8wor(MgYI`mrB4!RG~RejdZ$Q@V-i3NgrTMYHY~O4b`WJ|6)l}t5(1fTT(1l z0xZ~f=uOL9q&%wriNR(BBzMYd*YTK~iV=Cs_aodN9S%o-11~2llX1F-s*h@QdD+f? z?=iuyFQ*%o;B=eg=9&6sfMt8YDTe_<#8aCbI-m)SYW>HM$pA83ay_~6oALT@J#NA* zPMHfy=jY(vmfVWBr{{mA6REC{>eRZ0To(Qvdk5}UfOgycP;kJMi4LHTWOs8p zl0JxMXQlNLP7$_)jX6t>B;XGS0q1W3;>d-5~{)ce8lt4pw$E zA$gnAA@MVWya-CS;^`}^V8(V06v1WR^Lvx@|G$U)FgbbYO~2YZVH_={@|F(q9KlkW zJ~-*AIV5cHbG}NmW-nr}Ohr0l3m;eO0 zsGk-MbS7kW5DY1f+Wt{Z1L%`eomH+Ha|vx3Umxz!J>D_uQzS@{qkGU-O6Sqc z;1~Bb5M_3lg4UMA-cUJ7sp*8g0oa5*m0-N`r!Wf^AfQ7HDVB@wTrEmDt!`>}&lDU9 z4}>S3erN%H_V@SC&dvaGy|Azlm&GhMKVR)M>th0M1YpeqPX&bk61@^gj9}h{@(v&w zORPCICT47GEFv7JN&_G;#B+)$vWm5!*DYu3CjIJ3(Xrh*umVrq7S97NPU-^!} z3HrB40rw^n@s}8GWHsN-W*8|Pzl5jMZ|L^urEB?$$R5?$_+asuxXWZv};{P^Rz%J0y(~Y zC7gnmLH@=_u12XT_V7|oP6^}l=g$phPrwx$5fQOVyAxajYn=9?S&W#OnIZS@gDi(? zkE?T#L(%h{3p2SPKC23K{qbE27o;|~2Yg(nq+MjbI9y~dR29a5H`$CGq)CC*pU;Y|L4`5+Nz(#v>*L3dIXO9{W}_grBl0_5asz6p zXzvUP+_G`ddy9X}@;xNjF@-r4Pk;|)zESo5m7_q1H|gi6-+E5eD}#{q?P4tTtA@90 zq~%yxJ8jrUr%}du%`&^_oBgHMeBb?M{iIjl@I7raZwB}%87W|OG`4r@^(Oo6w5hvC zpOG!EkP}T8d}3bYGh)Dg=!2nW(suR%>%c`+y21M>)`4(hU0^A^-kI+#@+6&69Ty`O z$6;;E?`T8hcM)}ts639xF&dm^oxQ7pOWuoTScpE+dZX-?iB8uS!LZm^3gissFtD)R zew2c;F%c1EdQA^(+E-VN1AOZIF+wf}bok$;^y`hHz_L87F&{6|D7WZ;tYfDHSKMch zY1as6;&k*-8qT<Q!mlu@Q=g30g(cx7eVfyBS*vJP~(fWX&y6PK;;>}M`lWRwR%~tZ}T-`YH?ag{+d_Ph+ zf87;1aVX$G|BRiNo7Aco{^t06L7@66G72L@mj-7KMcZdNas#c|vmF5^zS*Lk@Qs)JX>-c6PTrpfg! zHGucCN}5aK?7u)pUwglt1nF0qrFMqTr;%bPUJn*9V~rKREAN=OYliN9es~#4SrhM4 zX6WBDeQ_Mi>}jT{9wMKKF!K%{&f+LM_(k4plcmP)vEpZPN_tfz$H#tC!<-Jj`erxQjfw+3eFfAYes6+iXF9G1K1r1 zc8IVm>epgDQ;$YxEcUj(Xu7Yx-;F{X5xTKW zl_Xt6Ltap!Nf~|tIr%1HnW`kOUqB*mUYw6#)7HxyR;q{c*KOc~fR?rgHv_#DcHltW z=)#3th_<|w>s+ko!TDfpI_Zw|+BG}&6E<75xuwL)LRqpSoxvf)o|xQ5wFx~NG=i5?*EBjB~Y9%z=Lbl9n|qXogw1=+5x z!D@Cpj}LD(1(sY-+2O2N425h38^U2<$eM7oP9tp$Wh$^zS5aI`6-N@4e0`-d≷s zME<@Os(l`=wu)!q@=9HXLU@oqd2L@W20`Y2<6=E4je{} zoSqv5kX7|pqS{mPh8T#BxyaA6US$>ehs{4faYdvwa5(f3Yi;p+P*XFlnF?JtGvxD2 z+^?3Iw6mD~E#tD*?U0Nq75);YGv1|ZW3M&dWZ=h}4T_tjt(~K|Z?vzqR5=2ET(32& zR2^>*)@p6cFFX!d>R1vuPJ|3PYErt=V}A4*58<1oxH@*pH;nwCLV8tS8c28X^fS5< ztfSk38Xo6$;+x2sT9>lRf}_;M-&zX%?kgY4+|LisXK(mcj{H|R9<&TjXJt#T6{5rP z`rQl6PD@W84D)-zL^MH7BQo;R(T3uFtx=`bys*ALY30_HkTIEzR$4k`*V0pMTSMSta9KGL4CB+RS4EXiCBtt5!1=;1QRAtufV2d&R!Y>uO zZShmZV5c$G>6L{@-GzCBS$_TV%i{K=cJjk9vezd4d)@r^)$4B7Y4cglxOAKPvu&cC zTHhC9*`5_LRo6xYh^0mzpV}E8_iW_XmXi?Mt<>}%uAdhd9-5~v_OU4NZ=8UPppsLY zA9CUWyB&4p^s(-{9kls1v+iCuK`hOX_WKV?w|n;r;b8H|VL}zE@DF~7eO?U^i;j*) z#cQ!Y41AzhZZR!+5|RdwFO^bDZCwHY`rq3F}-F$TxihVwst?Nn&-)EvG! z=JbhI?MKE)kTsX|eM(43$j{$-4~Mken;@6m5QVU{SEgYIf7ea-LWX-=q$Zi0-I2F5 zE#1o%+PC1f^*M@gh%}{94;Pffl~3xQV$~^_^x!= z-m;C^rBVAAzu4fKl7<#mTN#3J5IG-}DshVLv2mFM#mlVt!L_!YLI@-<;ojBJ;N?m| zK>;W*!9&S=>2J&%=~mX^yG*IjaPa}G{!66A+*9pg;nG2aP57-g*5D41n#;q=%KGe? z&vvH$)mpUG-O1P%G&H9^J`cLl{Id3V^f2pUi2VzOG%>OL!`;TM5P z5}YAfgY-3RjVA1Dgr642vn~>$6uFlMO#$otRLsit^$CNHG(8p#$aADcEc5be#UwsR z?fe?eBRp8a6ev1q|I5yVi4PAEI9L0ksL;;U)GKf5@|DMrU$?}@>IfEp=i?uifCqcp z1|>Dex(T^rqltH~SA5t}U6IRz$}CFz*FLx!;Ev22aGNI{zk1s(hbtyIaq`ct8v^5~ zq$}64)c+XZ0}|kR^xs1Q#H1~g%i$I=N%;RAiHhl>lGhY`$LSV&K|LNNybb-WAR?H1-9LQ;4Sz0>=R6=}kyDUyR9R|ycVS&56c)Hol!@W-znOu7md z1qkV#Rb({i7oLX5<6+%G0^X(ws&qJE?FLLwO4M`ZGoPVTAocY2p6u-<8NsR)ll>Pa z0+24}N>UeC2%(_Y<)}IPAUqZ_^`L5jaMD-FRMyF7jEtxcH(^>&URQ%AKL3U}Ud>5# z|9S;5pF~0c0Bpe6kYz#cDlgE@=QYO1wD*GdF^J(qI+u?6?%^G$!suinBsUxhRu?M5 zK#$rI3iBh5xUT@#n1(sHHQS%Puf(Wo3tI^mwDFk;OnrFw&IJ%II$YujLC(=NEDi-evg{5Sn&B?~dgF zaUFns0a(t~#-`15ZG_`l8N^%g8HQ3AzmRvf_lHQq24uv(tBAGZp5Tds32LV40UAce zV18{gZ2}8_C0pewYQ($F?4NoTVv^LIiahOF_0N=-BeLG~I8p`%2CAx2lBroFo>W%I zLe^PN?%p54feaV_QLIS;${<$t{iPxqLLh^JOj^r=j~O``4^3VnC&W-OxqrzTHnmZ0 z6<(Hl=(hK}8C*tQrVg0?=F$G)zW3@GJaW!0Jaq?!z^DQfprWNs&bEFj+wQxt zurTOR55SWFcxg6O(;p3oy4InZ54UT;+X`WD3bl$!?FLzC50}ZQc16&w3!t!-e_Ee? zCl`DSHy9UfBFucYnJkTs9)WfFIwSNsn5O9#c_Y|x+f#1(xX&s{@9qwOCh^@w zcZc<^!hA)A#Y>pKZB2;hod?H2N0*{+$6<2rHL!71;%k~-tvbjDzdA3;&(Cky`QE=p z2uAL{0s5?fCcB)X| z@Y`*~6n{m@RDKhfYDz)7BQnVM(~gH2U=G!c}TL zRSb5+PcF1SPQg7`PKgHJhtgq0T=6MM7r?4BJQIw(A1h7qp;3@QTJ9kQFvn@Q{eYKi zYikTS2uV}G1&Oj9N92C=&%G$;w)=(Wwm;7Md+>;J4ysRiSBLFzA9ktZcs2ZSbhtpU z%runYwj8JhUPi}?tr0b-Q25pY>wzJ~Rz3nxAtf*4Dd)|M( zVhjHCi&eE}hsHgo%tSN_uU-lE!nKHmWB~{m_^2l<23tsrn> zaROu$^d$ok61TFH+v@GF1072?;gYHS##!z8w;dn(pP71YS^A7m1PzdA6y&p2M;N^cegLF^%q&xXtZ zF9P{kL@$II$bin^+b z5_*0l%KQL5jDS3z-%l{i(QU?+z;}Ep`d7L=hLo#J|HKdqK&jD`Dzjh-CM+i?4(-Zp zy6Wo@hN8`7-w+ZU;L`f7AAKENZ41&f?+&xsqee9G0P_8|;&wABzi0t^H7d~-dSt-k zX#%w2p!0Oh272Z$r>5x#MO?vDKovdle9!~-mSYHm@aNEc2RwG@DJnn#UNi;x%)*9v zDh0&mpcX@cNEmb5QUpYoHl^=xK(B?Y9E8HGM8M(U;H5$ydq%~yQiN&uM<7(eJpZK? z?;K8Di{-FYHiQZ;P}mhql;~nX2z|y!ZAtyt!G=tQ0ShoCr>O5kA1X(i7l&A|c<8`E zCF52->QjVXO`iWEvJwbT@zQ|atjQP$oBPmv`j4t&uE@B=ra$Tg51d~qMEsv1(lX== zILUCxYpHYhyaAbHR1pmpY`A|b<#WVU1xWuvU+9YByNXM+u4SRltCLk?)&^OUV? zdjNFaRT9^gMCu5Tr$GNL&k5zHbwZOyQ)a;TR-@s8PTCboZPiA#(2S}!s`FR&zSj!Y z3({7syTB9$-`i6-b!DFF=<`CK+ziT zCY`gp{pC1MGhYOqqm)e`efF!(Z&U#onLlS-ceFNZ9pBf!Uvw7y$|U-p_=T?Ey@S?f zsR!_8BVFgn$h;gQx?cAnpAgZ!Rj|}AKiz`_5evdP_$1G9UI@Y}=R8b&1B)hj4+d6| z68!o*UNE1~J(v&R2|K|?0(4?Xb{@B00|GG^0fCA_5H_tw^d1a67BPfpPH6n67Ab^Q z8Lsa+57k4xxlvbi~k zs4l#Kpu-FwX@S1dJp!`Z35XN9VMq(&khs|W??ECE(7fqi%gf=D7OZDsKKOF`xtEtd z_`9Q_uV1iWC^5hy`WuUy@-V!yvmvWbzyx5HVX)7wS2cx*uxMi$_t2e^_4B6V5pt^5 zePI5XBMR)TbVFR+-z)4Pns?k`19i!ysBUxCJ#O*aMG6YqgE@R3vR8@SgE52s*f6qM zIa4L7JFG7!Ef_aXqic2H3h-jYY_N;KM~@)l$b1dkxUDVRuB%RQx47RM@3h) zA4a_Y&m7OeM$Lx&zd&Ff1FBVz!Iau?LM?(8iA7oB9t=5{PBMerG68B4JsOxrD+m<@ zUk&Xh~e zJTI5JD-)YhM})4vUL9~B8)$Cst3G$TD-*k)gg@(`3pStwN(5P9JvAcw*pQVi`-JIl z!Ml}hg7lU*a%h4LK(^UmSxJB|eo}(5`$uZl!H?e}5s2r!ZpDt5N~1ywY8x7=Lxm== zXsS}+k+_I2+>x07ma0{<-5>&#4dp;J9CHnLjO&AFMde<;eZtIoWM(ShT!d7C8eXIqfpby z$w~6DlH*n+Kp9XEsw@Y~HSY_bL6hD<;@ zS@%=(x&x?vu`>>@qQSuCJSK){SguO)q5XSbXx>_xk_L1L6<+|a4N+2M9thuMk9pYs z7g<2sGO&|L7j9QeC=MItKN|Oh)szS83H7%h{6KnFZOqucYyr<*Bz^~S$faSl84b0M zFT=zaU%Wbzz$qAOSmqtw74h)vm8hT+Sfvudwmm$Q znAKRUiQNY$vL5X8dO4^@?5>yr6 zOLFfB2@u2F0nj5vP!I^*CGHcj8zq%ECf&akEEYKF_$q2s;7G%RUGTJdTYa5|(i=Q4 zyjv}R+!TUUs(!eDqQg+c9XbBD=9;6YTOVVEAmoq>!fH#2$8`qWJt@O#a?GZ%Pc;Fv z=HT0UswM6cvdosG6<{R|7~sg0ORk%U+!ctNc)ZXM(m7E;X-aNYhcP86LK1x9VE4WU z!3UJIRO~99#Jo#~YKQ5zRWvJkFhv+4r>{foU4h7X)hJ#L^iCik10LU~M&!Sb5BTko zshGHr7ZT81T64q`RtQj1iH~Dq=X(!Q1`Nfd*2t5D#nt1Nkrab0JR7UZ? zy9jeby_R(#wmv{?5tUZ9!k`x6Y$kmC6y`Aw(BZp{)4%$l)J`l_iz*xp>^JZYTKY}R zD=13vs1UTxkS5*|TN0(jx9Qox4N0HG908AmfuUh|LiYH@hTU@fUv%@j?#sAh2b^?_Up^=$Hen*JiVP`6BjbvqIf!@*5id!5H z3JQvkCk!YN5fKUX1lbkc-&93)SvYxp?m=RK#9H=rD~&?g`D)YVwhA;WQ?P0UBL3JN z-aE697IaIoaRXmr11w_%ze*kziajb>7`R9f1~TAvM2nb&c|)C*$rRpXOmJRv0kg~E zduH&VY|}Wc$T1O2BydNn7xktO6QQgEhgN7IDezo?YopvVg6m50Z{mVO_=c^GpKNX) zh(M&kFMK@WyZhMn>tMno^YU^BC;HghAtDz_f5xRk3041Evt_a?3KoL_S z`w%qUK|_NfW%7K`0(Nu9U1?FmIROS#2RYU{E`7wlVJV!U^d!H+$ech#9cOqoJ=?Y@pmW`;o9Ocrft54)L7A6di1% z-!(*Anr($#fZw8C2<8EyE)!4!k)7^mkb=M&0u~W~5<%b)ewUEu{=Wldh`k!b0VVOV zZMTl0=#Zzy!ORW&T@fh16nNRBX()l9RV?VIhaji|u`CnsJsKg0QW~}DA?PG?kXxm} z`H}r8r) z0I_pI@4uU83b^Yq;o#`9irj;71y1r-=GN&Xlu>uMoCxL<74!v^z$Ua~5rLv*EOnI= z0t{@KB=BC8_Jb<5pk$&o=VXi)R*?bh^NgtB(>##|M}O8Us=huM0)T`@CZHOtKQZpiUtJxn1Vv*HbTIR~oNOR(MqGGS@QsH#~o}P7W>%MUONhIuCI|P8{P=4-XEGk9WBV zrnpiPXyVMWJGni&rUwTvPRCQysxW3h5N%)2z1bmam5gDC3fr=vdWf*Qw|C6x!dzo3 zT5h3W-4R`kh)W%EJwr$+0a>pWSKjRUIkk9J}U;lZc?5~7JbjF+E4-O{!zyEqA;K=AG&|C2X&)q4WaOY(Z*Zlm(+O|VU zVipPg`jD&Z?AdAJ%Gzp4=8_X1#|#nrYN=x{A!0ztTIzt3r|WQ~f`q%fJMYw$!sl2c z#;JPeEz#G)842mZ)#)nNzkT+*M0JFX6NCNJC(%Lk>r~rL2{suL%1iee-s$_Y!zZgn z)qA;gY!uuil;jM*S!IE>_~Xw; z%w$aiMMyskZQ}`N(nYEz4vQ-%S~?ziqFRn;dX1GlpEjx}9p9U`UUMe7)~1y8dk|+e zTYSRDAvZfTu)OCz5hn4|4@cy!zvR@Z(kQ`_fPf&cGx&rX{du0&YVL41 zq|?Zo@?yQVhWE1>&r*NZrtwb0*jlCU%=zYh3;xujt@|JD%h=n;>}9rmFSxo+;)_Yj z#9e6P992~t85kB|&S?+e^q|QTq*}KjJ+8ZWDJxU_R+pG@c?_n$EueRqcWLizJ*V#j zKcz<1d5Vyp=@?9cZA`Q;wak|H^p04{x8p;z^9j?Ma44 zUl)bC3*IKOwT+UMzb`vp6kz3 z&u@a?-*9Q6prC}`m=?HVP0rC~$yhVb+T~r1(8~Wj=BMH4dF9xL6AHcI|bt`H6qZkeyx20JB z+-pi8L@_nq7(6^N@*{FY{gMzDc|yxP?Iy?S@F?hu@qlnp+)F;jFYPOC{Je82@`F>O z0z;#!PICoDGSzSOP2W}&|Jqu3bAMpexb^vL(;B%@-LoElevLeDpZQhw%JV&|Eg~S>ySaIgx9UBV=T7 z{YD11R>0a4FJ>#hHxz*mJ%fg8)k;uQBze34{L`j8k*j@>OnTh$M>6po^Bdg#sybfmhTx^txz^yqAanZGCK0( zEiQ!2a>};Y}ZhYLa{#S%Gioa*xchgG%>XfeDotJiDbFNQqcU& zSf#T1B!FZ=G><^Q_E1p$D67*b(2;1*CVPAMXyz;KI#Ebv&5`f<6jMTC$rA3H>EG8A zT~`*`XW@YhpQR)wJ5dREHC0m=OpG%ScX_|5jtpzzoMf&9#GH!_Oz4-pTNrNnp|5eb z2P1HzM`Tx1mXGrba0Gwa4)CrzyxJBz59^IBB6E|aTqTP1jNK=zl}nW@2ozW*h?~vO z;pdogTJ^H*Jyo=soA+8Z$F0~De);y4|71=rph2iE{kL7%giY*Pro+MhmiDGPX~9Ju zrU(+!J7@HZTG!jqPXpC1w`h7V=fR{Xb4_|^KSEPh{_yX!CfH{r5!9$7D){BSf>J z^1Y1S5W)q79D+x72N{H{bTOYeJy`QStlnm{Op-a-Row8CXBt zt8VRO2%I;!G8S@IQL$g{U+C{la<=w=+bT=M^;Ml*A@T8yIL}qhR2ELM(@XPLpsZtl z{`jC>LN@h@gl;tdRvxW@^^i)>R^HmTgE*v)i%&ImK@$!7MHy^eF?jrFJp`Panv%K?pj*c;M(ScZ%-C$z1OpGyQ%g! zYD&x-wNA0y+%{wNX6AGqmI)VyVU3Ma5=;!)($!3nP9p-$0k3bi}w3%PDF${o4DIUBI~0ax-4Ld290FM9&vK8T#bvx zrk@8nRjC~H9Di$djsLS3YzTRmyP4Keo{2kQ=ududu2EtxI=E$DT~v#t*I{#9yQhL zb1)}W*Qu<0d_2`mJPsWvgF|@jo`5~vHGC+;hid?frxTKu(nj&LH6ig3q7HA#HHu^$ zPzrJD;h=K2sZip7HeKaZXsA_O89iR3&vrvt{0tac-DUY-*V>`y zp7~&Eli>P&t(z(}yNXoP9p#Q63G#!&Ehaj;95&?aMhOJ04-Is!jIFG^@_RFPA4QkP zB@hH4A0BgKpy59D+}6}p)y$K=K2l64oXY2+cC=8(bpAOwD!?R3$Y)E#TqwA#M9J1o zIW>JL=O-#Ak$@N&&hn9xTM7tmn5|y_5kUf6S9B8lO%o9T>Zgy0k^INbeyeZ2vj;_L zg2@@g=Hj_69rKZZ2&q2V%G&3%+Fe}R1r-hgmca!j2Ln#}iR**4L}v+)J_db6=3ZW~ z=GC`S#|f5#mCYW}^3KZT?QQ~HLIf4F`lpv$ zsxGJbxg7R2P25{wsI+^d1|4?3Em<&Wl;Cp@-e5<6iiHu!^;|$F2G@gvla!tPXYXWs z$=Uk=4|kQkTXh;9ly>w6`ogIbJDvl-rD&!lW?`W%t37k(=g`!(^K;Y5-TAeJtc8$u z_*>0#C;g1-tSpnv#zSM7mwFbm+CnDXeZS=GzT@{R;;f!$Zm()-vd(JhgoMS8@|j0n z4s9K+3Tk*bI5^7;?g~n1eP+l;IVl-ow_E=Z?_iTsmgZ0?rR93{?rlLi9U}*&Kz&lO zT)lyEp1;x{Z{Mu^_gIBj@+3x^3^Oh7Yu#+tBPSk}abPWW5IZk$OjReN_kbrx%(Wmqzwm~U10 z5J&ljqp-`CX+~FXdwVyd$%aOJKzyv}fPHAJj$(hk-SqO(G7^$=h!Dw3@-5`>%5knz zdYd@f>370|YQud=_4yZ$7G=3V6wC3k*%p}l^QvW9{Qha|s9@ostk65Rxu`pQcAlDPy`{L|j2<4B^YqCu z`PF>g@@38Usj183c%}5u8$32io&gOEc1-V>u%Ir`_*h1Edh)tyDs?d#KNLLSsKe-= z+9kQ+aB}Wlwt&-`=NebH&XdbNgKD;Vi%ApQV$yfQWr5jr+aLnwq5o=`A^CmKMV##E z=3qDb+5|(~qE8$r!O6H-Io-^%-Qn}uZ_G`b#myW2ecu3f@$HjKB>G*jE4w^R(P9xZ z=1axuYQ=YwD-}%YauP!qi%cq-?BO_^y2jYDDyICTjbb?sODp>r9T2an^Ab6#hgy}A zjylB4iwvL-1bZ3g)BI2~S!&6&Mu1SG3^jP$9CpRe(=O2nZif z3qVotV#L}U83vXPAjBHKQewoRV71|IUmsz?7~O^%2m9qcbfCcW@cij92tejk0mPxl z9I5SncM+QdhGZW^8q@#|)8n%EZQK%S5r*q*E+EvH4cMc+S2@)IwTMVsY+N)*9-x$i zZ?bXqb0}PFGEY325&&n>fGKakG4!L~b$rLjJ47CMdu0P`PHXF0seO<8C;ktzLyO)QD zr|_&N+y z=tkA0A|%Abw$#jVu%1xC_e*yo^NHRCz-C_Iih~$`LGbO4RyAB*uCHjT5^hwl&&nAO zwJ&qmh7K#QVY(OUSFb(!PsT+)zcXmxCA#4j;5Co`kyW%`2AX(gS-Nke;ah<6e714b zc<%(y<8ezJ>*jKXYU%x=OPX$3csMPGc5)SMkIL5hCcn}eUfw$Qv!#LksBytu%Y?Xa z`zyyf+ve2By3@-8Kf-6Bo-0||d1uGvo>raopgFnU62;Eq^5T@$R_Q=fCu8k1w0U3WdG}5iL_WWEKl=18H}_=P{bX20+j&b#rCC%W-=biR916gTWoTDL2SFFi z0y)_DUA}>frO&SQ=iM`(8MMyNI>>8v>h)pxH^GSzkw$YlRH~vX7F6uGn8?GGF&-iZkPU;iB zM=|l1oCkHW&7RlpyhP34nn?Au)7SrvT7MCjLn|;7AD^ufLeAVtw@^FJGwZzO`*?YI zS-Na|O8Blw?=G)D9}xy;7j6^HJN+jq`PQ-IGTWj~`%j(o79aoey}puC`>Wa7(v{CT zk#9qN;deYYLE>vQ<+>ipVT_hxnMmEKwFja-bCo!X7OiHQm9VD&Rv zsFNM;i<=FCC>Rh)iMi;U?{&2Kkqu_wJq`UF4|8j?{aM1Ir%!UtT=_j;-vrJkfTM5F$!~FvD zD&8J}otpuU^LmGIBLSUCr^B6IM(J2iEwvUNXNroOs&|9!Cin!^ysi8v!Jex> zwjJvh*0wEFYeBtI;npNMUT00#_i}Sp21l&GhEUI?M@CnoyE@m0>D0-q?`lxZ#O6jK zB8X;egQbr;;6HVC3RDwEx%H{)molsg?EPwM`)IAgUMY7s;QD_Nc(6#n58KaGv>$s8 zzDu}rJ1K)jY(9f~E1vZ39os=hv_B0E&Gu;{>NEE1-5J-($%#XHR02+7+q8YXNe&%P z_vWfk;<|_ny#fbajGo6V<3yF7zj`g3M~>?Au)UXFpZOFq^y|28+4k}#51SgcpZ@w~ z33}nFkseGV?(I?Vl-Q8mCE!@c&o9I1f`W)JVr09Ei4>cAI!`al87n;ZHvA(!r}!QF zqpOLYZmlZna}s$ThHO8Z-*J%yR#)>ATqGT|U0%pahQ>vrGIyp{8F<;)o4HeIKPTPrgaWe5 z>e!Rvfzqq=`hl)`?2wau(4~d?^!kL+)ng6ckEs0SAhnIslZ}Ph^kDw*bkn$q z`*oJUdmJ@Ye*iD=b;rAiE3QuD?jbD@hQpsG_3~l^CqQ?+-1}3*V+a^B*qfW1+e@XA6Gp z)mBMBdB+dw>>uCj_3S{ab3Ev-ciMk>hP8V%s(G+h=bqiFpAD@V%d+}b;bCCSsdW7j z=v*h`Bi0<-%cliA`;Dkodp-mDtZaMq`n~CQWaHzbpGBbyQIIb%Xn6Wz9z{&{evtxW$YLw3bVW3;yrtwf>z5qNp2+Hm=>1)rSD zWB2-kmXPhzv>bs>V{>cmY%e*OAr570!)y#*inL&xMs-vR_%U_*)MR|q1$h{bP%ew4 zqS;}>TlKy z$4n8SRD&`$-W5n?LH^4!VJe(6)Tf?JP()S{NA_Q7!N-SOO2RLe+kpOp!p5KjnRhLBJUT-N9(+Z$t5m_JnIz zMvfEji=D`eP+yIhSQXS(3Mr_F>pS`Qenbeun6ls+0=Uv0GL&WpLQz1s&y@!ytb zjA98l4p8SE955BOfq^mA9%DDYH|sYOhCs2O{SKMEGMO2Ae5B4*l9rZsv0bM@p}N#m z268{nXJ$N4v=E~(@Ktmkn*llW+HqOJj*g8mzX-0sqNin15Uy%R$fn?xfwud5a1?b^;X<3T$4ftDssZEW>E+d#mbZg`w^zxcC9*;I1Jo&g1)>f_dK@k z)DjUR2O&O-w`pX2wz(4BbdlM*TT_K10xw;3Z#+agK^BQWk&~cJ;j`}0-}+59mBk?O zq-=70ywZK|p$d?jmyM3sbMV<@R&sK^WT<@4s_7|(!Lz+j9C#>bB>9)=s82cS&xfNR zmSj*@L1gl$jzD8r!vaDjYiSt~Ivvj4T!&sn)>)r^*3r2<^P5~@eCU3d9%kl-F5lk@ z&s*btL5!*0a zk%MD`8@$tHanYBL1%h6`Ml({ z7J;{6nfh{$AsyZVMf%vC zz^LBI?$yx#(2oH!Stt_iU?aTkR5^v-3Q{&vf8|rF}&` z%gCsGBvl8WRZ}xHIDHjW@2RJkIQV`FiY@J#Sw<|H853i+dY4b}fp=K)k0oXQ$?_yFfB~5e z?N#6YPCNvfoVleVxpn$xA>03YbOrUQ{wDK=>$IMK<=9dugWE+|L4(L@f&SuV=jNT$ z3s0k)nQ4Y>$0IVdmgda{cX{} zgly%Jj>}&2Y}F>SV|nT`1|&mV+#}oW@_PEXHtN;^)w~tAE4ES1>u$^2 zOWl6fLNfk|!i<^BZ#+S+9VVBB`(oL@}NP22& zwDvpewY@j32DAhFz;`xOR%alhS5s9!**j)npkXflapoTdH`B1eE%SFevS33F065&H zo0CBbCOO36a|3hL`CQ*`In;=!yfv2#+9f->M*$5Hr@wy4p?rI4r=x9QY*n$ka8+xj z!>@k+bii0Pp3{1$S1!+axO_dL|mkxNFLOH)kfDNHlQ)RK5lOg4)Et6T9(2Q0ZB0342CM?b z=~bCk{R26aHrQiGw&?+7*CqxLbRf0Z0~d8vWp z#l7)TJkaX{z(xr!?~Oa3Ko0_)6nj@PRr%PSk%=_~00>Riu3)nu8+tF3NltGtf$+Wt zkfnIDW;Gu)MMm@RaS0%@Gyv=yxS!rX5%A@+}}|-fJS}hp?K`4Q{Y` zd3g?nd(2M{AfVSLcq#_Nr;_Av>fL&_#-$UVK7ESgaRl$EeFKjl4G*(%a5&o8fevto zCnu=L$brB)2o8>=mSx2Y%o!LSCg192T_6DrYOsM}K|jqcpP`|mdV-4^LP=#ogZ|{n zldmecXORGe`>X7PSU0s{ceem54CK+%+Ny&~6aj3P#N6ejo3o?rjORicAq?WhIxZdA zAK_erP5DAcLqiIgOiD2V8pObm}-6*s<8&wC|wQ-P&uL^S2*!SGJN&k^^AY|EqSP`R(B_P|i z8X&B>9uDas&HPsSZvVl&MKi+>%|Rj@9XM~XPIYh5|Ln}mYZ!dmX=Zb~tLa++SfF|k z=KnrL1l*aHbYTanxDh}$Wq5Up!7%>}-EfnCyhDfo0h<~ztG*X01i4EJu^{f{cdy22m>vph5&8DufumM>uT zj?#f){+YNy9enC6BP#{2Q?X!}bt6aaKbwU`V*)vgWg0Jg{r=)EX+gk>8P5M?1woY_ zd|E@14suDyWWX>-#XJOmGx={i1AUa6Vg%|YbSuHgzYf?w|BsHaIUt2vLpyBnF9!q+ zb3Pu2@_(O716X-Wd7v1GRRKtCH~)PWBlgc`!O+TpdjI1y80KnI7XJS}r2v%wAA1XY z(Va|9O(#|<>SLVN4gTSkEEN+AUnvvEmW#mPV2!HA;R=;fVCNJa-Y#C?|HJ(;0Z1q9 z&#vF^z-xe@k3(i=W?|v$ZEy;th$GS^O$u5Ls6PL#s;wX}TV0AChBw=9>~|7!JQ*9r zJPhbugNBvZP2L(gMLWC~5QZNIB?|xJ{}>BIsw~{-XI)+0&!0cRO1%Uf3KljnIB;Kp(+*a&{FdtfB?djD^ViPqt`%sSA}T8C>|8mO z5F5)vn085n)SDo{D5Q$9*Vp$oN58!j`Q2Zfe5#%!p8A16Cs70X zPG)CIg(fQyIgUHyeoi@!iNTY)W(=zjY;0@{7PvkI?XTnyo^b)1pkZMh_Dc}8N4suZ zkH;R8K=4Pa{;tsg=y3#P1HfIu6PD3PeWs<$tqf|aGZx`3$jHb=KiZ8HasDzQoOPgF z#kp4j!}~1V-N;#SCW;;)7xOu>aCYdN*#{%_D};~UZQwQBb|Lq<=3D zFY@;|o3=EZCMjsGsm6iygtWlbKt*|}FS+^?;f&g88?Edand|khSaL&|H?H^9Ey_6| zos0R`*i&pMwVH*ZGfm}-H=H7{5%bk8V}^60x}%)mK=~T%qgzDFxmT0HiCj-voAVKV z>28oF0WB}DW=|p+oW_2U6#lI`>IY|R8$GUaxGA{)HMs0av4}zTE z+H;->^)84lX-V=Gz!ZS83A_afXU@Ym1l!VIAUr)fWVboTBS=(_y$xr|a&ze^D8$+ImOLb3BZ@O$HfDE9MckghAdpQ@ z0nt#q$Jw@iJHm%c>Z02udrX)m(t>Bol@WEC!{c2Z4kyTwO68G?Wh3Q>JzaUusjDL+ zBa4d$qy=P;t3X8(a2bHom4;R!g{nc2&YPp*#c2YGQEH%=!z*K4ug-ksyaF8Y|RN!CTU+q*Yo{H4wPv8@^qc=-Ux zWO44>zL*`pCu}{li=D*b#FZoAfOEN<0A~Y7(^OhaIIea&7bM)2zcD+mWKeT{zBO9? zB2qyI#Z2GM!2JJX?=67p+Lm?UAPE*60tAA)ySoN=cUic*6G#Zb-7N%nx8UyX4#C~s z-Xv%5v-dskR=r!lRQ-R|POZwC%r#|LkM8mHH@Xd?0~T&Z8>Aqo9c!3tF0c@-mhQEX z-L(nd1Ng=S3^|(brHR16(BTCPHi+e}!pPel(E{h_7QTDtCK%h{7^%kxCjX7wSd zY9tJZ10yNefWtClAnZkP6uw3aZmq2Dnu8G!6hDhjoZ@9SKJ)L`X#e`_BF57pmn>0B zvPJGqo++fatJs=_3Fml_$J3efSVu60T64sXzhQBY-~L8ew*J*+)XD*cmt~Wlg_%w1 z9(Z+XDfH5kTv9?pLQD#E4;x97o{@KROD1D(p1X6XArl{4RC?+dLlx)Va6D;GRy=cQ z`SP203sm4EDjkB+wpNF3C#{-+Txlrs`k%6Q)hwkq8Hi#P2&iUxbu0mSOfI~GG zNsb(Rpt0C9&=XjaibKxr3?q(MKd<{filJV@n~&B*&c(%6ndKdkb>Ema0=oMCa8 z4TT?4yIxEYCO&(yIe%~be%TfuPgv$zKYpd{|J6;Wo@nU8P34HMF>}=>m$M(1)B8-K z?P_!LYgI!%opFT^WXW(4f^46esb$QF0t;)kG!1nKyc0|9Tj`=F z>;yW=z#(#{C}?gz_to^4#@!r%ly$=6c6Re5%jbSM(K?my?KYVFaJ)P7bTT_(jjfRg z-AF+DvX4d)8W;`L8pRtcfX!HqROR4smgxfwp|em~q0+|ZYRz=d+8-qy8Q?Jn!+BX1oH zAn95sBiAuJj{>)JE7WoK;eB6@JwSZwAwN;Rq|a+!Z6tRclOfd1Ts)mVj;A-NTYl_` z^7A=!Kb6&?a_>Ex+t`F`dX3D^Mcc6Fr`W!gZLG$v;9&nLce9_s0d?IFRgr0+$5e-_ zkmVs%N;V{1R%&o>exK0R*loYjS(G=0rTU6QEbd;Xw&Kd`8IdtW zbIZEY;$kmTOS8ra)XWZ|2{?!7)WgFjA=GZJ;#6F7Albn#9>aq=;q~U?-y-E%^54rm|}ClVxeSv$WxLw2w>6uVz)e=R!?qyQWj2?(5Cs)uJM5!jnDN zXcC~AKXt&WeekW;9-7+CH)}Hd>Y3>S8Wman@m1&c>b7L-Y-2K|+)Nvy&)cqiO@0iS zpbZ(l_%T@8B-z5ggJ{@aOxRy6C&YXkJKn^1!5mglNbwEnTZaA{mYxeQI~7iFj|~J9 zV&-PgoQ6WH6GR)d<>v|la18Fo%$udkrOPH%bm?^~cp)1caf?i%71U5M4g(a_RCJZYvFEW2vtZTMSOkrZnvc9jgJ_ zBHS{fO>u9aEKPJKd#;}krwdzrMwZxou6tT2Eb!uug;$l^sR47E1s0H#%@9yN>c+vQlXSY<_J&Ap zDyJiCeQc@BF)`wU+EO6YZ=uUU8 zqlpo#mAQU0+e)l^WL_*@OG1Xxl}r;$PX7AkX7=F4In@VRrk2~QH$~3Q!c6qpsnO6W z@{8P)@@_|3Qrn-H=US!Tm&_Txeokr`+P_j?fu#3Y1PyIamPEH(QW5)=P54eNVie`V%8#ETT#;37bJSq zMMQ(eLbQ9UO?OP?akJ?s7DD?I#IEjs`Qd&9d}gcLzbkvM;9FEwlCgHL6~#!9IAtUk zL@@}gM@HERVQ|>|J~_y5xYKllCuGidr?src?(l&Bygp)d`P41(>O*2oC!200@&~k( zQ69$p)YSapP{iPol~zq$QJ?pKD|)Ui3aaE*$8%v1HGRAPyh7t%lvI>iz~=F6ShtDx z_|nL=`Np442Ukgpje{X_^(kD^<_1*jtY1aC_Y>Qi9YvFsmt$^8X=$D>(#QQ89`P~9 z+fIYypowqsdIq9`7I}6j&mIE|a+2!19Aq3FeL-R2!0@n+Dl2pI#&;hDGpFR+xZB%X z#@xYc!r~Hob-<#4W-n^pB0L%VLP|$OK}wKa?cy35|0eM@uj=S16AKFm>v$>}YKm?y zoJ4eo7l_1-@fZWuZOc;WY@=OJUBcB_y4H>?G&>PFgCP>R{O~hIih%i|aq;~x%W&wF z`G;P~+ZN8*(Pg&sbKNc*(Tl#o(Q9tqRc-otxhWU&tS+L)DXtP1ex|#FqaXLqXl_~X zHI6c!!fd@zzIgNUWlTRxcRk}h-_71on|hVSz6n2|^xidfaPRo2pt10LT_Z9RRs$%J zfnY9dbh_=R&8>}?sjB`pQ|++U#~~8^wOvU8J9Bnk>MEfU{~Ya*R>MjMR0x>Jwmy!o z!_RkfIvmKHq?t-=4wSW#S9?1*7oko28M+So0=oeFx?uTfDVYd+)5dwTIGg!0bSCZg zaXoyg`fz_C%~fUCR>sa_Ee%R%rsa@nF6y+E#zk3*uCw`iGF-=zO~dVKh^(|_yY`v; zz*){3@4)@;7q(Bfx*GdEXZmYa!C$oC(0R)I8PL>Zx$p=GqN1V_&&H;knVcM5q2;^V znp|%NnWF=MEcG59PTP$fmf1bOEfeS>q**PR;!gT|3}^Qu~(n&RPVe&;X~#Zs=~&#*7dPe-AI ziC12iTH((6M+f7PJ`={E#_OQ$bSUk`A)xhgvACx(HH72y=#k+g9 zAQfH40c2xeCo(}*ZCk=B{da1Fwyv&UpL&O65y*tUIMIr&PRnaEPRoSFp>KQ*2-r-s ziM;E$u~+M`QXYem+<*Vfpl+|b3*Gqr!)-cLsZSe~OV_ZJ6B@VUdCgLf;`=1c39l!+ z`;jM7JanLAPM4up#)(4MviTgho2HoH!04VX!aYW?ig}yP$Ec2P4_B6#8eD*A_8wQw z+itA#DjXe~Wg#JT!M`;aIk;phzOEh`u)tl(w(eGGE!w8SoSj`D2@4H|&XNS~jM!=y$FJMI_Q{jU@pp$x zHnaJoC0#J>apM=im6S}^;kpK%@K4HZBDT~d`po&*KoJj$K>939gO1rvh^&}%!r2^%UTN@ zHRm0_?sX|=(dSmwmmX(_J=a~SnjRfp>Rq&C)raIvwa(M8Vz`M{cpE+23a83vTmv6X zd`ZAfRCY*x;Mw4a%2XOI;u+_aosNo*5Wg?(1dSqm@Fgh@@V31L&D`cH?TPX5G3l&~ zjw{$cbW0v&bj!eUP>T09zmloEBtUbX>L)y$|ih;-aUJg^ioEM@#o&Ehmtr z0f-!UDxe2d>NLj#tRnRMKd~UIJfxYyQm9qN73h)oICqham$y8X|^n(N=4Gsuf~(=g6zTjX(- zIL9Yh;xtS0&2iyFtz8d7$E$Mk%SPWP67fbbOlnP?ZS9_KhlFTq zsJ3*T`Jku2o<8jN?4Ofu@!6+wv0At~>2O(gUMTi?o=My-pXTGSzm%@Eex4ffe!d8| zzF!_mj}##J;CglCp`#tt8k&E-eD>Kuy!PA>cjVD{fu;80CcdTlxs9ydoP~)9euT$) zp~i~uVa~?eyJrc}6D5IYfxY^i4e@#J(F+L?)`gCPR^e{Dt@W{ULitZl<|U>dZ$Lj7nhU_7o4Hgiwb+|=y(g58Cr;W zcr47$-ZVNFQ@k zh%aO-&?TJj7g;?lMdNk&ZtmmSAZvP_EVj4It?@iP_H)K_-wOqp-`HAg>+T{|VQX7- z&Wco*u*LSAaZ5bUs@w6Jv}-yl?@UT}n<;KiYX@|%3T`)(MG%`5E&?D}O7Ew5ni_=1 z3kk;ih08k4;plupYLZ8@9N^cNms2Wns?)5!PR$#n@vowp+H$(TLSd{>rYF9~#>CV~ znoF55e{<)g8_CSaHM_t^(&J&B(<0kUk=JCSw@Z9mp3%0(;H9QDDiexm9yg=Ck6Bv2 zNQWCZQOUgoJ?|uQ}c5O4%u>>mV&6z5PCuxhVnKa^621aFGnY z_>mK#OY-x@KYlC|CFkI{eYicB0^@Sc7&!W4ogCB}B}R-zLrSdu(cH?)%-TB6MWWcP zxZFq^>pK7wpd3jaO*Mp1wKx>%9|w+jFJG1V+^coSlxpW5(vbGK^f;(9n=wt?VL)VPkK^@_q@cQPZPR6LNW8 zKWUK@d6pOsa0&tOXaF!qfd(KpNlHf7?y=<(>wW>kc@n1_ z0tBw0P<_FToC;N5;0#n4@(;e*t=uW8yM3&nGDa|f^{&jj?&N(V6d z-U1FNE#()?re;HA<#YkbH?TGAS+yS*0Qxsy{LTvV;vuooiJOoK5fKqlQ7Gya)42UY z_U$2B&k{-ENTkm{&GiTCfJid%0Q7X-TT;|dkO&?Zow7O6Jbd$Ca5^7Sw#r5uYv=w4 z-O@&9fT^-qx?Vm#(Bwcv29D~Rx=$C^1b9ZyU+7kMGZMGl-#mASn3$M=u#GG%%Cu$p zr4*k(e?FrKA0C#ew~g~OS9^}2Ub|D>f_sbm;!(lTQKKvoO3&LbXD0d1~>$0^V2N!UWDc6!h$CJ2JuW!II=r$rH=MKB)Q$Its_#0wVsKO z01N*5`s`pft^ooa3%*T4ZGg2I_kc&{gMEfkVY9-UZ$7;@R3A4pGvjmCM<%Sd&1rrB zgkng*C^LbKn?Z0hi?F211*icv{VWy0P8r}|ULJWpQA__6-ev*xxZcuUdsIBZ{BM7P zWibHB_6gX7;pC@-G)D7n&Oki&w`TPv{zqKyIn#-6rbJd>kmLc6D?MdL9UuESTZMeo z7bw}}@((C^rCnW*;kPTFCbCIv;>M+&hFKlVR!_t> z?8$n2)+YFZOuD_^;4DGGBZhA1mR>H14Q4L!U?&C-$a47z*?gSs$CWnFa9;13`|QSg z{1gv+ixZ=ikmCAO@PLNzNJN{R*$f{ZZgu6WQJsuFF$8suN?J z%Yy|KHcKVbzLX!=u6*UK^?*7Ot!-tL2q}BQYn8mOxtc(XH6XIsZ2z|NOPsP7TcEmi z@M5xkv1M%n5~`~4ReujW)cEl)pC9>)NAORd zpI$NaB49F1YuYft6?G1PdHvyqe+6!iL@&IWl9FmZix*M@jbX{l_^Cz9v> z1;;2KzMIw0E-PLx$IDF~KrXE&k87^vpG}Wf3#D|+8!#u2*DLY7Lo2)wCqng@mnGT_ z7Z&0u+h6~vC!mS7z!ktGHpyACfFO229>qcMeLgs9r<@eH;ui9%!Pf&$88e}+XIMOm zTyfQPFa64j93eSTTV37fMsYTsLagiNTu@RHC;6_ox(pT$Zu9IlkTuxM%nV5C29w-G zLP|^c!l9Q9u z)9E%>ZqK)~dt($7VPz<|vTtJ8sr~a3p{cRz^Ya5AETWUrU&7MiK z_^Z=3@$t_|?W5r(cgbI8#Y8Gd?VXoOK}sf)VRS|LDfY_%R7)*7$rZJEhnMcNB*8y! z?{f%V-1xxZrZB#(+gET0O^w=i)}7kuMJ8(yCAB$c>AISTp!QwH_tCpU%Nn zOY^c_dqOnpcDVQlQ{_Tu!Hli{Zg z%APXcoWBHQ(B91SHl|!Flb<)0U^~5cs(b?l@=jL2H;{aK@vY*>*0EY8%=Djx2we>1B9{@;_e+ol$3nx86w#4WP!H zf+{u|7B;Y?XydwoZE}B348BgUvm9f<6-sI-XS8A;sQj~epZhI?2kUo;%9>$W6m!l1 zp2Ul$*+a8TfQ#Fvx<&iSZPzyuF9rL%-Truvo;H}*SuCSMkT^$^+*xT%JmZw$lX70# zZ?zHu#77)xxvSUfz#6m93F6l6yRPm-jpv0MILBoBcS*n?2jO<2OJgFbNPRBPTNE4^ zUpHphr*;E%aR6IKvt*~xSk8%N+uxw!>TADar-Y=EKW$gpIED;mc+!XMJDgyT8ASmH2xp@useLbN5>OL&F$l>eWjvKM{cMAMd0W zZRmDPU;s4LfA?&eT&tW^2{|J=C202@@VYWly)Gv7mVZxpfcMS}%wkXBZ{76Q&HtB< z?%^Ju7vBHCf(2O{IXK#b^sQcwYz)j{8Cdb@@qZsMF|x5R|NAt@AE&vw@#&;M)+Uao z_>A%U-&o($;Wx5A(!|y@ib9A_a`E0B27jFEBRZsfp!g>_@ULG;orPbE zq9IeL7%8=ai3JcEilD)L_A{7)IYW}`Zj%=l*<1>-fH^K^IbTkC>``Bt+pe9NGdcE} z`T0uj!4I^`7=jvD>zc7Kq}Yux_~b(qPhw);$L`9j zBic_!4GYvQa-je7A)a(2#g|6)9Ze(d`fySk|q$6roLi%P(;`C?$s5sM)HTs=2+!dRaS5KH`j_}kMUofy?#}- zoN|u))lw&_^VeH4xZr~WO9!xY3tFljw)=EHoBo!s9M_2hNB1gRIT(DtMB?a7Ad$_S z+#E^a!NIo(@gqi$*GSLnt-v;cz7Fb?^j3^h{~cxdofw!efu;@q+`eiNv_`OBe5TfX zFj>ifOqy4tN_C-nDb|I+gFpm?xT=0eyp}{v>;Vm;cemGeiGw{{n{o; z54P-U2JLr(g#JUIAmUvXVxr(Q61E1kn~;DUI~qinP+$a76#wlgX&xr!=Yk9&Ii3q5 z$M-*>wLjl|hZ@Cae)9z8dXRi2|pR>bEF!-||7O4F&L z7p|vS7GDlAtAD_SgV588^$W+=yAD%}(VC?MvKDbBq~X(k2mBi8scR$UGTN~pVw=N> zfhR#TtPhS4?n*dbcMDkrj0U8IA0j~&TW6r{n9AAV%@Y z#gLPt$x7ge2+qlHNYwMY@w*9SE5PSjPpTCp{7lu5Z4&m9@S+ooW{N+LU+*i9E{wE@ z-H-Pmk&T&&cZt`fO()YO@R4}Rd8h-IZ{u%M^vx_&$q-$uYUlA67fJdNYw*n=$so|+ z^DmYzd6LE`->6y?*?j)pvT>4Zg7<2-?Rnk?Q^PqY94`OUt_Y={(T^D#eO&2gA z5|HL5`6kZh`_0OJg*WZ=dN)i8SlJ=bAq^qn=geF6BkLmztfgi;&y5tZx0KeDp0R|n z#<5_r;RRC4HdAO*sRdRAvXk`Yq~`kObLRI`w1uG~xg#!VBdNN~&l?W`du2ZZ-Rthm zFFW>l_M+b>y>EVx^LwBqdKvXb7Tv8MX^m(@bX{#TCY2Xr*ERO<2Bl|0x1Bq!I+td7<{sU?I4dq2`c5W{8qPx+51b=kC zTRNXP*}j{(mxW}9EP~mA&4Lt#RDt4!V}wC@?F8A;2G-W#hetQAORP2d4qWg-$l0G8 zhUKj``kezNEj4oy>496Fva7eN3nYz!h_Fy+!^iO6@m{gu(07vWTtxfCx0*s(k}`h=h#)Qt@R>IKERm z&``WY99?`JTvniZ)u}@F%y%O{CL$)2f+>G2f5_}h)lJoeAc=lZ8I?8@4_Omk2XiL9 z(9eFesUv07AN*sHQsfTm#tjK!Pg0j3Lx__}KC8o3npZ5Fh=J1kz-wDE6N&(ORgNanWx3xpvvSA8v(j^>OZGKy)A!guP-N zv^**QUB0YY&$RM*t6Q*MP=2k6W>^!!vda?P@^Hzxve>D(R<(;a2hV<-)i$UeG^^-q+UX&h zg&T!eG3He?NtBw4P37)o?fJeBPugnQ^Qb*co$pzgX<4!InE(rg=z`+KMZ;AjY- zC>F5l(qCLF>KNJovG=pZ#7Eah`0(Rt4XL0$#BWRK}rHKQ6q8X41z(}6WvtY#ik z9xJ<_CQ?5Pf1u$@e)hZwxk^l`>^G4di5x~xN#;m*@jesm_CE~{#0cP2b>#h7wK1Mr zQdY7&;2U46b6RhoztD95pd4RlQo^Cl<(2Byboli#bQ-rIEl&5q+ibV`*s5vQw(>D< zy*RA-wb%BY_C4M5)laX@u;)~4h~PJON3_iz&pL}dyI#wWV^H~sI(&of?2o38h6n3E z$Y5nD(+c>CAE$0+jPsi=4!+OmjZ}U!3IAFrME4wfTPoY}dL(~@O0-IJPpnvMB;+<^ z$-Vrd>trA#eq!Cfh2p8^I(Dou-P`59$;6I=_o{Ej zeLdxRu3R4kNRS{A4g(9Q?7riS7C<9`&HLSmJjfcmSeZ_Hm@r22> zh0&1gTpe^5Adp}t{p4b1=LBZ6hD{we^M21l?r{6(7Lb8`;nDgX3>-NnR!Gecowfnk zyoFZXuX5d(5VLt18f`xc*y)w<^cm9yFJJ4Z(&Ss|EAz8Enxc|2nKATu=$RW58h#x- z=cSjt3&8C#emd4W^MnmZVV5$7nh;e>=(S92`JV5JIMufV>6iRd6O^Uc#t%#FjOzVq zL6V$v?86R%;F2FUG@G4z-Z~*1#*j+Ew@`E8!Ypg$HS%jU&RHdy$ryYJ<@I!)0%Y;? zu2bwf-ZkD?h?xRM0uRp#uq&!FdCHH^^fX(tLrPUFNi+$FUBnnyI|VnZAIDXoSWK~( zGcM-qn+v#N=3cpIH;*L`fv+AgJ&&=Ml9O@il&3;vOYZV+r8G4oTH(mteYffyRA3$A*M(2xr!0@+YnSGO1?A?~Twhl} z=l$?-xmH+rH+ar;%K}kxvxlv=IEc|h1yS03ZaLV7^9C`k;pYUVCguRNKoVvZrs!vs zV(gjFLKbeMBt5KPIU>XxR-Br(&Nw>AcWvybG!Jhe$d*3qyLEd7Vbg?rZjkxHrU(A)iI4=LHPQFhSqwq8j!ycP z0Aog4-@yW(>5pptC))+~f53J*NQF{URK@PebgH_W(y&-^nz34{LqfV<_RuU;Db}<* z4)s`HMvYkGhg7HZIObu(59XhZ@I02rCv5u`6m-Wo;?>?)Z}MbaSO462%+W(cIRXLo zM>6d+)H) z+vgZabWNRg(`azcbVJs76sRh&owxnuyyEFf!(9mw#(F)Nh8U-l%yhr$H^@p?HXT$0h!JJ_VJBE0cxkNF<&z8>AIOC z=kmz0K%{eo8t-;`Q62kwSMzP@doH+-Kceyo4n-v<2KTzAX`~_{whyh^jb0P7 zg;RQ-w5GtO)r4HE^&TxTDk=*mh9`Y-?dtpJde?2V#NbuqJ|Hi#=zNmquQ=!}lv5L% zj8J%1%3*#=m_q$|P%UqgiHi*)hcDoI=`33%p;N8CI&^|kB+{_k7q>h}m^61^qrd*v zFELjsLk+ZSr;ahAlbaNt{52x!>RXhXSWY@OsLFof!~QCczd@{WKn!jMSE;bGOCE>>i-eSpf!M-AMCr&)? z&ePy{x1Mj)#c9_qcs_EeR#`lcLD93S1O$Ej^)|csoGhNj>T*Gz9UEW;mT0>T8#Q>k zM|-HeF0-u?o605yH1l@Zl7>?Y550)RqP*~N9u(ABMterWgEGk=g>6P~OE}&nN5Olqmd&nf$+gZb-<^qAr`guB3sTo*4?jYF9LT)P+}i4xdYj=+ubq2PwMD|g)FT|7~>&O<*@!{?fl zI!k}r6&V=S7>}s;e0yh;8Ckz?tOc_0f)^t zGWIyHfZOClcT3hcf}^JH+jZA;xP!X&G@|o{Heozn$tW`|I87wt%OFuCsLq@jgxhec zA0gZf+JX*3RJZGxXF3`iz|`c+*L6I5K-P$v)v(zDoqx+M8H=Y?*Yd=%T1OUhn||a8 zk%7NI4vIBdG^{1A?R_YZ&}worwoz8`|9NRqS@2-j8a1#Zx3YQ^>XWaYOMs45x4}uI zYPfN<+q#j#c>JhD# z{q_uX0Yh0&*=i;SqHwYF4Vz#eNkUPrOqk3ewvu6NA+vp7#yx^vxNg^bZB`zGJq-Ma z^rd<~^NA$qgx$RXOp8)9AM3q?WypdglzB{&Dt`x}SQA^R;mIjZ1T{F~k|Axvc!YB`!;+JE8DoCgg%!3_ME@2xsSk6p)!T0_=crI+ej zPaHgAa6>%S-pj76%hL*tbAz(KyEd)9Q;2YpLa2wG&=apwBsntTQB4}_gT|$DfRT0y z9n5}mz3bXBuh#J4S>*b;@n-J&hP)XbZu~!H=w?}P%6d-QSaqeG#i72OC)uKBmg8$; z?rj^Zs6rNJ?p0Ew0mRY-;c=(ivH*3bucn^c^O4TuSW>Znde01j5cpc z1n~lc&AqxqR$ot!Ta<}O8H)iA7OIK@<~@%dDkeDwiz!ut&X2UON&66sQa-{P$I|nVUuz4)1Z)yKQu3_ZJ3+h=l>~dy9 z=;(CNah^v~Ou=Vfc5%H8R7LC*=q`!;D<`Iq^I3<_ zncNc$Hs0;Ya>7}DOku2A(Jr=(lf_NTo>dMfY46*di~M=l*}H0od}tz74*Qmb$rh+u zePwIQ3(5)8ZE$nb1Yax)Q-3Y1fxGOfNQ=1Q0@a7?!#XnF_OYfts^UT;4KH)M26s1= z1_r3cOm?34D)$+pxrM{(1X{Z(%|2tJaVe+pfzeX9Ka^_)CParcs^9DGc z=bI@Scw0O+*yNnyH#pa{fLv8RAbYry`AMa?i}##e;k1;F#BdKZZJ|IrmnA_);N#r0 zV)drfb&rU-{Hp2%#VsHxP6jWZYdyv*VwQ=qUZ&k1)5}PDA*8nMReWLhU?^!!nI4LAkk`G_!{XTXihSWA~uBONpRQ znJ(>N6D}Y}S)K23%&;Nymi048xxd`pB~@Cd-&d=mqz0sH<$0@op1ML=*$F|akVQ!Lbf>1573cspjhb95s#h6F^r)i zM!CH)EeN0MvY3f0$@!r6_JJvY+>M_*)1;OVsE72%amNqP}ki=`&^_wjtP*52n*E>=z^ zdT#t*TobGV;MoiXU)!(4eGOeeoOPO>JPA3CRLs?6KJuK^@U(lbFkTWp{JFM+Gf;GX zfRV&rCL2(EJuBcZc98?=&7+X@`9IZm53(EwgiC7O{uXL&a*e!oB&>;Qn2|G`@%t@4 z4=Gj}Ox;N3=KC104aPmx_e^y|Hq_H2RCI5k;5Ie__m1u=Ktp%~%D3lP#orb`3yGXb zGu=mCBpcoke%UG+*4rwPSw6;zr$EFQ5c1z>^QeHu!hhcJT4G5)i%uenEYxbbPMubc zDy$5zUr<}lm-n5ja41cuRMGVzTRZc84O{QtAxqw3RCjaz7{n}{Mswrln=^d} zNq1+{6~i$=I-+B8@ug5hK5?4;EO4ma;V`Y)`}yiIN4o;`&ACzXmhAhoojAIBDU4p_ zs8C{_7&@6(lbUSgh7z%Obm4FXkAU14>_R{08Na_M=mw zxFDn^dovqNp{Co{3<&XLNinu)Me9vY?P(k@+ufX7`a_kh`MU>)t9r4lwfqLMku(eC zOve4(Eiy|HVwqU`>X9M{jF9y7CNqaiyD|^cg9O?Q?m_cKxA7xI6^tX^g&MPC{dN3# z-5g?jr*4An2=XxNeGMg{SW$?b_w&M1cYAgK1-7&gP#>3qh{0Ar+x2{OBZyOoh^_k(bT%=Z4pK1)*cr$jJ zGPG`MT6$QHswT_7G0{5_h46hh*{^0Z%==#5m3pNOL;>o{jM|*+l*G$$Fd7byxZwBY z)`($~ZrBdtL1-%%Y&t4tTQ&@6+Rc4*H^sXifY}54`(pA%O}9gSEWy=$MucHI^k?f0 zQZ1V!CsNIIA;4Ja-?#qqhNLf@kI6!KA4j>w&@>GRlWwWfTjX=nR6d%&M9btcn_;eW z-Qe7*8>)s%!sMbXJXuMNBazFr@LV^==Y%Xjxyk{f0JU2xjC7ceOH+}|hZDm?c-7CC zVg@Ci*C^d?u2sH3hT17?GMDlEzI&W@;j<6t0Sb)+7pO+^Je5wIU6=8TURN6k#qCNN zW2nKVHycAj%&4CE)XB;^PF=NyDmAH@M-_D%hYha<8vdR^)brx zF=fpCSxZq(HS=Q6ao()2+Rd|8WI#t%+rg`mhm+Z&a!uuKmqqramxx9mMqUxQEkMAiu6;ij(~?*;u{Y(qPRg zrk77Lb^P|*f51DQDBwEfjM!q&hVi(|Wzr`~2h0U>%8aE6meEjGJ+wSt8?h4SR9=SX zN1E(74SL@D904`tB+@4PX!b|rLjATqY0~GkO@^Q&f?t}ocz6vLJM)ID#os0SXQ)_@ z#}wHLw474d&Yc2d#!%XL$CRE2P#yRBqa~-?O55$t29)+frW|DZJx6?1^Oy!(c?^vZ ztk+^zZem-x!hU7M41M`^%D8~Ri`D|_sdj3rsEE6LHegp{kvcsktuE7EzJY-NJOXkv;xZ5Sv6gh z>GWI7J{#_M)x){M3M2ea3+&_#*u21397QvSI6?(KVN?A8fy=OJb1&zw0$L36K_1wNaRujq2NXiN0* zp(PiZf4%x?_}UXTm;O=pmD1sAhvj3mk9O}ve~90k!aelrSf85j9$!?eTS8FaK%|$_ zaI|y0wdovY%L#qAJu$Cy)4pzwQTWNpWrQ#@GQATKnq&aM$Nbm}4u0I?0TMw(-i_?9fem4{OI^@N4T>xp8SzSiH%;~LX zRG}6v8zUnlCub5N4&>ij(w9-3{}MVEm%;hhrS?99WAw~-__R9N8fLX})=Ia99s3Qaa` z|4|hw6{BfJ6!rF{A+p`4w!v6q%iU;y^8*?$u&e9gb= zLEO4!Yl8bp>k*fZr~B=n_nhz`y}Sl`WAFL|tQAvWwUG2x{hhKduOseXLxveuPBp&( ztyg(y$Mzj0|7tKQ@R(omuRi=$ZC_b2eiE4}qKLwD1TOP8U`XWX;1Pw{e#o(ZsSo}+ z;_oF2$`lcWp!28VicI02V&Bb*W%*3 zmnUOxNH-ENC$8Lgh*_$j@2)_;M6&mi0z_R8Q;P;`;4#t5jJ5D0wJc~^mdx+mX;K0d z$kAlvyCtPd-d#w~3Hizqf27RexI~bXKuO=Xv~k}(`uq{$I#dQDSu?g*5)C?djv#~% z3D)1y1O%QwBI_CcURjw4{LglO1-=3e<`i2XK_Oalh7vt1e=UQD$y=s)zBQy#wNq|+ z?1alx2*gK#_7nvt-ZU^U0J63L;TC{M*7b#|r5ft${s93PR6D#Cx|Xc>TSLj)Bk8$c zVWB`lK+x9m(5Oj!7Z+fdD;RTmTonZbKwZua4D9btGH6H`TZy5A zC4`Z3ts_2Fzep5dn?QM|u7X>k5i;^J{$6qAL*r(ktxn?R3ig)PACVtT(5E6}Mfy_D z=qU)1v2bE2TwnVhM|@Hkjg(ueG#Lp*CHq*I#_41Z1h=?&kAx&wJ28AvuKhMyY(z$%_b5ESEWeAYl-?c^Ezm#1It)=tdMKSI{1-7P$=Qvkeo;#U z$%J2ium?TQH!ls^ybqB5lweUd#5-5VD?S%H@+hA!SP2RJvT*WMnV2dKy4HK%`fu!& z8}{IGyGEp>NX1e?JdQ+t$$Gc6xJWM2oXY{{Vd&{u4o9zpgd zUff-aJG6Lk32wz*f_s4iMT)yqJi*Pk~H+GqW>$ z@3q#RO*aRWs~{8gy|$;)(oy#HZL6++msDR>MR5T@%M$fV2dL`pQ8m_Wg10vkI%~Dj zZrRog%xhlQ`|#Ys!HQni;*)1udg=*Cfop3@W5aevH+178Uy1E4&k`LZw|K|$2e4A( z;*i;t8e(#^!+M;scenXJerqI6SPM_!3L9gPQS&P}Y)>c;Fim=D zJ}Yg-@C|}X7-UQd0FoAA_z7wbd0axgBJ_Gp$iJ^5zgUFbwiSRX+k$a!?)$|(A{N@t zX|f&AH6uEDnuK&R(na1xDPx1E4u?yTTTl4O(n7oM^%-gtWW+r`AxRyyOZ1p@#E4vs z{D88jJ9BT*)@=N+vn$^{sNJsQ57%ILCpa7FFdlv6|9%r)p4(Mq4Y&)JW7MkNo}%^F&l?NIX(ygh-LmGg19EG9 zW;7u8+Eu-0rWF?qIcFqf9uP|o6$fyzutY`0(2%jRvPR;?kaMZQZ9#wNHqG$(fl+OV0Mh zuGrY!+hk`p`(j{!b1QOI!+#1nSjUZ#F9ZzjbKoao#-#5>vdXz7Yu7ZG-31hykvqdcRxb7ipj3|SL|zAS+trBi&MtcAUKf>zZ+l8(~oA7PuElSK<-Oj zHV+0q9$J5bH7tST)^3mW+P!DS^N|`VZHyY3_5(&(eLa`2Jl;iI26VE|yG;E&NQ1&y zH?tPa?{8_sow|24VPNhy7%qTpq{k4Q<}Z~izWaZ1Zi{|lk(5dJC*q5p-n6)-rvm!) zJ$o5p56@pk2oT+4fp-Yj-kQl9Ns4&dEbRh)KSUJe!gvpP_%u?J-x@EJJ`#iKAAX|A z#YaUc418ssEX}rVRp8{BjPEhAPXD>S6L4;&l`nU<)-7|sHybq?&CPG>0bqIH{KmOXB=F#q~^V&>^O-mx&wqC9w+Mk(L|HhA{PS|{#k!CsdUEnscQ}vFF zS_ajA<#Sy7J{!@~Wc_o9O~T!%>h1(?wYI{f{L8rP7L9LP6ztiuJRV8XGPchO3SPHo zWjow^*j+@pQkS(HXYU6GyOd@JTrN{L+XT#gS_d?@n7G=iWg(3qfF@11t>SL&J-Y=2 zW46ne*0x}OrwmNSu#rmshIitN*a-Gu$(q-zOi`*l zLJO!I1nY0N*{4m>q}--{a*g4L!rnFxhV$V9x>Q@VzdhBg+D_@2l|j%=JK@BJ6ZwSn_7=ixZpn?;1j z84_dK5-CPezd6gbLV%Em6Y-CR-^LQA>j$;KPxCE;PSuoJ6j*YfudW^yW29f;li|y% z7YYWzTcwbzS0{?E z#dAk1!=70SrjN?WA5SC#_b!C$nap#k98nyJ8*Pr5Mzjiv5(7zst>^9JG6N+fB;>x~ zCz@(rP-ZZu1+Pq#6slt+*)o#~fPVIbr1{D5YU+B0cds#&SA+~Df77S6*D>;VkC=nc z^NkTq#c5Q0vVjMx&&*4UNp(+Id#PY|!wdmzK*oYW<^qq(%23Ep@Per!0y`+;Lxt!` zgh+EN$p>u%=?A~dA0d(3qojPo*FXAv^4$G2dvwDT42vua!m*p*A(ObDFds^nMey7< zIe*4}k1g|##%8>`XDzKYhK5TfdBjMd=_Rm!HMV(f?IkW@`<8x@!sGR|S_DBdyUv@`Vj&wV`*dD{%ZvYNJ10zvGcGDUgl?#K^8D!D0azG8B~5jk3dHoep*an)L!_7WWzzKLU!&<9jNX zexMkk{QS%D=T0G){4DjBG^lvp`BHOTGrlkqWl7uPsOBr_x-n}w_V8U7Rot-u3cZW;tul9dUr8$IDF=R zTGab985I_W%W#(m>+Er>P`>sxK(s2<*BxRI6uiw^qWdmu*woO_;BK=9zh1834KyjY zMhX6H92_H*w2p@9ToBYvHw8d54Jhf}pPHH)Wl%~*Yry(uIE~A3pTjNqR&Glxy%I3m zT@X27V+Ze76_KPNz&K6y5}sy?3aSQY`ri$sDC2HcZuD-%=`V4&BP~^4W_U-26nwmy zX;7w>nG+moQOM-1cB#!7Xmy`+K2!LZI6-)wE!5X{-o>6|>*PgzD?v8z^*tikRPh zy(#X6O&o>;Y~Hf$Vd?ldPtUAyB|Vx%sNt*0n}D%2y?#Q^Ia%qkqxP|WXs6GN$-}Rm zEoSM8ODo+%-*QcKtO@!)0=3Xb6b!f?ra7s)LTM2cya`_Gd|>R@sMzn$k7{(99QEFi z*_(b`!6=KUA6p2$BRc*jxzy>w2xDTEB02J{G`}DRKis?2)6GXy>OVhfml6%}MENI~ zJ{kBYv?!F~XvU{+bhDrNrdw~rW7F_f%%!Ys2(`^=zCFn*+l(~7DAm{Yvvu{e@OfSa% zrR{|g7z!4#T<9s7!ky{UD!x3{JWDb1FI{#$X#2VrF2{HEpjD}44}Kb34vty$?UnyA zc9(O&6&TTpjZh$NEt5(rIO_xOM_jbAP~-jsEqiz<-Bq4X8Fd=`*64OEM~gCf|= zNz?)c-hvHd(_LI$3pz^i=9Q_mg58o@-b0vFUwIb-N-O}BJ>E}`e)A$>_-JfY5P6x3 zH=jkv*c!^!i#JlCSm7`IiG{O2lXp4}oCvz@W&3XS4Nn&sm^G=Upw75E7^NHqvI@Ex z1swU4C^Nu9l&0+m!5Rm(;{l;5l$#ZdNNk6ryhVGXUxJ=+>NHiDMtDue#JYMB1%nvZ^)Q_A~dWspr^M zfa@S=KCDCxYLvW3py7gxejkd#+YIt0U-YoddqLTAnq1hS(5;0{3K?P0xbV(z2fYeIb-9V;5?2UEn$}rC@ zGmLFWV>mt3lhecp{P= zdI&U&_xJbx#(>aKj}N)SW^**%v^R5LBwf90d!E?$36~?)>};`F$#$($=lL~c6RCA{ z9LQ!DAdNjJM9a}uDNV_MRiCv-j%SiI*XbLlWobVU9MP@19JC(k%0ijlTuH0KHP~fG zuXrU+_bz*+h9o_aSUBG*Ug1wBLlOrKI zad50ZF4J^JsZz*eFhf9fpi=3!R-p=xBMQ+QH3>&%sa^137n=ELVAcmbH*zTib_9+qk-yRfI^lS^2zpP{q@AFMKfZhZnB%MU+AfQ!Ul0U_RC5 zt=(E?$QwKwW*6off(RzIr+x3F`gesli`N4#?ZO1{F==nwe1F^5xV*PSGM=zqc({x=HTv{cEN((*FsXD6~2oBEuhpx;$i7hX#Q)V!eHm@h)zX z+%hL@3BPAN?LGCCG$a|&IC!&BAuGvmpNJ}vZvR1iSID0y@dIPTEBphzGq&Q*4xn2id=!HgB2mVWU zbfx?UX~pV?vimvz5`_~eLa)UuI$mHZ{pC!$W)%LJz!pkmM{t+N`)8nw)~4aNH2=== z3h-YXd1XHgVdGU`W$3fbJuR2gU#fo*2G4;1gQF55aDX12SY~_64Bm$o4$|vT$EKz6 z+~q#Ov3u9g)sq^H>}Xhl;+O;keQQpiKYY|7M!$XWkJtD^j--AH4HHb#7qKCNP!cQs znN3#;6-C0pHp!c7j%FtO$WD#MakhUR?$4iPu;JLZ>?a0v1d5YV0q{DFD!g58_m3YR#Hdu9;hpb)K#K|s z{o>Y2dP82n_SJ!7=|;(7x^P7Nzp#8DyVNSz5Oin9DqZ8Q6iI$PI$8T>sytS|aLvrz zyp)LQ9T^rDWt?n(@9p=%h`XB`yozM-t#EgEcsS!?g^m6f_+rq);dk?!e7Aqbe2#go zhA3LAPy7CyaFc0QNLTbmotm~;S8^pz9}NSecv@^0C-sfmZz>QEysN+&Dm}al1n5FN zz)s}}2@}3@&#V~!7XyC=Uki+mFR>8vDD;=_SKI%X3;~-NoIm(y4vv5JAIWGCBNh^G z<}9sip?by!<|+`z#bW4}2`x;@Go?TT}jLmJf?cRu+s`6F!23*u)6QCc zr2ii7$!I!?rKeFdQyh&@3!LOOC+;V9yiaB4LkU^?`iI7YulX~?s^ZhV2rkv5O>yRy(7B2 zk-Wt!D@|u2DR)_`qDW4iZL!+6!1R~*FAH|Ku&xf@*7$*=84xty)6+9LdIZb(K0Td` zEnic0;xhn@?|OWEoLY}0U@v*k$H%9OB0x%)-RMUZ$CiT9qedH=24R29Yq!W5$MMcc z$bbN5p6zn=1C6%(?og*y_yZ0ph184kxX9g^#*YmnTf`bOY$&|0&<;d&DVl9OPbUX} zI-wfrrF7M`oD*C%hLv9)$(Eq0JX|7*?W|vEov{B|nbie)6;LxkIZ7`kEx|6c<$lp# zp3kyUw$^g_jL$GX5$bbn4iT9ssb$X72jaV4d?jk!ab5VXCm6H%d#1{Msqe7kuHT@2 z)I@z*z9L=tVv49XBYlV4#HaPUUh+}X2wU3H_KVHEq&xKTR}SB>yzXQYEA(k4iW3rW z;4pFH#APLbWlCZmzi(oqh9;tZ6Odd&15b8=1AM|7vFC!$Q5+lurn zOENvVlJ37#~#1=_obF)?F8 zVd~hHt3Q8Ag1;h^n!Y(YIx;f__R3YOW%>q3I?5&!uEWmzf86KIy*OU|?9s*A@utAP z98t+2KuPK4`92QmX0LcBdYnn&9rBH}D_Qf>2%qj*muR8>2$v{7L^u*!FvBgg(odtb z+0i4hbC`1***sy_8=-w7k;%eG&lMaMaU<4XW@%vo=B?-WG}+yC<*XFP z-7>RKp2$N~XTr4)C-9hDcHGk|SelHaD;Kpkp!uD@GKfC>w&7wP5>tVM z_je1_5djQZyUxE?M)+V`iCkY{w93a4E}WHOyz`oWmg_37yI!AAXe zBg0I2`WG0AvtARRv0a&hCKPfZC0(-idxg}@<5q1mTyP_89E366?DV-NnU??|A9R)sT)3sT={|3sq?= z{^LXl_i?I12~#r>7J$5mklkuMFF9$cCDJpEA6MT?PUvZr zd&?F0J|M31Q>9Caqob0B+D~SIj88f9vp25d9SV_OswWfT-32lV?KePI^L%QZd{dT=v6wm&Z*1HK=d4M7<(6VFs;V(4BIQz8~eQV8tyk@ z-k&vadh_VHx~xQ?*V|}3wU6%fWc*O)%+4{;Z(JsCJ#Lz)R17@}n}*Dsq?+gIv*|8A z#Wdt6({?WXZa@U_n9qHxkSU`=@dQ-bWh_=aA$$x}2Juq=SaI^~r`^q}{MjSlvQJWj znmkm)SepClCaos{ZFGKeNr0L_FlQZnJ=Z*A3sBA~GhB z*=ULV45bz@73*Jxo$>2Ll?uAz0*rJ&&)v&~9<>Gc#Se~q&y^;GlFwE5T0Pk6B{kou z)@USVN{4C3OZSFoSQnj^bHcJ(n&AEboK#>@AR9?mI)O^W?v_9M9gLmS&Df@D9p|T& zkkF%45jdlm<&f4{u<=Mu5bWoHw^cw&U#YuN?^~!)j7qsyJ@B%%-aktJYh+~pQJgW& zK9iJTyEhC5lfs$+7gA|i*Vun72eWDIvXp8ijmY)@vQrLEhlklkpBkf`Jcw;)PG&5z z?uw94uH$iLvONgv&niFa^|1@!;Ez!Ez0zGQTR9J=(Q>0Z?)e{TV{JXlCNaUo*EoCfJvy%w2CJ~N+7S;1H zw=s!pS%)|b)qTI5&n(a%s!8N62f{JKn4e6m>r%3Yv)-Rl?wkkZ`ch5s!h4EIVl~+zS?x!-2#(gL<M<^wIOlUpdZkuV7UN`KPPGIo48zBuC2qaeANsf zo-SJmsI0CC{4wdtH3wWko0~V^L{D!8IK-B}y)xahDr+5`=#@xkQmtq^e+L3u8vqT7 z)BE6!WyiL;|S52fnjmL;qGFt&qsd7l~v|W+8Gkj5SB! zwv#PeI&s4{5>KM@?)}M1+{k`A(+l`!;=>p|qry}x#+=O!JB`lEw~&_uJ@*fABVz4s zF_)UgaJxWI2j<8Os4a!EXacFjJjpl8@pQ_pLorOVMuFzlbcehn09R{+IAGq#ZPS!W zBcbLz!APKyWppa(Vue!3X}!>FAA|3!nkMy@Y1Sus;w%2|q2u;CNg3^{TZKL=RCx2w z2jD2wqbf0fGvJ-+{%`rlO2Wq*E{GcS;mIsRJ6+QLF588B({!8WNP|5Ql)P?!=|`1X zNRHC1B!NT$#jeCASYO!j0N!vt|EvI6Gp2m*o<^x;vY(7p0fFnMA>X_Q)HxEz#q@{o z#twbu6s%p(gXDS)QpK-SuszE*l9E-EpUnQiS7RNCr3v3G-tGD1xd1dBs)1x7!*^CQ zrcEy5s4*kF@8*9MZBIGLDWy{k?uDa;_q{!osD1e!!Y}Oj#S0 zJpF5Yxb8FPA}(ts5w>kn){^V5VC433`Ifnwq$lRa(XkBv$Dcqw8>{Jb`8_07`is%I znqxR=rN89b-(>#um0K}JAk==J-$ck7DAo#PytsDXXM0L z_V7X6mTd$@^F zy_jvqo<{M+_Cg3^(f9FpR53da*#XVnu5xQs#qJdQircOKZ3(^4qDDUyswS6sbSzc_ zo|+=(1fB0xClo6@_`rBSx*t^_lQPfjR~@aqI}BwPZ1e-w>)LBRa-D9kwBAInoJ;|y zE4?2mnwir1ik@g?(&=J%?R*^1PD7rC$(F#@BQESc)>sYmOM-R+{;V1$nQz{Yc8Of3 zZjMoNtnj#9M(a)0jo(+Fxxd}MqMVa&l`ztZ_FRxWTuOfbl2ksMFLx}wcn##$i?Y8! zoHCljXKOau+iB!1pLAwqU4OJ2+tq|m&u=hI$StpOBJ_Q^w7cO4Vbdpy$A9TO24uMB zQnQ%X4?PG@Q8dJVZ)pOPYxr^IK^j>A>155*FO*T&{VwOFqOTLf6hhTA66Kp;4?msI zlzO;J0nl?|Ac6OpQZs-{Ex6kxOC;E!{lm@)`WFsvZ%JmEaTmCc=Ys?D=7Q#5^4smC zZWgMNBixegI?N!2WK4H@x}c<6&7Xv+KdnEO11zn1AuXMa3Lgy=W4D+!+`mBT^8>!C z+fWvL@sC8j{x&6lWyFDkfU&^qG#zKjj$qxnwUAuFosopdGH2Kxyv`l)Ry0eWb{Rco z=JBTqux#OD=eWImt)8h5pGEbc@}jR)9`!xPAFciZi^KuAK~T`yDYu|Hc!X7u;{#G53hnFrXmfX~QmB^$Iv|7a%XshG#Bc1r-6 zoI*?eLwW1f5v+UctfjWyWrYx<%;;6f4LiyB-lhw>8#UvU-%Tc?h}*fc7>(uX6z!55 z8eyd|o$xG=+fjuOu0XBlVCWfo1b2KJCABxY2dpaPV&7#zr@mi&es5gj>%q4rM~B^s zfQrJyp;eX2fd^fESGl+|!}C2ZUX7o>(5i%mJ+B9Yco59)=rLA83zJW)*D?NeJ)(i} zL%?(i!M;^c#&^B`3qBS>RSE!YvHpB$QWQ0prcr^SJ@!i}dmAZ+6qO2mHoQKp3O?@N zufH&6UXOYr!@wDiQ*CZqMU`zFmWMC_TXsB>l;U#!PMa|i@80RfUqUb@Wa;!c0ECq> z=3uyPpH6A8F!?_C+e9HFSREd`O8sGNMa<3%UMeI?Ft9cQPz1faz40~6xYg^cxH#qv zttr<{nqcM~o+j%#v7K#dRgo8w6kBs|*MulBhw!)9>$!c2AM_+RCoW4{wP?|dTUMM|Z|VgH6==qcu$#(vWuKW=RB9II1=+`qBAVSN%CX4 z2gtL!30!|h%~DuJYR{b8t}LX_QM*m$2xdJRG zOL3nOIH6c+0mnlK@T2#H>}4X>zcptZ*g%AIQj=W#p-x!=s7cJEDBaoCZj)|nMI>2^ z9=}y>ZKzncq#7+c4V;zDH^Ix-PVZ_Z)#EGWiW{=B*5At8qR%L|swR{-0$TJ4@!tQd z6}={Vov2@djb<4C**%%^yHsd=U@f}s*Cj>g`I5wBT@%TDFpBWkhzmcMjkxxYl9%*W z`Dx2IS4&`<`BVcU$D9uaVz%_c(-N?8yvCr%XZK>~haa{)jju(B# z*#IQ89~yzy;s%Isd9p|flBT}>Cgp>F@uNf0=N>-yo7GUR;1CE96X?effS`l1S1whbf_@XX_{H@@PQyp%8ir$W7_5Tnd9T{OYiT^zQovd!Jl0|;gY;5!VT>Vyd z6S1{Pihk>Gc-onQZ42O3FHA0jrWBT&?pJVvhYs|o_BuLMPd^Cl= zd!Gng$oOFpvSJSmj*pFu47FMxeVANK-*>e7gC0^4+u|&_O+{E5chrAt!atpaV`OTC z^P1f!ZFu49K%XN3py*5K6jDDF#p8fz_iY_n-P{nnemsjNp&^P)$r*XoF%zL0yt$q= zlYVtzvH{bwOe5w`xEo-S)PqV&NyUvPyE51BA0I30ZExCmTd+-Ge_Npq94@<08ya$7 ze#{@6w=FuZ?xx$^^SFuop8G%g0M|_>X9et{w$q5*n{uMmWR;4o&8@lCP*FG3DlbBf zO;5YyZJeKWtYCq*N`2t;7T(*t(RNLg#=8zs(pL2=L>Xc+_dK`cb(HdQ4Q+_pefK7l zTNDdeBiSZ5tx5`^5W*gy;mLvq$PP#XvvSh z5%~K|t9n!_Ow&+o1jaml){pi?HGZl3P?e9>#c*&nK2|{qzqk-!HAWPlAf*A`J470S znh5Ef{=`Ut&{mQQyk8B5gV+8Xg1Cr(0q@H6{{Y?{aKM{M5lo5DeEVGs@nt6v4)3q* zCG|A@t=tgjdJdQ5`*LT7=tG|&6^rNM!beM{Qh<{T|56J^Sb<|KS{oZ1D+1xC8@sgh z^pkt_kAg?FD8_LF9&Q0YbXjnapm-GI@) z{KZ7z?gK9L=N69qP+{{aSRFn=^S~~oAs}1I(3+E#y7B+JmP1~=`vv_b_!U1td@WS) zU;iQr5(qqyiGput!9HJp?G zA@*|1uwns|7UbtoaA>^cV#DBEthb%q{I3~2L*&NsEY?4?Ty4ISu3|tobTOa)5FH)Z zbtO+B8Ypu1={Oh7klfgSwi(bUla3wz|0!Hal&m=F#9>pEdt5!#Qo;OlP>Nzb&yy7% z`h@|ixAX7J{ZlRR-`7bT;xePw#f&!z&sS(HTJax)Se3`RPHl!%RrqhtAVvHS<5o_u5mS`Meavz};>=zFpL*>R{eJDbVXs1{_9%CG#OfvnC+>uiZpp3Q4NUtrDUD1yTD zk_P%{LYsQFa-cC&-$VSC=CS3QN;jIXw{!oQQ!;8pa+ z`W7IcY_~BTbI|I2+TmQeL8}J|x9d(xb7a`%ug2H-1F-uZ5u{;*deBjU>O)KYL`DsZ z=4q(3LX6wNjaH;$3h9bUjn2I~@z%xeq^0)LbJA7}Ve`?tA(!?dk?-PQhOCS|zT2_K zF@ZEt_1MW8PD6Rt(l=)<9HS17>5oT}hhz`ub@MwUoNk3`=oUV%LYG9`vW@mnl$sqR z0AwF$$GQghfQRSpz3eO#go2T9L(Wxt2C9$pP0A(y*Q_Z{7+;r&J(j8g;4TF@X_KkE zDls*+pAmP~j&}eE$gNM+P$ZC?g4v8lcgoneZcyV~pHJ@k_oa< zEGV#i(=F{`(|nQa^S<>a;6`&i4x+F;mMy+Q<2k{ZUT-KE-6SV$gQf!F^7iHJsOE%E2K_N!>Zi zg^WJiF2M!!b`7i8PN`XI9)(R=;;6 z@zvW`nB&Fm`8(?MWxr_1>FiZ9&vXpQ+iEBJ8Wlfek3O1Hv!?c4_U374XX%QdA0}5j zf5A}`qgiBR#AP#185ty8`7~JbS-IkHZy8+web>^ga7kEcyNkNF0rJ|KS$c=u_igfl zU;BPP9{j?hfr?%EP#MlwuhUmOt0lOLB1Gr|YaMHrd1Ci9KXca{%n`4;vsiyD?LqO(Q)?%#%N5ICgu6ml4L#1ef9Xv9)i&Jrx#c^qIa(nLs?W=`=DeU~k2ZFM}3A`zlJTl$O(u54NO6Bk7s zznnt;d)%6T?ph#!0V`zI%FoZ2tBA)-`dJDRBK9t9;{1iJ&~x!Q4)T=c3HZRq$45Us z=M8qhGp8q0RSN9%a%44VL=69?p`b@N9`>nn)C^mb zpDR@migUOUay#4~9#BH5wVNq{7-S~yVQ`4*p9PQ}H0yxkA$~Gms*-GDN~ilP%@<@i z7#0-P@u@Vbs|nO1w~Eo~+JUf|y43zAJ00Gb%FnU<9rnd8(c=+$YcCPS#c|+{nDZ1E zfED$@yKM z?DrAG=7bkqGJHG^rUIt;?M0So7b=T}%u+lQk4ih&_vK67RhKYq_ zhN8{(+nv(UBqw9@Nz_97X^63GY+nviJsC>ZBB>Il2?zXzm23*p% zZoddceLat#zfewZdABs0KxQD4rxOMrgiOq_CurHtE!$-|7LrJNJ$FHA(7J<4RzBmg z`VkCBcJUh$E_c_J=#4%s%x}DyO3>HY%BFpAyNiCy*)(<@LX4w^@@kO#6a0Ww5r(ga z^6&Off`4O|+$c?lnYUf!N_St$6!V9>}4gsP2^KJ-T08>ObHr0c# zU+{;G+P5;gUM<}3D7t3G*f~Tp(pu9ql8A`Ya)qVhdDwLNYO$Vj4k%-dwuB?o)Gw{EwSDCC?yfzN731uF*dNP00Uz0C!?O4V`Cd^08#zvVy^^#Jr3+?0r?Mt*O-iB1j_3dq_G~?GFr?}2RIXRBv#texz z&$;ej273Ha$y`^)r8w<*T2Vho5lUaiU*tAsxcPYr(7?*qp zyn<9|FL+_H=hDd4vO5QCmr?j?p9gY>gM*U9uoe0y?J4> zr9ysEFK61tV7|k0{XB6dqyT&ERBz;5I>GY#cDBhALtG6;yjdQ%RB95Feyu^v*!#q6 zrgIc5AE`HmYI&`S5zBeFlmf_|))B0_Ld*XXAk)JGnfCh>i zR&$g+hLKJ{v!Gqllmtb;Jb_suvii>tHR*t!4~_xV;iffOiB?M$zRg6KG;bmZK(djP5-y`k80k! z;M+qZn$6a^MPBWOCE6fXxn!E&uoaO2tNqdawsQs5RMRkB7R{t0j5d==(TyL{@W=Di znU^cxEOr=(S^9~kPbu(>ctv@)hR(hw4}NRrRQ6L5xi{tD2yVqJ1CJ*S`UzePkL;7* z$J?pyQsoy?sXxK~d2#=$xK8Ha`O^P}{DI1BT2dy+V(Z6-Xz+`0Ht>&|Y<-Up9!WEl zQe#7DGpucNz3D=tqNZ@ewG%TLBgmn7B$3cjQBfVbgs{2noA91JZdc+F@z|o*!iFOC z-n*m9_>l?fB`Uf$Z<>*~3Mb*hYOhaHNd-PDORc-hOUI+jXti8II+m_c6tYV3wXYc> z1cKj+qkahzV77Sq?qe4T);k=@0fB8gURQ~2lCi672XPHMXX&}@-{pP90tUNNH(8e& z4`X+lNx74)sRIWQSf@ZaVRT}aJUcdJ2DKcS*UWV7>d%_zHOGkd*(Z?k_(M?+X3mbM zIdlhSTLOcGV5p^4`R#G$hxCg{fI%qU{x#)qmhd|23$`&cf|P-eRpW%$Sx%-FG@lS- zpJ9t5$gcX~{Nh-ZYz99^r=xsMlZ%EZTBmOwWRbrm;Qtu*{KaDpX_TSk)LvAB}j`l(+5kj8ODGg#6KD_uc+PFiREql<;D0vNwqKf;`9XapvivJHYQ&V)$XR*?r}H z_X_jsuG5d#=|@|$pwSci4J;<$CMDZN*SqHXOegWl*vGVy)8X1Yl{q7^JDU>%qeob0 ze}sspBr;8WYgq83EdKS7<Tu%`+f zEbWB<%eLdWX~p8;pgiQ;#Ip4vb-ljZ>rEqeOHK7uSpBddQ*B<5d5yN`2_YnHP{Hfx zjeCt%ip`OY6Mq1d6kl6Q{pj>n`5K;j>J+cESOv<3zYt#;+JC414Eve~^Z#Sfx9=<5 zUF#ZauQ^K#^6M>(R)312y*){LY(nX4eDLb}^z^u}y@Ek^kxomuwL3`G`sQa7CjyFy?8a3T<3%b z+RZO9r_drG1z@`D+|4N7>>1_U&wN_(eK&jg0rc+50AGx1yMni>mz@9|8JmcWV2=%p zEdu5gLMo@sugM&;m51rj}ji z6YcM2vnPHEeNGQ&E}b}#;j3Uzaq=C;lmK1U#p>`L*e!^9#l&;86Y+F|)(=IFInKGe zZ+!76II-1RdvF@Rj#O<48Y*pT*mG_PAUatXix!b}v_y#jg4|-~3Km}xH68)?!3#AK zBylyOXF-69Q3sn+lBaH?SNFSLj!f@L=12yhP9E~k@Kdn5#CcFCeJ~-H3 zvk*gnPCoB^I6Qtj-R-(YXo;G^-!pyYMKLUL{zWURE>eah!<56MHu}9>4BzKU3&MTJLkf4A&5?o+6$899t;2d=>!wJ4J|L2J z+A(%&^5qPceSLi1->$y)Y|&9b_zO;~^aMIxB-)%5f@nrJ!>E6@s$^ihJ~LOPp6|uL zrmtPY&NwXE5#;be#h0u&|$vz+dQB7k$hY3W>H7GWkwsj zmw8n|xa6g@NIGb0jP1n9i0Owg{JVT95FV(t?((=+Bb#U3uOHShp3XXRd{2Ei${sHR zd=W4jL0-pI){0_v*vf(bV{DJ`vmbTNqxntJ6cid{GSV*_pdMmwZoZ0&%KLJ6C=Y(& zN=;48m0AtDn9N3!YH54nV2zrCw3JkqFtIYMMC7y7*v3)HbiJ^A6DFY-OLq z*Gtnk!3<ff1*;xbz!0kyK<__XDeUxwbcBP;kYk2&=QaG&V%MpsnYjOltgj4fd)dCmTb$zV?(SYF z6e$!4!J%mJ7I!O@;_mJcifeFpEAATH-RYa&|2^lP_uhOX&m>{yH?sCxd#|AdF~h@J ztCV1#;Xt21rtfAdggV8rE6(36*7Q846ThlxAt^&L>tH+HPeWUlt;~bL}t8Kz2>Rm%{Rr5DTNh z1WljM@qf^%yc8aofP_7=jpdu3`akJ>M4Fwz|GM9ob?|IY@tew&@zP=r~^yN%ScqQ^%fekc zSYrg;D6@TEXY0)zU?7qF2a`hQxtwHoboHqN9;pY^@yKIC`Y5fIIUSL{Sgk~Zvn)V$ z)I-Qm&-U}@y#hM!2e_qQikM;^bWG<)e*1xZEA%62BD-$id$1Ag{WI_V1G$}dh7ajM z5axSjNqex5plQCp1!9(wY5&2Zhgzp=GNoj>Fd{ik)a!+lk`JgZ48@U4qN7Nw?4Gja zsjH0EzyjnmTN3fwU z@t?g1wv0ae)84$?pK>Ls;sAZXr6$No$JX9Hc;*{GccJA97A|qrXY@PBN?U!54}Rs% zOBzN|q4@BCe5jZYMANFIQEcwL#X$8BMX+o^R0Y`_iJ1o-flI@uF``e60&N=JvIKMC zS)iy5=O=7dv#2M+g>FYEIu_p$}HWqjx7 zbAvUsS=8fQd)@p(2TlK)j#+Xr+aOI>&UwKWhE$Ot19!n5|UcuZfgTlQ_DI}Ks9&EN~1uFZWU=1ugFP${TsGhyF)_vMkVKeR^}g^h7OBP^ZuU^*7FeH4bnfp3W`yw|0_O$ zu8G1Igr$JLN0rk5R!Oqk4`&;yoF)e%MEmh$LFZd$Gr~{kx$yT!uqg9CZ9vM;$n}W- zXmbkH;{HE)?B{ST=8CLE_7B`r8}dJG0BH|6HmLt-v&!>dkt59Zf#(T!rSLy`_8I4Y z+JN}48Rqf-(Wb=$ju8L7#Dz78ET(Z@b*>{qwugk`%~Sd3ty(O^f*& z1HInf;bC|R()7oUH*K0>_p8#9)Cq%T*aNiqiU|q@5QY`l>UQkk`LXtvWb`zDkH0tF z1mDZS_UU6nDH$2ry%=1A!tr)|tL!fl)C$99xBboDX=;>w7SrRXlNIZo0gvIOU_tk8 z?p>lN>lFJ@9bjr|{6~d;=?l^{GQmWTFA?_4%F z7>{R(c-|a6GZ1-&=sma~Bb@FgzrVG|oBdUQttQ;c=O-RJY{nS9ilX3%6r!7QXos>N|6bJI zRN0@R?|incWMyS_bacKm=NA=0RaKyK5Oh5Y@V5<>_1JQ5Q!Ds(Zyp9%)Dqq)3sT^>Ta?x+d+7(2g3hEIZwQB&vd{7HK~H7cBtR`m@`y(u3Jq$S9IYDx@cgc4AGb* ztalp%Lzsi~Zf0LqYf*2_?3S-JwWhM4e8cy#_NK5!;>uaKOR+nx;RY4fR}~1EorHsh zMxPp1%Ybf7$h|>)Q}hU=30OUf4ghMrsnQ1frD)}ip+{qZqyd?-ZQXi1=Fc9x{4JBT zPoe)_j_WkE*`I#gX@WtjjG1Ddn!37=3UrijrJ@6V1IF(zE-qSHT84&(4$8ANOO3t; zeajRwBy|MovxT#pyC^7%f1`qFZ^|Mv1!S(J7;qfxmy1Ukmj!o$b%L{D^HaQqrdQIeXYZSWqsO5m#`Y|?LlC^|$&;*xZ) zc0|l~ZXcZY)hC1zsFGd>F;{2+m|>;m=>e_+Y9%eDKd>V|@9zeu2x;&jn*ouW`H3_X zW>J43z7Med9s}M|r@==xp1a zKB$;$5L0R_L{;pOYw`ImoSHr!;*D^Sk07qSP4UPZXemR3y1rY+T%Bs2&>&%i#=c@`s|gF(;cG zWM7!d&1q(Pcdo+YtHZu|X(oQb4Xpjt9ecKPYj^kPA?W#P2Ov;nC?fnRz4^i6Dn-Q2|MmBdv_tq!3A~{maAg zYmPOch0&i3a`iULN!h}6wAU_I(}O3OcA1XA;|i1Lt=!q%Kr_dBy;fqOrKRpqUFi(6 z6@)4Yzo^IyYg`-*Z?qFp_lj1=!79VXQoBA2l6B=?GNE`LDh2MO1+r1l_;o{U{ zZu8}KT3gsU+-X)>8Tuy0N@uxX?0S1n9=B^_LlsoPy1kP#h*8dNVApJyU#!#-S}j-Q zC?>j0N=8#|2C{~pVP~ZbFabs`G9g>3dUwDX>6da%G!m=+eW$fYi zW7PQ3a!X{d854xrmJXW*H+?Um^hPFgt;%CnkO5k58CiRouNG3aUq3jz1E#pe|08Knmu**dM*ur49yZVtB zmU(z6gh{+xRq^4P1#upbbjMyI3M9<00ISqv%7cQ{a)j18VOLmzI1hR z&8X)Uwdw4!l?S+eRJncVyO{!RmL-H};PbZs@2Lsq3ZI9y$eEDv28s&g>3 zJ@rqT7UGum^oq(?m$dY&Czh__+JAQs4LY9o%A8TpvU|-F|Fr=xLh=2?NtpvcpPz?^ zhWX&Lw-{pE?Ch+c2V&WSN1YKc=$z`aR*vex=^HIpd`3<3sN2vMz?^D2u30wEqNmhn z42ri7y65_kWi)2tmUVTNk=N2rTacW_qJ6r1%NO>(!?7aN*yE z%8Uu?TV4m;Jne6xMf_|XTOOq0RXsraL%h+Z>*;NX zDN=yEa8uWiIl4wXdCfys7AE?Vi{Qq4@w^jo+5SK!yu}nZPkFky+y>)hg+2e5v$m}G zgczT>;l-n#OLKR{)C7OKtCjSTe7W<5AP(L78Rsnq>^nT{J!mnu2iPwiJQ4qOW!lI_ zfvkP==wjeL<6=n?>I4d<^CE7kKlBkAGY^rZ`c9rL~6C{pG}2du@o6+Ch>`iweNe1U6w{wAEa4U4n=`( zVd{D?>d2n!LRP?c@jn^net!3^dw{6BDL};A9FC3>0%8M_(Jy~`CDz2ttk#toKCM-* z?4A~iwjX}FdybANd>mUDmv6D*Hy$WYLo~AY_HeIhJ8oRU(cVwpjJW_11|G4+FaI@dVL%6e)0CR+|C&&~l^3 zDf2aNajHFD(GJ6wX{<0rFFTjzUmL=Cxpv~E)`e3nnOeFBr^4h}Nl9S4$jj+#i;hzf zn<2urx*`XjN%o$80oO`y`=j36 zPFGda(?$J}vezI06{g$MbwifwZ`Z@#aM%)6i)bALce8DBwph4lgPPU%VlncA@=?Byi5 z?m%8zYunTY->|d5Je~ORn=ZV&G@)Xma1_6i-HAp)hR{Y-`X!}H+P78CBq9>sUX-ui zp$UWYdgetS+hI|zMF;#~)py_TkVus^lN5yN7gsIGAo3!3xh7lvz~0q)-d|>jOz9%u zYP-k3%6x&Wbae#;1a$o|boKqHJ#~bVY>kuBKPpI4VrT}0{H=}1(oAC2 zu_dUacW6)Utb@6!?ov)4GxUJT(SBUd^S3@$33oeoOy`#OtRD4`cUctSDg^@7EZA4h z=wqS-1H*$%Bgz+?H;A(*56qn-*tjDX9&- z)$MIlPwK8<1hwVw!Xf=YmkRWZQ){k~uDtgO5d9T%V?h3(fwdZ^mfjJu2_w#}m)yB_z)4v@z32G9jj_^x-W#Y+zOb`Nk`>01!G>k|_2^^Ih zIjFs>wx-ufE9@|;YRF#ae_X)K@`Z4fUM1%BqUiw1KW)({#_b<7gPZQOK*A3TgLN3p z;D;mAAIDG62Loa}db3&Y51C&9bw#9h?M+(gmCvs;L>J~G$F|-d{^O)Q|)4jIC>gnPbw66|gWdSd(ivEA^Nb8>XkaEP8{6f$VbMQw2SE z6@H|bE|Io&xkD3@f2{Eg57{$JBNvR7Wu7$Lhbk@de!M;1*=q4-kiyA+6DN?_!lKb3 zy4c1_cy;NKim6SU?tg#P^qiv@OcI$w=F=F#Lf33}fKyFaXU}WF7Wcf^oZBfMici0gduLwA zG;;<`{Pbv{#gLtC17Wkq$~;?JUZE`*tzU(PfOupzH}a^uTtU;Fctqk#o@}s)mN<2OXA&|x zu9A$Nm9rWyHwen)yfFiVG*ALLW;L7II_JKQA~Lm3D9P@ldPEhK zfb+T2SV{jmLZ@6OSVsKg@XX`mj*0=%;4VPWr}Qy9#fuZ7rz0^mf^3lNujhP*wgrM5 zWUTP8?VkEM`(#fqL|gi#bxaRql2yqt)Pr&s~7~l$-yPn7jiToC`2BkXP}r1cpM%BYhJpzvi&HEzqm8z-v;;o z=$yL%h-5G|hS~OqqYA<{)H0BA4a`)(%8O8*OdTjX871Q(#kV;;D2~^|2l~;k^aH)72$z4A{<0+rsxOm;%*Zvpn^6<{@NPP`!6;R%mlREY}xqQgH#?cUU z9SM!Sj5Fu@decFtw@WBfd`};*#;B(L(|DV^mdxj=9Jy}ne)Cw20Ol9FftWFXl@p>B?nfg+aEf{I0oSA&0rl zg<4Ey=l837f+PBLJp=-y0-SXy7)$lWGhLQ7i3C%0Y6dIR3^>-o`_=;X`kW%`c6f@@ z(N-5*u2c93{YCiQPp@ax)8k8rl_HYJ0s-FghX*VAJfevw!F0ZfQ52Rtyw={I?{Iae zPRo|lXk})5(ecMhryZ_m>)#{s@Rw{Kl$ha|2gNKo07OHo2!)I_J5sOH-gnuzhn{QPc^t4MP6l(d}*i%6`7yZqYO=ufd_C`(gQEfd-BB z?QEHLolV>E1cexZY-b!?=PGu!Lt(}>HIBQ!ty=#8^JZMQv>i@ zKv_A9371%Tv1U@M?GhT#n~Wb!mgQ}(Cz>@d2H$IN#tbUVq7NGB zcQ;mlvIN5`zQ6S!urB@hAD+mZGx;mPz% zEG6C*~`cINnVZ z6@{5vO7bs!3h&Rmnm7CXzT3HPtVIE%)xWlCC-$aotwyg;u`C@CCaR_FTKH}6Cea2s!E;-V}-^Y`}f)cq)-^tU79kx zZb~cdKUlOpAgHQR(Tp?Fui?K;7HJI0H|TLYS$k2It%l(vF!ppO#Cn#xNK+bmyBupQ z0kH9H)Znqhs2GSOm*V7xTJGX5&Bs$pQ5?<37rw0HzmlmqFaWV=WJv=G9ToLvkXlRw zH71#y2bZL%<)%QX+&4NB&;ikTn@zE8Wk>;v#tfuw06YEO zkpKPFr5v^yT>Sy>5(8kQc}xjIa_NwKT=~)7^|P&sAD*U&PH@>@xf^GP4B4Ni|CuuO zxl}I!C6%QC;Z@MjFuMb+XNPAS-)DUz!*VRWKu?6^p2Z~Tk$ghEA zqx@evDZmaP?LQ(NR7#fpAF1de=K<*_!QVZA4x;4$v;iTmyypK8FDnU05&B+!R)rEPD(*yk#JlK5Sctxif-+zw4GN}4+o^tjaMdB{8GMl5-xtQKcr|FZw^u5EK>4nbm{ zTQXm>NKdEr(rxFthGkB!+6*NnV0}9O!);1d{2YCf^MOc+%m;g=bUs@WrH1`Ezn>%vIAc0}hz>+QQ1-Hj7y1nRecZ^{)C0J}D{0vfOgV*g2XQ zraf8AzSKyUbDi|}OpBFHiwlr3YVUTvlvqa3FVl+-c45-@p$3TG*jj@oadte4x0zZH-C(ciMvwA9Qtz)~Q0(uuT_0_SC)&zix@wIlF#$(7)8!cFljwM&L(+ zZv+&Amx5Fq6U^;Y59Qzp(MgS0h4}=LF-9Z_gH(Qlp}6U1J;vgSN{r?9!AfWP2{>w= z9v|BnsS|L1ZZ^AQ#<7URQ>rz25UG`apZ`B#52#FyDT*F8tpDUMNJG=?_1*9RrCw|( zuX{EQo)8-jEi8g>0aLx&-8exUr#(JSlu4fK?2=Yu@IkoXjhA=Hf_~XlXuGlWP?R2z zx_#|%TU-^iFmiW1W8UdZ^erpmwEi&xj>E=S5PPYLXbAG9voW%;!pjcfKOSNRMoF zlz181PL7VI0kFa@gHN*jhj@|Oc@qzk-T{A4c8sO_h>v(o5+^Dx+?UdvmJo)wR2>#I zBg%BaOR@V?yM`U^f3etb{n=*p+2~efFTpw(4N6qMlU@kz?I8tg@ z@M#X%^QeQv17Wqg5uL%M$|(F0hAWyURm^wVJ%vR2{-xkLz47zeRhC+UFgv+JO)!aw zh*I=(%F2`{@v?7yr-E8=EAs91#3gcm+^9jCy_h^b&aaNAU77sd|0E5nB0Q*AXQ9)VyKGzt z3S#hVa!O35z77@4cJ5?Nwqi*{0nz^ceStG6`_|WyLaC-g0dkAkIwvQ0`8Hah8A`zq zx$$9TmGJ>vD~4-s(P1eC=Ixfy>Z7ahk%RC26S!(2*-g}#+yH^e&bEG&dV@uN`{=5} zxLtSUV;laA#R++u-dbF2M7#o_=$ZiOZ}`%rSyKkuI{n*Kp$?Ngs4R`rlMQj>xk0}a0qq^Mk3%<~npS(+DEo!siyb%tKwv^c4dTRS)$;TQQ&*7&g?h+HDigU> zfAhB0{T3sS`ZzOrjs~Nf?e)U`{z7ko4S6)otqxILA)4Li_is_1B(bJerE^q8K3^vw z_SPALV-?GW6;#HVn06(78sDf1HM0+n&X%R;xj{qmKFZhxXM$r4YTe~5*$-8^r*yv%kyPnBO{|NeV!y}0?D;Uf^UCn$b%Ss?433(`QkxC;B_m@ z&CR_+Yc7vpk&eG^{NHYiSHJeq60tG~Pz81>74h+rEZ+ih)G3iM5E znA@4W)m#>gJN^B%ASrNK^3^>)_%VQVS)j8=_N(0Wq7XU0CjV-=9nJNKK&HaC2A}Co zlD^;EsW_sppnk#D4X7-8Lg0tYZ8x{pMwGEI@G7mm-Z?`d#poFF3-;st?7gNXq{UE0 zckzqE_EVK&%6KCg!0IyBn&U56BR7Y-(?f?kO_^F;I;C1}SBG=n+OJ?knPB&V29*kK z65E}P4bkb5tDaVAMo;TCY{w|=xS!X@UJXgO%V|cRcF^vRS8#?2QBnv;*5(>UuXP-- zo_t~>E9xCFwb~srbtf>&ace<8YTXRx1^d1ZiMpD=H*ep|3XHV5ux$?AO^f;pkm!1< zpgM+iBNr$nP||r?6xfW5ru7C>bhroQ5~vq-W^)*Q?0V{7@(}UVBGOes`?(*@SimPP zK}e=j60o@*y&UVg(r0eWm$g^?A;r#OfA7fbvzaGD1=n%cNzB`}yNe|*RO#DVM=J(Q ze({9WiB!*-FSYtQdC^!6W7nK(9(-?0a1BFHczqz6*!9w(x!4&w7<6$YIc)#iDM$yku==1Qoc1M>Kqwz%t3) z`dOY!iio2FoiyNR2TrHHq~A}M@~Cs!qrnSZ1n-Vd9)J9u^qWoLr9J^QoQ;c{nx5`) z6LNBL^7|Y#E};LKYHJP%1PJhk=10qpnN@CNaF9ApEYhJMwpP`b`B1}t1aj@y{7{A%m`#@L5f^(6f0KEaZY~KRTLe$syE=E#KrxiRfy&>3i26XynEaXlNU@!0ixE- z+Yqzy?8w0%_H1fu!Tea5ogYe^}h_&kCB69ybjwXLq@A|jpN!9*M)C1<>eOCUkS%)tnVRC1muyT5V0^tssGg7G( zc&;uSUr8H~$%Cs%=!x83Ilu0J6#VqUFU_+~P}<-cvd4V({k`lcK|mf?n|mm17}cWN zyV(%0-}E(j46psD41}&w&=LNAPZB}%DGNj74#?g@i04+=Q&tNA0S zM7?n229?!jC(QjAFynj{o2Z$_Gw3DXvtlLvK81H)iw(GO#FZ1bbJB?Ps-fQF@Uc9I z!cU{;I}`8O?flSt9L-FBT5x0P(^v5_|A9R-@hwdXUoZY;>lX~S6x}#CrT)Isa0~+o z!uHqr$WbL?LkFjnuQH0=oEAt9K=MRj{(__PRJn|)Lq;P2BXm-}0w6n5I&b_rP z^f|8j%H(RBm8n#h#>s#*k#|e1rqOZt@dUF)35){;Blx~?!ag54M6>x!mjJH8RjLM+{VKJ*V2q$7nDxPSv= z#rq)3GZTA7xi_R1Z=02x5N74E6>*I{3P%~6ufBipy?Ky}HR@2&F&VTn4PXFbJbuX7 z6Ioqx7)i48f0S~@HPDtAvZU9CQ3edpjB?s@&MzEwz$cqCz7o(3Fk*GCT5zuUq3t{j zA(=B~7n)KVv%hqlh#0h?8d$&|vXhuOA(uhL=s`g?35hf4X?2+JeG6X;nOKq4WaLPg&jZSolg< zNQVa@s=9M2VGK*cBP*S;QatkDR2T8(4m!dI<`kup#8O!=ce#CU0+y|>JopCVjIcyY z&Rd=Q;|BXyO()Vw(Mh4&mbc{@%kBF10}TRUjS4mO20 zs|&V9&cL4X>!;2Rm-E=(mlxOUiT>R=wHZDo1-w}4` z(&iTpjQTwb@n9MN^o?vtjLds!(yaIK z3tnU)f=>8nl|RG`cuq1eZX85woD;Z=AxjO!z89z`c??80LvZu&W6fG`rbi+trKI!k z?j5aR2nrxUVgjmI@YZeXP0o=yUpXvamjcW6+8ho~-lD{LoA=7B)mhBQ4)CSM=j+YT zm6cXTswG+NQvnfcc@IA(jxWhj`WQ8Vk{?Ef=F2JzeA=a!~w?P165nz?~ezDFE zon=VDRPO+;=$A!J@j*x&2d@(lGZ)==W5dUxuITyaYpguTgF0kcpQ%x;8&;2vl@wu^ z!Zvxk@v1+Ub5Yzz ze?i;rdIxEPMdq)@86!8uS4P$Txw9qgNUqF*A+HjXJ-rTG9Gt{jY4n2g!^5 zt4)px2yWM7-H`7Xjq#Zi2gp=-5Gz_OprQNBM2(K~+S=MCVZzE*;?J@TWy%qS9H@#QwXzuM(u=1t4LYD@4Vta-hCWwdq?#`3 z^CMpVhSYqlu}DwBk0Rpc79FB{vTZAS4QK(*lf~<+BE9RUu|1o2Vp>Vl8Q&Gk?RYM< zQEOB`)fSZ|lpu}hj5mUC@ z89L0&yz@CrP9t*G6K}82&yHu$w&?K@SrpAnrCLXAYNDS2`~>iuyrqtNEo1>M%JE#6 zB9`me<;18+u{)@FM+tyJQ?tP578K^-VPo8cM00WB5`lTqBzj|kgt6+U*-z&N(WSk> zIbSo#N4c$ThYBbc`;? zP4UWFGDD?ry@3N6dd2Q@?=YTR?3a1)(^hm0_bkO7&SQelQgd>1{T5*pRZfUqhYMbj zH{crZ5}Vvt<|D7hS1&PHmS|J5+8^nxe;5M5t)?X=5!7;bIwq1G8P!uWr^vaRS{`ak zC;5pb#h3nK>GxoTcki=SY9P6!3Y_f%>HmLD>`_mxDE z>Ms75Rm5CBVc4?qJi_lkJ%PUAT8TjKbz}B5E&Q!7oj}Py-|fEYQm&;J{S`AWDH+Uh8vQLtXtHC1y{rknJYzvKE-{rRQSs(pcVT3Cc4+I|GM*E&<`kVE z_R`aH)jPWMi9f6AZK4drxAfEE54FEYys7GNS9{Lp*@&3N_u*hA?aZPl5=D~PL@tt} zr}}l#R^6D?X(6aVVuxKOe`Q5wKshn4I%ukr7OR#HGQPG4U58bt5`E3w@SA!KJN=sJ zfu1Ku@D9>;kY1fbTjJU~{2YP>oyhl$G;@a7zqnV>mOqxqYC)?P$`~f19O{gRULT@r zU#~2c1?aK0wDx=S(MOkZ3MR1xp1+#aeJQryU$-$f$Mb$jq@{*}xG2&A+8EoyeR9UAfH86v#l1e15ioF)kvM)#SP{&DD)&V{1F2FFGc{ z!I}dZobCeAC%k@jZ2$w^L0~hXLr1t<+`|EhTrZkr3@4 z92~MO`F@*8w|&~0>sKcsC)MHgezr_eYsHEqoGol3XRp6ypfx&KZlAV5S9(Ga&^=!`p1Qso zwXq#(F=qvxM6ZNsaeBW+`$>;*3=2+m5FT-dnrO00Dq+P>*Su{f^WZLFK3(JwzHgp} z-Z2B0&JZ7lw-4keI@lK$T9_C16lJ&Ze_U7)>#nb?Y?3zf@M{>}lae6Z-#?71O$XbE z1hLz*wH$JqSAtWH)A#+=Ta{@v9Gow(;?yl8$a))&`jyMfzWwG**4#e-CH0VpPD;wE z*HW}SEk(d2RAv4!&9@71s55VhyuOEel*z>)@f#$dA$C^W)=jPvJ}SNcQE`gQPYx29 z9elqwZ)KtpAWvnXeqr83gek!9lDbEZjlH-1W*J1gzB@gwhKpgN}{HMB%IWx-Q>0=htK}-EBm|0!}2lFsSOThQj23 z!x(5*J}=1D0q>#b;NW0qXNQ1(rkuuM_eV?1YGEDoe(gJqdr(>y^m174+(?wls6_0n~+v@5v4-qg~PXzr>cFbwH*{Y;7{vd;LxJ#8i4Y1)^7GrAxjcF3qnyF~1qcT~;ITF0}?LQ#yQld{5P!=dUJbnSC(` zh{@K7qGqlCab=Pa3J!npP!LIj&ul&Duhn8To%oD~PdGL3EMx{}$CI8{h3wJ|n`Jrx zU-gAsho+#sEt3i>_Hq8TJNk9JCXynn(UeFsh_y1EHA6bbj}9-ql2oDTf$pmC zK^P@ykf3BX9}o3Dou4^k&GG=-WkP4+ENHyo*U~3%9p|{(Gn^YpjAD?jas;k|w>8PU zAZ$csYu-1NimPhAw)7Q{!KwU=LzP;|x=U>*YiuFD@G{+uRlhN8*34_P!-IS2i<{z; zNVLS#fMR-P_>oPR@a}_bo_UZi&CaDZ`WKNy;tmnLv0_qt69>McGRJPcs^os80W?0Y z`Jvi8ybry!zMRL9V?ST!F(+|SRPgBN^*UM4=GlxuErS8)t6@56Vm+X@SX~72cZbhx zyx;q?KQcPo_(u=t^MH*JE0&$ZXEHVuaZ3B3RwFnFbq@+ba39ohcw@WperRM)QuBYq zNP=-Sq{W`lT@v(yEp1I$9E<7VP@~?M&@HhgJBx!$9jQ0}3~*$LYjK%*$Bzx$>@RJZ z-|eC5RUFj)c}Q9D+Y1nHlG|}8MY>9wHJhKGx30dkT(0sF^9wx@->*-r=uaKZImj{@ z(PqX{if_FgS->@F{F?-O5I8T!lGQD6}EMbX4&rsX{o1Q!|6$l2D7m}jJ?4Cbdia~^F9*)mIx zQj~PYSN)Iq-)R%xMv>+(22y8meL3@>} z2iZjGEhKxGH|{rX7Vhjlzgch&CwO92FwPrLf z8%wo}6P~fRzQz0XmrM=?xu<4ayN`5|<e>a9eFE7-5v z_d3*Uh04tnIb+!y^N&r0j;tiqbDc0;M$bi7%0L^S7*+OOypJ8J;=UVu@1Y8>+sMz`2Ww-2buvGTEI*FHGc_&tHdl;nkAq$4ym;lc%xNv>b_f~@gQ!urqamE`d6Liy2<|-&E)BA ze`Hp;baZrdZ~z_ZKu}~2O+Q9O%CaV1a>&_$Q`Oj$ZUM&%rYHq^vw7GvR6n@I#XJlr z@-2r^br-C*@2xTgd|sstl`wPInxUA+&U*V>ljHMUw~E>S1dw3&7*i#EG|(R0iCbE2 zBZnc$(U1()Vm-;8fyzRt9;3Ip`C7E=8hE>%WWeBnuC9acv#Zv=A5o(I9%cVqs8#C! zS5~^m0cK7>0Wo;}?rnFzE<;1RdPm5*t5eB>Pv&;FUhe;%$i_^f^>_EY&KvaetD}3DB`A8pqA-olCgVqhEbwsW_I#S z?VsQWAQSDK?U^1~9$B88d>V#U1X^#=A?6&l!u0)TQc(E)S4zSkG`nn_{ZYvyLyf&? zr-#H#;z8|QUZ@{-AU49J4MU6zPmHr?go_ZAbu#Tn=vS_?RFHZWl0EV zuViN2KHHUU3|1Vl6xT;hpZQiU#jk^qU?h?eLRe2{|WeQFVX@8Z_uLC7vg!cpx<4f(Sznw!@=4WL8mxR(j3m!%VI!1*P6wfp=fr~{2 ziqi;ihTHvmfNZm_jDwHo@h`C))Mo;A?J9<%M5q}lo!+-{6a{9a z3oXXwzHT4z^71zCQz_fsS@_LoYb1k1-Oz*QPKGQ^SS zO?{y@oRrub!I zY~^vsgZSn6kYblj?4piQ zbw{uf!rmCun+qtA@^%}ijC(y#N}k?U#=1SRS_+pC<3GAntV}NE*mkW&Yc#cYg~6Z1 z>+{DcLGlp!szQ&iRZadCG?zq)0q0VLZY1-I35`!%6G35?i{Bu!H%;Ls%7o z0MgjKl)bSgPgZnYMVjdBtn0}_m_@w}+S7}@p1{=)@?zap~G>_oI=EgBm zG~&jKdm(lBjO`_w4|1}0Yh)R5S*=b_#W7oO<*RLT(_;zIT_0KTP+2B%L`R@bjYw;X zW9tq&H$jN9>Ee!C%T%5;Tgmal#mj3N{XHuj4^QB;g19!K++7iqjjCkFPdv7Ajck?# z%ydUyOTK#s>^6uNMr!5a6&x*f%KgSVd00n%C^~~=Cf}-3w#~@<)=pcr@0x(euTElo zjIU7&F2*`x9^E~019`ow-Q|@~2tOiQF2M=Vc;obUM58C!EsInyKBAJ9?m5memxMPr z!?7NB(*{0G_U-Q&eDabe!rK$GT(PXuqw;q}1Hq{4&6N3z%TJswFZa-cBcFXVhaN(J zA-#V+Qm=3X-tc#ijKEI7!O;TG{=OwKC?G#IH5E!Hp#kJoR5+NK;bKZLM9C{|tB30E z*~fl`%&e3M@Q-KWk;5C}EzdHjWSwhdx(Y?PG(D8BW6NkSvp?t5Xdy^dwC+QAbJF37 zwtG`T3Pz*v>a%fI#Hv>Mta=FbS5Ci2hK95F=)x+=L5(FO{zaG;+-6g45wI>b`eYOp zgxn5&cH`0X@|^3SU`1UToYQ6csMx2Z2O9&TT;c9_G3bH!ST!Rv3C8}uNR}i%zWjNr zmlev)pE(I8tAY|sV=h}-2uR^qn)+PBf5*r;yMI{@`gXaIxh1XAErrPd_>p9jxxwox zM@qyIb2e`)G)}%M=xLL_?<&(4MZ7@b4vViyBH8S{Ad~^@wu?D>>Rk#az+F9BM4DpzW`>qg+yH zyQuOX_cYpGrW_g~!B|98WzNp#!JWv(c&d}1XSVy14H%zd1_bWMRdt}V%q#q{{~hiS zhrX?IW1w;|DqTZELJSO8lDaw1=~z(TywucGP*>lFvTt)3M5x~@+OX6b6zXfZuKn@E zN_z_HvB!(n#u4vym^Ypfn zs|oO!8u4(qG=~gtC=J80$Wm>Cq5pQy7UgsNb`FW2xTEImR=v5&!${+UB&A=YPhjk6 zxe6e|pSM}E$$1_8W6?dKQ!;THDaR@Ao$d94)9-NHohXQlrQtZ9xEz|l2@k0=_V?Tk zs{_4HqmDgLMPU)kk9ty$MAa32h2Z*uQq=k8=K5OlE6(*?r6lR9--Pcc}3c6r8$3p=Z$WbS$OY3Y_e!~ZgNWRfI77^T!xo;^kKx^9^pRMb-6 zRh>qk+dggmL?Bike88|QP|oqy?~d%*mo_A2l|HT(1KaI^yk97Rn2>K}U|Cg*#5C8sG|036d!jcYhtu+HR*snb*R%&M#F^2K|C+ z!g2GI7X6Cy%i)7LP>&t>;M9Lhz-H;cv~DE=kyJ;r!xAAyhZ)ri2*I(1p#Sw%V5vy; z4gTYC)8nL!oLM7|(|^vRD~eGwccm|FqFx9Iz{%Z_o@1<TW#sn6SkN`Pp5&$*pB*30YX3!y%OekNm(yVtZSDW zeES>gUh?^aS19I4=w@^ia=8J!x;+swzw`Q zquEOA*}k{WgSo@jA89Qc9DouCl+btymR&)4X&Wb8M^djDdfJma=H<(1g^%a?A6n#= zt*wX{ZxduQ+ZUANms8jLY(JBxHb~*PT#n7^$B{qi%p0*~83+ulID|pVEHC&|v_038 zjn($u>7HqEbo);XKSa>?ZSsN)o-3-mCO{oXzL0KC=kaZ*@l*+tcfd5%P_O2OZSXj% zj1`;As@jG!hJerZe!CC22@r#kD&q`i`2_hK3Xjj>ytD(fXtaOtn;}x!MZ0%qz->4F zjaU{DkGtd3)vOQG>9i4>+!3`5d#2aR(Tk7r2Ou{)Ca%PC3fwixgad%tVZ*Vy5X@} z+Sj4ZbI|~{m%-;k8$dx7BF4!}@JaA{VVU)~K3Ln=+!31!$-T+)am(w3YmxC*;h?Z( z>0dD&j~AAp;4co_LD{=&w6ge*A8`0e2k3$ zfGo!(M)`_bG$2mHOm3&g>C$*2DMM|F0rdbOP)C&ys(Qxl))QdEi~)K+Awe@_I4gs8 zdnar*0Tv(u)c6zN^tX2uN3>La`)FbzTVV|a+03?Z=RcAl^y_5CWF}D#|I87qaWz6E zvwlABor%UgQL;pjX6Dq96AX8wA61=QpU1Myvlaq0)QPp>QLz;_)56Q02O)6X)+bmyU%Sx~yfF0a#S&1^hO zPn(^eHHwgZu?8c0X94}?5T>KyjE{c$X%*6~sN!)}fOUjXX4O8%-C29;A~O3-pjJAfvp zAdKL{t4;828aW%E#E(C7j8FON^edNaJv&L{|iw&nJEiu88wj+VE_ zRRaoxQ)+FzfDh6oVl|vqF8XvjYhPdNt`zH-gSaboR%@1~1F3DDyKnLrWAxl`%tZr?!lac|3L_`# z%`^49?cOFk((a@Zbj2Ng?d}OdNA?!kJq&PzzY@RZ$j-E%m`>n#JI=E=dx|t!@I!f+ zclYbef0R%Su=D%KpfI~};8^u1NyTgdX=G?GuGTW8T&4F;YNRA2bY2TuYxMRS$gPz_ zrV4FMH;rqDbZc&OY;4Z`%^zLT?;LTgbqhRe);cne^v1oUiG|B? zqVx7DLJ93JWF4^cZDwV|oUNI7)`j!{Mc}@~n#D+#OL-oobF_n1EArLIPFwSfLH!P^ z&3<>*19FuypENUr_H|p#r)_3Wjz{_x3HdXamur`Tqt8F_Gj6K2>G;_qv|nAnt}Lvc zbO0C7GV&(}NTZv-B(u^?6Db~n`tok)br6P1hT;hl451dTuI)`O?{tQEp;V)ENxEe= zy@-hJWODm#FI=T~&AI;IxDJoS{Gk*1F@zAL-Q6KUNM^&?xx*KB9E6Q7U0x6OZ1-=r zZ0h%^We&DT6#x030(1}@kAbd3>M2e*gl`Z@v31G`Gv zpmkOxeenrf374WVhx^A1wFd#X!*61N zunnC{1Mq?jepXr#|02R5hnxf)w@rk1rz{$k#vsAmU@R$M&rpr8XPg9d;)X;({BpY9 zct*;9lpsjhC6-XO&d7pvP1fkutPYuaGi5J1wm`ILi7jS05MAcoZ!WpWTRCB`KX-Z} z5UPv`+PmL;wE*gFCL;w&_5z%!2Gw^!g!kAXAQCun3J@J0y#Qhr)xW143|5T|f`ccI zFiEd|Lg@>LKz!YY+#gV%$FDk0@YhqV;-IHWsWk06yM7O*fdFj;hYLr4@&AsER8AgB z)x?HalQksb@7f?G6cGDmx#bvo-G7B+LJ$tI{?Uja0&CpQOuxS(QfM82NL_y7_OK4; z>9oh0A>Y8p(1Zu$*woI?WQjVrq){X3B#p-VyMbcrh`0g8p$pcykx^VKRnSeI6;*91 zQDrsL)=~g4R}Px}5UwIgK~qWpBKq^q=d9}994~%H1T!|J**t@6#7}>u)Jg~y>DnoS zm#;?;4kZZE%}NvRTWOohX5w0XhIgaWnS7t;tA}TgM^Q@=cz{_RD=P-Tne&FqTcn5q z4*$t2Qhx(ws%`7;m;whG2osrd6{;Dr02oizP$W)*I%_d5b~8$L56+jghV)BbnL=5E zNoO1xStZUhC8yUS3jD&X&s3y(Y@JlOvv@@m-Glnm$ExU(T~weBj-^A<@D+Rt?O{QF51#h`<$*Zn{<%%uNRa*E96h$nn}BPOUg^3?8EnE z`X!;3QMdNpApvPX6;9MAG4kQaRQooR*!I1c;aUwSLoMH0fC4`&wxeGh(*W5 zwECnn_OKz12>?cp5QHpddCaD1{P3-En1d?tPFdbub*!nbSS3zkCRL7JP}Z(R?4(^D z79(LnC}cH%*3a=@&f- z8{Oz0$Uoctj2;{pAJjJBKe_QH00-M_S~6nHT)QWCuib2`AFNxI6^9b7>BYnQR01cl z<416lXkg8j#^2GKo(R#9!h`a}jmY}i^w(}&K3b8fS4 z0Iw_xs7A|n)o70&RNE{N*AXgk)QRW=ri)HRkd?%_>sR-vO*&)nNYJ2?XK~B-iE2gP z3PS1~W{lQO&fYUa^E0B^=fz4Jj*G$K3+boTqQn)wUd`-Ox%-h1J-yNfM_IgVc`16XFRm+|$X> zr>hFLi)2l+cM`7XtoI#NB$PZM6Z`XE%5xU)RoggJw=`6Nrz$YW9lx{;5v0_ZXFPar z!WG9-$zf$kifiVl>!PPusZa}Swn@wOI4+H$>UZf}_>z)3ld^duV~MC@BUxmr$9B{# z5j{1ds=JW>pEWyw1ziaLvkM>_<)22Y%Y^-fl(W}O9~riiEe1!fMjw$3IYJCXsjkO> zg}6Q|zlc$%qO0$5Rx_X>vo@$5+9QiWNV4O2v$e{!Y%tWK)xauAfS{Ii-SPDat3lQP4MCfh{-?mQH@-!B5SSx<<+Y@oc?2p$vhtn+d5k z?Xj8;KG`lKHN_RQeq~qr&~PAC8|#~TU9i(_T~{D1$9=|-q34HATJM5C@yqVXL{J!#1RyQCKMDRKt6|M@ z^we<9!%r)Q=+SoTF*?a^;p%Qw|LNA=#b()Z=OM*LxTodGZZ>sfoqEdBxT-4RYTFV` zv(hv`p|~1HBK22XlRhuQydPoY%%OZYmc=XJTKby`sOwhr5oQeTc%@ge#Ld!;iR$in zM{(mZhpZJx50^1L<7&Q7eEgU@(_!Ky&aWJg_x1XAK5MALKsg?B# z7_iUiu~4((r*rx0m1R@l#RkN?oq#;F+ACJDvC`w}Lbvj|;Z2&bZ2{w!?>}azv;^$m zamE%C(IxAblKl`N36uY|CUw0es-(06p0`0GQb_*GoP;&fk||Ltu{7T#+>W^S7I{;S zE7u=Wn3P&%jFJx`oL4*8;Hq6;s_sv@y%2an-sFlz7$~;_f$JYn)Cv|IqYP6SmQ;sc z*l-q%#6e6cG`{rrG&jEZ_WYdNm(H!Eyv9QN@bsXtaW0&Eh9`K69<1e({T94Im5e z6=+{>6_rG$}d_b9I``}K=l!CdL-iki~>fhC|Lpz4Y2seH>;c137Ut2i3F`=3Ut{BMmtd+yY=^#yQ$3NTJxIuO!2wM62NN zkUQ~C0E10fzJyFK@kq?we>6bOkhwMeq(~lJzM~Ij%8C>g{*ob%YMV}XfD`q zwt(|2RDWL-s3`aPzjVJ64TMHHT;K$avWwg}ORkaf(&UR`-1K=pv;HoBkfulxyen6I zCLv9*aVH(-Fbjbe_|ngL3^f|73^%)CQDeG!Yq7!Y!1~0jY((e7Jx0}@?-KI&rDpZ% z9(-dUJE#NAS(TP8eV30V+gy8KqhTG;_*;dbzBhv9cHd5t{5)y>@QB3iYWGitS5q z)$OJHZS(l&E<2AP>PQPbZcfEfltJSQ+LR6+`)Y6da6Qq%TBn_=w8YSatC}5riXk`t zs0ZPc7cI|(`!8lX0>P2Uu;M9Ow}M0}r1mR;)gWCm+D zcgl;0&vpmpaUNcVFLwhj`95Y!$WAg2q!T6p;z->L^L}J8P^v>yUike{{%1(w`{}~| zAtc29voI6Ze>r%az7Uw@);A@Akm#nQ;cCNiO-UC7=a4v8qB;kw>k1ssE@FG!UpFw4 z83K*78?Hq4K@m-AYHCn*{AZ#>8FE+XCO!0-6UzRTJ5*sw9RQWO*!%@~Oq+QWhINEm zS)3DxJi+{>;-10FJ6Fpo&(69WJ?h+qpLY*!Gcmf1bv&D^8;RxV9LJMRLYkEd{O?A; z7!ON9TWpc7Vfyjh9Si2fOTI;2=$R>qohA8kHM*_2yk@DC8qvg|kXd9kO7;`1z}y~B zm6ywY!pg>-Xetn?a+R5TyvclgfXA5_21;X{IY(R!B}t!1R)CLXh|#FZF37^P%)U)= z8_f+~0YiOOdU@4zzAY^1wWO#eh{3g+Zkr7LGOCuQU1*n`G>;Z5NZ|9Ma!sc@z#+QO zq0)91rPGwTWFfbcl(jdFNMupDPmNm*Ky6%z z%he_S$Ol{1>M4HAqsl_1w8-%r6kmcKSA5qSEg|z*nJl&^v)My_s!FDxB-Kox z@T-kv*NNO9z85lx3=)zIzeu*(A+8{btUv^CnNTpO7BL1lxIVJk&PskILS`M?>l9ZI zn_aL(645Ur-Tx4mvxMr{-0K$5YZKAy6wv!A_TDuRs@;*M$#jj`QB^Qv3{|?HJ5H97 zEb_V1_yP#lU?$50Vi6&m(*C!(fP2S#p?>(lFR(dP^vyGWSq}dfsP6!b=dv92a*-Jt z<&8PkdxYo*?s8`bmQ?S7A&X3Net%78xNN14T;vieT3T9WW@dVN1Vp1pnlA_qq(aTh z#kojvwOo0DbRxM_lqY>b7R(U2;S9o5aZ$m_xpP55K@Nh^=mDJ=(gn){_R&jtt=V8a zD6?WR(VpJaT=(6DOA#MEOk%6FlEU}-azTMNi;njl?i(VeDmdSv`miZfsA`Mdj+!_3 zGgBlRgBbO`jIB$No0RAe3^$`Zi49K;59&EmZ z&u7&AcsG{k0U;aU%67*uLxO(35STs&y)e!f>mN`11C3!|Gq4DKyWo8VAG)3|gVYur z7)LVw4?^;R9oX;5dUWV~a9Db9Xr?vL8;tvUBr)q&1w{9`>#o6ImJ#9*KNgSmx=t*v z2PrQgN`o2T0@bL(!$I?;8DjCuf4<3GSNTDdNINi$>aMUAR&;qLfT9PBwS5VV3eyTA zON~K+5=3n^`hW=yXP$-CnOa5FRoEXW`*nXVL^8103L--28@6ILya(OD`Zz*vkP;Eb zhi+16ECRSq)(;9S%;c-VdR_5cJo)vhPN@|Hy!~YRLr-rEk~{@u1dbA!3da`in%=2hh;vg zpY>uN)>VbuLbQJ*se0%UBy^7CPq7j!k||^#E_e*SE)lt>mOQsALDPTgENkTx$bM%* z?4az`$yXIRw!`9j2?zJQ{<>EM&DRh@rANp$N}1YURA?)Vp0R@^rj641Q5NFI7iR`U z5Qj;t33aZVlteZipp=;Y>!7X{))h*HlLpO_59*UEjjmpuE60;KOFE+w(Cj!v%=HTP z*bZrdq=LBZc!fbhSLAX4bEN`E3WHp&|F3c zZQyKqy*|uzgY$ukR)*U|p7`ggO+q+YO=yOUrJnL2$*;Kqv~%Bev@5JN%5^wAznukt zd<70^D>Z%Z{B?`^Ua!GqeIMg>SOdN7m{zkQh1W}5aSfy5MdSX9VYSH&Tx-fLM4$8j zoF7KgD!`7+K1rYj`0~ef^S^x{BTDcny?Qxq=yEt&;x6p<;NakgtG%FP)*7jB8lUhl zUz(rJ7fzn8X`ekz)zDj4kx58MvRJ_pBh_AAkw`mZur4kb4SJJ0^q5#V7#Jvc4hicT zpEXQi#ozb#Nl0KkSaJt5QhMWWZjo%67)17<{n--Vguub~bA=f%R@=O)Xeg*O{=SX5 zqJlNSkp|FLs1Gw4rF@60l}x7BHMf9Gf&Ys#OKN>h6b$csqy0+F@s?5k%)fB(F_}>! zkjZAIrWN&jF*+I=8Zt5%gC_5XF4KQs{(D8=P$8I}A0BUxl6>%N**^Zc4ftn5(V=M? zU*QaY2i52z6cKlRMoIv>LiGMU`d$+StjTj{C~I+I@Zr)->kta>JI7+oA8e_U079b)&ITe#FGM`a=n5x=9Vv>g zO}QdX&NDxjDYfoaE!gT0Xbkda{h5<}FeF{tJn~DvByShDO7R zGBw3oz_a_a9a$<^^Gwzu6T)9%08qP51+eYIz9_7%%}u3>_OoQv6G;e+3?Yy0G9CQX zSoWkuZo1?X#zceJ19x*!Z_Gxlk-xg0fq@ueK*;+JRQLi2FRO|N4Wp4R+^*Uyu72#H zCAGmbtkMULu&2wrYD5qIpFh7U35rjw^#emWNCWO-e~$}SWpEJXu`$8{8sY#I&2Pn0 zpEbCPYgleu$=u~BwA$}|dZRf05bc7z`Wz4uHa7g#3)XVG&z>1DSY+eJ1sVVVFo>aX zgxRr%CAvoe0s4O7LJ!+CUx$}svy5YbFDx|@k-hzEToE%;?->pFl4-qeAmmXjIOVe% zJA&CTT7R$r#`z{>-xqkmQhq&KXt5Yu;2o>uf`1?vaEJJ7>yf_~T00w<$glUS^g4Te z$x|kYEeX;sp7`{$dof*ntm6`U=a9B~T^h7Zt2_ZL!|M6M{UD-t0%mqnClk+~>uef^ z8oNsEM#WtvHFl;V1B+kr@GvtYz0GREo-iNbk!XlDud=fDC+)d9+(Q#|!+07`m@Mc0 zFM@npejp$Yt73lbSNv;J2|-j+eBRtHU358GrC0h+68j@4eQDlt>jtI;4~$CFFdAbF z6j?ZLfK8CEICK`1_*u`o*Q^hBK$*C*chOkIBH0PA!C_IoN>pz}T5W1sxse~{e3{BX zPb`yaI~D_VjbEQD_J!&;Lo!UcZ@WyHyjun4-l=r?~!J*dRH@Ct+m#lxl6)LjN z&%M&;)dKGKN;HYhuFkl;zO4oh4i0cT*2I#0zhgStWJ;b*){~ao5z?Tv1P9!@+{cG2 z0i1HZ;^Fwg%AKmc9l-O(d}y2L=qE+D?E5d*?G+5f5jD>VID-{^XnOq1USCsCnJhBS z?nl1p&8#jq*5rG=t6U9&(4@Zx5NgU*q=j?0mp?J^NT6r$mHm>{;cyEsws32_ZJWbu zR-C9Vb9wdM>t0A|W+=b7GjxKDsXQ~Rw_MtQ7Hxh~So%O1-;IcHZMQblc2rf0i~enx ziXWY=rA2qHfxqo`HOA~x#qGA@_TYGDcJO6<1zy(bKIVTm0wjfiyX4N&sbXASo(6zD z|IFB!Z#mqFc+=ynfpZ-mY^x!Z46UZL2s%dF%XqAYFs^`pZN=q-5n;Ikf_x}7kjCYQ z&=12>&$78;ISOoP6+LMdy$T2XJ!Tgyyexg59KTNH#|-HXFLQ@EU$pH0j&OJ17R4wY zf_&G`gJR00*O~4UYj;z<K+9Fjf7-@|Xx4m6E9waVb+S$!$cGQI)J>0J! zmLEt2xJlT4_*{YN%Y>~^x7v6eT2k!?n=HP69h>L>>baz zK4Y(uMW0*mCMs1yt!zQcK28D>Gv+H+22-9dI+jbO2u>o$^yw$fGwJdbkJggI3JMGs z#@oh3^o7+%^V{%ScX39hw|%o(&djwH2(wtmNi@GQ;4_={iSHHwbc$V{2(ftNX0(xX z=3a~L2AK0DM6j7XmkaXmtTr+(&jEgwqjs&22HplK^D1=hf9dXZei9aW$Vnny7ii zmV@qe%6<7~dQvZEAjR~9O~Q9)7WpfrBM816n@%_=^4L z#~Gi-l|1uTzf}fRR%FkQdK~~8H>%MC&i+0PolsB^!u<+4>itK~v)KMnCcx$C5|RI9hbeej+GWcL#oK45?gi@(pUrVuht;dX{kQ{MV*Q#S4kj` zEfogxm{C;GguQICEsdAJL+1x_Jl~CVRL5ffFg(&7qt*7jb+=r{LhaATI;#`*41)Z0 zT-Tw4N{JT=g)~;TXC{ZQnDk*-xbWW->93B?R&Dl!tIMgGNtVL?y2(?SgqX&N(~ zpSIofbZZQme#FEziYZf|BpV>ZexXe=P@y6WQewy1Cr|m_m9rkK*Fim;oi~)hf$ZjB zFoZr;jG7gEyjsi4MHiT!dQL<)Q6q)MxwFu|((+ZWWn{-1yqsj-2=66(v&EI-uYfOJHpoZz zW_!Ot{O;~_q2*4&q$rkJkoRy^xXNnm!a}-kFF9^6i@(Y1Y?a@Qpv|*iivq3v|Ku~E zNy*9OmE`%?xm|Zv3m5hN?sqTGv$orL0LTRVaS;WJ9n!p?R_qQP@qu>TWtwR2GHG`spDgMhm21RmF zgqn0>D_|A_p#)5S7dvhPqwM~2Z94^pKuIuyU>H##=Vl!P$`;g!S~ltY!TbNGog|r( zkPsavsIk%UW?z(}qaz3ntlT%m%;32TUvsoCSXv$!>EedoJO2lK6rz4Vp9Hk!NRRrP z))(|)=*a6A?%?3yRGP9A8$Szve|Dn3UDMFUXSh?jd%?fV2S`Ngd3y9V9k^G&lUl4H zIJOTb3uVn%QgzBTjQ^nB{}UxV_&y$kVLM(Mu<+FNAJ#(|#m)x35U)55;LN|!_+Jmh zz`%ee_vyfO0&Nx0X`lmGK#LiPg#XtDAOe4a&C#P*EyiX2EhbFRY$qMu zpJe{eBMCsW1E){F^NNPVz;@0whB|!=vk9CgQv%3@~in9 z?fe-`Y?vAAlc6glvdM$1@_{f@|InnuLY>T2h(mfnAj=2jkIoL&%YI;_m=XUT`RB3U z>m{3wdj%4{5(R{VWQ8?bfzB<-CUtN))-J`2{M8>W% zY<8l)@4rS_3`%7#*w!_^FESZV44jtSg1N@yu@er}dco}Fe4!1H{y7rqbqg=&g?SZ7 z8Mm~?K#Rcl-{QaWgSKr_=~Q&;@Adx>&`6?rBjGf=CAy~R=zp#pt?{)6LtI1**<=XPCafBar*T$*}HPK;Fi$RS)y%oD1bdPjq@R{VYn+- zW(Q`ac!#=vC-DVbmdr0cg#W|Fs)_%T1rg)W<=(sv1~mK|K2 zDZiNaG$+N?UIe((ENF@=<}7atHm?^S=#So1Moctk6nSp-8JH8g$-L6O{s$%mApKUi zHP=ndNWV`j$vMY%*-zWqlk7c&$YPoLp>qzqCxoD;aSs_~oJ@tvxgcP?;6f7v8E)f7 zoOp$`5c7dCS($d~UCEAxIzEkG^Pa&eEocUnKm)_+Vps)jp8fSK*c~jwzB1;I13|Ly zG%eKTvDRK1PYL~=UxyXh({APCm0=dKrI2bctiz6fK|;ahDo(MZ3n+cRIsEYR)cOWS z{j??m5|{1%)7A`^P*$a8l&PO~SD+pOwic4@!}YL(m8|vKwKVz9nleMidBQTzo!UUh z;V-bBOe5Bi*jDWDG1P_UvmvU)ZlvmI&tf{Ve0BYC`jSyTAG9pt5yGhJEK`%Zqa}J) z+O7nP5K8r~iWmK!mGAZlt!Etscr>%$yo7y;*%Cy8=w`I3nKe1qjOecdHsI#+*WX2n zghE?T(X5#_FLx(lW)v_+*FXHnh44F_@ozmQ^srMVVUgqRh<~K!c7K`NCA!ij`Iz4C z%xqRgg@;T_kSJy~P0sW*ok;$UY2n`IzRy>6%VSm!XYpHteh}*FhiuM=QOA7a zuEAR8*B-&aMC5!N3B9|6RP|)+(d4QqJlJX9)%S{~`gSgNB;sM=^7`@>GW(4W&CIB% z=;e;dU|r0QXOh-@q3pLGyef8TxMfNRcH<9ATYot(3dMkE+d~dxm~{Eo-}+oS0gJhP)0}CfVOFG)gd|eGzzmderqo+|xYA6G>gA^8O~Xms zBR&UtN;6Gbvd|VdJFx(QWty-j3oUj~6C{W?dIqn*^C|gye6$*8sFs1`sT#Oa_%vCH zbpu4=cs^xe(X{9I=p5ME8csQ(g4}|x#Bnsuf;sglSE2NdP*~TR3WX6%W^`yL{+g1V zH(mi;G~Aevi<1&923nVuCSGF5W<0hJjl3GX-x3z#+I zQwQJ?lq2qwcAf53YQl5f!~a!VYE%&CY)J6%t?m~ldU|+#V|LC$WBi+L8Mp@=oB*fK zG0~>KGYmk^DSp7^u)2~3W5xHkUtJq5Nuv4PjIX!VqJ@Vp_61V=xn()qQWFgiLDsWi zmB60L^5qP_Cq_JfJ!nl}1{!Z+$8d3-*V$B3mO;JX%RsKo4eF};w>lZu*0+5DLBIYV z;DS#>g-hox6W92P=zi6w7V5ya;+y8^55A9&TUl2~xWDRtLFK|iMst2W0^4~l_PrxZ zU6mWw1@USL2c?$2Ts?wN=K7^?(D9Ev>JQDzmZ5Rmy$=Y-*M#P5fM}j_h+F*$9JydF zm(iStwb!tVAPwNE>v}IXY@s~T3$dRqGy2)N2Y#`8!~&5qedhklR`GQzqo3t5ma|+g zGrE+;Vh#y_J)9*drEo6M)6;tc%XuNYvIYACp|d8Z2@@pP9%+uBK0mWwpPoQ$f==%7EFZHMG}?tcA2tq6GO%*Pk+NB&(L-sf>&xfnU!-h5pn=GU+PZ7491!boNK$MZjDc%PtK5g1I& z`Nj5w?=Ns!#gypC*a{}z`pJZH&M)R@@SJURE+*>V^4SkZ4BH${))>A#I$}36_u48w zBH1IR6(;5Pr^(~;;lJhF$j)p6Fm|(1TNm2!h<7cLPjNXxxDo;exA%=jF;|vCl6&qg zWg?B{Lx;nw>J8AL9lz!{IN&0(TS+xOmUAJ5p=xUyUz~DKC*ioATfmvv(EDZqYo?=o z>A+Ft@{4_Rr|u!E<3#{}x}M+L&_?{3BHxJW6{#e`rFM<$)+&EXSPQRk(y7y}a#<^6 z`ty+wWaWN!FeK^{@^=u zl+|g`yb`?E>hsyXJlaE?u~yJ;_plfbT$~Yp?-seYBY&p$LB;ui(`syOsrVO;8>{qy zZ{3+q$$zIUsgEI2#l^1J=%exvgrkKSPET3xPE#Xsk*VWmZVcqFR zL8H7mY@W&#)a2kF|%{eh;$g%7dxZ0?`MU z9H)~OQ%Ul#@#bq%yTvODFZlNxHr$m9)ee1M0@lGCmffrfc!yFO@-Fv30$*3FJ$s*< z=!oga)Jwi!ac}zldl?dfCIV&A>t9b)BZ7QZY>1r%AW@6y_je;5PXoC+(I>>rDyi4w z&%W!d&#N9<4U?8+fOnh^FwO&2GhNPhrW)Brb+n(mXK`6(S02}6K_`Ph_aic?!VkS- z&mGjD0Any~*ZB!TW0|A58-_MyVHSGEpY@2Qz=lVYKpuXmZJK+GFuDc$Bw8qvBO{|s z>3)K#GDNj$-=T)N!5KzBJ5N#$(F!%n)x{fqvoPzmXD*YW*1jlA_l9R^7DQM+*jK|4 zs=I}eZ<)M-Fz#GIbl-P)g5QlQv(z6s5UrItw8z3xz@k;mJ}u`?78~9X@;Y5?iu>@s z!Y;JPt;%7KXuLZb24I8|%Bq~P!R#EITXmL(FY*5DR*vS0@pZzY%i!#}(EQeuZQJJU z^qExWl=}`3`3~xk+B#$Fmk;eP(|*?dOrdB+stx6{YM|+58KwNT(1kI7IF}bai9TEl+ zCg#Z`knl|u5bpvDTrB4+lHa<;Ybg+(&MXks+wD+MJ*&a@4`kHaihubfe5;^7Vi3ew z2alon=b8etE%)aj-?^|w68pEEp@bTu))XG$6F64%x}i~jJ-%Wj^47@wIPu^(5@hT_ zK{Bz$>v?QDz5{h$pElBa*v}+0UPeBfLHXkfFgdQGtfe~Y)P# znz z(iu9Arbqff@vUwWEjEZ+>4YnjgQ|*aP&4hTh55RhcDs$Lxn=&U_cgOdm?4BOg5gg; z9I+)mJF}C3?W6*%aq`Xf-46J5{G4y!v3H4!MN)9f@))!{e;WMg7 z4u&-gWz?H96M;ga9S`+gFX$Jqg`(|m8CkZ+=gKz~`AK_2rGe~K0?%Or4aony4|gt+ zf(;9Hs@~bz)|`8$D^;-Q<9ma^jCFlvs%*WPG=v&xA3Q5?2%eL8#WHmu%$YjPloQJn z1DE-9?b9^0WI@nc`_njfJV{>*O8JRUMPyv%OsIB+;j=r1%zI@JPFycos?yy8M@wNQ z$bAX91*)%g5;F!jF`(Phf3dx~VN=(6KQJSc&EeOX6re-zsR$i%dpxb8BgU%+z~$ql zh$vPXXgWUDy(1{S?)5cis+#+exlRrJlJ?nb_)XolnTTfW9#EKtQUQ%avIRU%xfSg&zyAsmLgU?QCI z{(^qy;gtO>cz^|bEIKDc z#al=Usd{1xs5B2^5PlcntilFd4yb2#^+vVmy$#DCs(0x4-V=Q79~>RsxB$$3J%fwf zS`zd!1=c<7O?L0q>L6g*&$Hz!>W(WR|Fmm1A%P}ce1_|H(Q7GRsafrbB?vrHDok-p zgzzh&^Rn#ML7plJI!iiPqP(vQ+RD~_tU;Hs>W0>u9o&dFzjKluQXjBX0z7**2KFKg zfH2~-jgDi@H z3A9fWSL(QK2W`G5ljedGKAvp>aowZupTw%<7*f`S&qh_(n(jiQ zc^mC@1nSOy^ruGVMd4`g97<+;zpmV^`Q6deZxDNHFYV}fXAN3z_QTBO*_0fz+P=o% z^J*|=@!0PwRI}NQe#?ytY&c$N8`*NxsZdzMPs4S6-&#)Sw{-Y%trL+~4GpTSr=Op4 zOc#G$^Juv2oV81_+*50}LPQQh7oh%WvaHAzV$H3v(#Btzz06O}Fr)I&IR@>>iIDIi zpkc3;cq-X$4R1a!t3}6ZAnp^9kHg7zkPeOC>(#Z{Q4#$9Ewi0aVjf`TYifg>AcKmZw3#gWq(ZhYkVlf(wl#_yPf;n#F6WB8?B`W zB+ug!RGq+{E*&5oUlZQJSCwmW9Uw(X8Pwr%HTf7tt;A5gUl>s^@R z8DqxF6#~^O-g9~Ije~1JY7jxQC!sgg3boL&@H;QPD)bULy4>GtER|HsMSBAHaTY^} zYfw4#LQUF!0=(G1zPyk9K6&_r_rVFmSpH~Z? zDzC+Un1rPS_|@nYpB~W@bkYF~@uH`N!kyh~vX|<27#A(f?Tcr6Di7GbzV8V9Ts255 zv$lK}x)4ZZ(*~oFou_9zummd5--A^S_-lG5Q&XOIItOJs9Dd<6-DGj>0xQ&hTk(ch z`9Bo8ASqM>pHQm^1%VgIMC>ve3d0X)ulV#B!lSTWS_8jl$)`5l&evV2VQJkr^x%fLO!*;r}9x9IfsrW1R`Tb+sVUVgkC56C^;kmj?P(8k)k z%^WSCKD1lYsYA}B5Q?1Jl~-;#ze4j)k>|rSFKq^i(T1y*3bg^J!;!758CV|bWsC!J zhzO|v9XI{yL7CvWvU;Ay&E}scF|a*RO(K6Gn0lNU2Pst-M1HSF+c`g};&ei?&~tPC zBqxJwTP22^%8LGUQisi!AK#G?;y8uYG;>0+=`1Iq7UqDPb_Q1-1#)67py*jCqtGT* z{YBQK9+Q$9BWY`QK%sFK3{{IT2%BA}YZqH_Pg%f;xtGtWy{aI~nNA=E%=5-~;~8M$ z_fnzP=fnOQ8Q-pep_g?;cXZx%=8zmcXOun)!eL9Mp~a2_Gpk;X~^ zs!0(su(PI>OB=IcJ-4~2($Jzl>V|BHyYkRbrc_hrq!6p2lB+j`VB9!aD!%-9q(6Y` zQyF6$G-`zus4z8>DX7%Rq_oMPLBC7F2G-ZN;S|Df$g7P7gOG5iSh9B!$9`vpnjP(z zsowfFcn9n{W8;YR({vdTEQs6xmbQ zW0=lHeN@VP?(}Sw?g@WRglWSfQ@$@mO3shkoIN!m8kP0KqK& z#aJ89fnpUvHG!=tC7z@i6Kt}imenX*wXHH42ce{e+#6?OSulhL{BW4d>>{H7@Dref zgDxA0%=<-B#X*QBrk$yI9!+j4AiMgv9EFTAo9Xw+CYbq5jy8)o6My7m!_{YZ4rpRS z9`}pGZ5BM}bFqOXsV$~%IXXj5_4euP62=si$m$$o`yxCfFfrsV)!6zKR35L7Wy;@mNE4~)n*QO^7Yk5$|yZm5zQvcgLGS3JV z0>7Dc9OAY3_ zcH6X$gkYZd-Lv3VamYrXBJi_@0qTD}DYyOPK}yOi7S5B`|KurDIi+wrgu+zOO3>8ll;FMh>|elYx|X9hG6Fbp1Yzr zF>TpP1v=so-}q)PFX{L1m+fvd`F}rWq%epZ3L7PvZmXktiI^if`Eu4jfAs8%m%V@; zV+KENR`(_y8P)w@BAV$Y)xmqtJ+=msNQ*@3(fgV&kdRoLE3i(Vq0MJq3vOlIHcA=>BHi zHdoB~5!v*WrdUNVhpVr~=R57yl}D1fcsAE{=<{#&A+ulFJ<~2l7{G_b_vbFlplgM^ zx{19@-oB_uNrGRfx#%ypEQgRLYxe`#gnP%fjMk})B8B%cMcSiQS~RDa;#SU(MzQyj|IYeQA0)pE?zelLQH>8G0yZ+`-zp>q)FTsI=*nbq_PF&D~G@w_ZlIVCni)Ljse1NK&!Rr#RK*^*Un9AtIg#6u2%kx7bq zWMcZ4!`yU23Tv?t;v(a1cRJG)Dwt?ekLKb4l_!Xp_V%^p;z-TwW1?w((4@dd2AvKA zKs1Xz1=#`HtXh2Naw6X!Mf2H_E$%MM35bWY9s~QjA4>&|JY7tr zKJ%--J~6S%6Du~&iB!+8TB!dVK{A(3d@gb&Za~?BwWg;{X~$KSZVIIDq<}$ksd^u3 ze=QbhsI#V&x|WJ=f6s3tg}sOd*~h-lHOrOS4V^^(c9xz;#I=m^@_pV2B9)Yb_ROS7 zy7nY_b#wLpiXFiGV~J4n3`VDyJlwm^6PfGNk$c=RKY`QG=qkjSu6%f*+a(Z6ORJ2t zp107)%b^=J%7kVPcL7SSw5s*laSvB#Zl<961oo zI_Qsi)B{gqS{iL)`F55{F!Q>@=oyq-e__QSp?CtD{g)z;y=>uD1~AN^t!w#`Ss?>B zoKTaItGz{$qVd=zt&KvEwFnssK(8orVnBqiLj123pd&3E8N+baCix!gOuBI>VTU+f zmjM4CQv4g%Bvq7egB_=Odsz(V;$gG@Tl8|()8>-IVH(|L^lgL8|GKn4v_Bg9&hHzp? zntRN{?wHAe{`&v8840r6@Db(v(wGBOD9$~4pT0i2Ga8)tN}5(Z<6=h>dKHEp0UT^q ztVG)OKH`7Uqyt1qrmJn%OiR{Hyk3++>Y$)Lda7Qw%%-byaM-Q>jsJDO&E|?7?S`V& zfmxy=T;_M5vvHr(W2tzj+=jBXDz;C{;I+$y#T&u#5Q58f2kyDieixNAz;NDQ2lVB# zv)CMM=FDF5vv@@F{jihqsb!DnONN5|brlLw7zwjB%-^l3ZeByFZFwiv{6#z8Ay;)l z-*plm&NVs?eOVFAgw4Vwk1ls?`fs(nHcS<-Ka}>nxg1}bqp6+|4j(dhFj0i3U1#L~ zC^)HI$%@VTVRtspV=};@y$F1p(fecj{z;W?Y1Gle0)B!Rh=RFV5zsJ@0L%q41vb(MHRi$6^5lAH%1VCn*Sfj$+Z}r4e&9Ia;k^6H1IVWc; zrygj_Hq%-AYmRpZt-GSs+kGOH*AJO(A7%-Hk@c#kegrwwWC`_gxtBj{$B7*-Bm=Ak z8HfQH1>2Oah00UoJB-0Y0pj&p2ReA)-1OP&q6fh@)X^fJr{knn$Xd=4=IW^FwOy@D zofhSh5clVRdXecGhR5bQxJko$K|8m0TYA)9c<1U4rz(PA0jv)^F`(#IAQ4s7Sf%{= z`O2dNq+vwEqgS4vhRZ#L5A+5? z(Lo{|WA|2N89D)HI18mHljx*0*p!NKFc1}WGYA0hklTif2uqB{mzrnnYk#%wwn2IH z6OGuA@xk?0%K=<@SbuA^{ckOvM0I~$$M=y*8laqmAl#c)a|{+{S+);T9_}lpY1%Y`2)->ArCs7gj-S^ zjVv8mtRRwXjL3lKD4SJHQCv-_?X&Hvnr3{~m(SLH>uz(!+a)cl>q&Ym)4KYhihEg$ z1zS#(4c4^mHQ<>eV+0>gXeW9O?71Rg%Czb`g7xi)kAN9Vx=7%b+#Sv9k7P3i^BK2CPy{{W-6ZzAVVzLga3lal;;aby5{5Kqrgj>ePm)aJD)3)szLSc& zd^N`SQF>fS{;*(3IE+Pb^VWn^t+i6Hsq)a`GFULmGyeaqdQu}zqy(vy_t#21{5lqEqgD2iG{V2%_e@Jtr}Akve%sD;DCxsAzEvV1)(jb=~pGDkqUJZ+X`ga*$R z*umU&4C1LNYFokp_-&fJgg}5;20wg|WW!N$P?p+-_YFkA0*>i_2$_Tqp)~3XM}6OF zYY`B9qS~$CfRO#LZ0PzFN|oSKa=`|H2ylU<%>KFLacPcyvpif#c1%j1aMBpwzP0j_ zI&-Akmn;3_udA!~3l1L>3^c3qnid?r0eFGX3kT{a!Po;@c!Pd3GlTC=%}n`ELE&T{ zzeU%)UQ}K+SCCujihPNIWX~RR2_-S7ZmKAo83CREd+1^avEs?|N0%c{H-(6!-!b@WBLp8EO#FYB-B=8%r<;nx8>MDFn zp$jrz?!AQ^&6+=!Y_apogjDOk1jGOdMT${0)}~cHrd4Q}Kc8U+8uU}4va5|)LceyQ zx1rw=p?y$vA@o~b+{90OpE3qa(XB)0 zzVd!LXY$4r2R?9__>X70kDp1%q*(*zo3>qW2zXd1 z=i@X&Q!H;M4X>5RWpKNm@TA<>*qmQYMpmB-Bi0B9t;R_9&Lq)Y;My_%|b+R z`Z~Fb7d`xa^;a#2F`22|>a)t{G0QS}tf~!IE{@*)1B6HonRVAiVFmlF$A|b573a;& z$F!`D?rTiOfJ>wucCoRUEB+@cHVVppZ5;wE6pIkK>;d^uC^*y>t!=*T@7_&N0n5Rc zzRBd{+4$N0j$#=iB-z7Nm!plqBmOD!KH+6ab6nkeYU!?UZU7AJe%L-f17?~jmP&|i_)SYhUw(ELk-fM+C741AWnj6VaBliac0CJ zC^1oszVG2W%DZ_XIPkAsDf#p)YyX$9ye!NRIBc?GkxE0 zurwv|`;v=Wdy4sE+0J_13YW=e>4@ zk*cD^IkK)zPsI!Kl$nRY*&+knP@RJJvKXUkR&&2NpfU^Mmv&T8vs;(74~#+4d@8Jp z@EkPV(rd#cl-xyE+?8&K`h8J3lnIiAE*?i=R|yjp8QIR#-r;aXL82KB&s-=@*fu|c zxEqe39iS<)Jyk%IBsl%ldl*e!unXbe1QoD&)=&TjqoeNdIZqtKqDW4RvQDG%(VTmS zINxx2o+8a69wVn+!+{oNTbhQ7_-{)lo$8~D!Uwi?OpRMvh>lDyStaMek$M*%gf3MP zQ1PT;plVq}B#@Mp#(S9QF`yCL;zv<26ioM8_s8T;^w&qfIk&rAH#XRl2u~UJxR2j$ zPPX{&?q22lWq!c7-8+6eeizV1RE(TBW&GGp6$1+kBip&eF5{04=3t$BQQ3omf`j*S zalL0WS6lQv|IWQ&#`kIJNdEH2zhXu#8^jp4H1{tYi@0>_e}z$K37TAN3Ry*%i%0|g zqWz>Q?dE-laQ*!ybg@NxQLqSbep7MdFA{xK}d%1T#IkBQ0T_RaB%XzE2C+FU5t^ zJ_Z(DN-Qv#M7W)T2s%q#m%$@_o)v;7yw6Ap_l_Wy6RFQBtUdAlsHXi^<=YGX&0B(k zNSi;L1a&?J^ab)p5DWLU$=MRc(?Py?I_f!_FK-MUuOI0$X|wasIwA1nZjVBdSK-1V zCJklcT8y>pwnHXWDPBL6pBH(|8e<Mw z8QZV_(I|mcrBm)wl;h)|e-Dq{$xuBmBAS_nBDM&`oER3-vl9l)00-koPp2)nHM`_y z3IatzYxK@CMXgZHh=$*AP*H=3jZNvnkipl%D^**r=4zv)rt!230uL`OY5D?P3WaOQ z>RdRfgHIEA71^0@TJphG69J=w)(ve`HJ#NBmoNpntdVC-AMgiSe@;8Ge`4TaXuY?` zP3_!sq6?OJ!1T`;Dbw6FSgleD<=W68Zcfu^{B!aZe~{3lIF3ngJcl4ThUD;cy%jd& zQ`On6>c=LTL!p)-b+3m_{gstBfOVfzu+U+sdmhw@9_io*Y64%&_c}Z?^xRNm${?wan@-uBhLO7PCwq()=3;9^=hXg{+7Kj$2HiTkw5G6%V9qfKbqqsdBmWpaem3bf( zG_lh|2IQYw(4x8vi-v>|H)kl%L-}~z;@z}+m0E4XWH^p< zJoM_4vY_9riO=OpP4{?v0=729W)&LF6g<)9r6aM(MMAQAke45DHzbaeCiUmuL3OUN z5g{%@;J~NZH9!^1L1UgSaF_^#O#k(@;VL!?(9WrE4IdKNna$F zY;k{KJA5v_w^~r45eeybWF#VY&mM1G|*HJZ{Ei;@R7mi9A^$U9=JlHyfrM{oWpAl3?BSNa=IK{RHMD@DVHJy z-{CP?f~ahle_HYr$I>pzS$AWB%X=FNdreaebsotMoZ8~_H3<+*o_A8DM4@wwQDlf) zU>IciM6dCuCuFVEUCzQ7_ZS(vLPfgSGD$nD}+3x8ytTkFVs3-iJ zfL5MoJWo?zMu>{L=ks%VBmCS?(E>}_sB>H0I!}4HMv6sx___93{MGesiS(ZCwJ`Zz zJH0r>%tkG+UzwD>+4q;7!?auev*%0muGUygqcJUM_^G~xKProl;b6(rn;N03_8B_P zdBn}{k@IWq;YtG_eq3Sn`wBKWuxZt_qA2sp3$meSHly;PygMBZ(eG83h3U|1=6qaK z&>SCV;a`B#RBdxXYMRqt)IKg}^*CO)6WA@F+ zV;|j^KEsz~npJ1h$GdsO@6<)b6KIRny++)&HNNsv_O(53C0(0S(5&m?vhdp)0r!cE z#pb$o-FZ}Ye7mrnOqfb>EVJ|AqTrb8|8-(9t6RI1LeVi%t}Q7x z{O9q}I#;5Ey7m!5B73?5H_~ZW($^=5;rUyZoWnX(8i`(zwJ9h->D0k%iO5jB4;;&M z5tj4H>dbT(5<5Ro5%hVo)q0?EtfyUHe4kk(uMyL=#?HpK8h7RPB4gmbdH+G06O^{3 z(fK!2+b9<|j>!`kq~P`?=*P}=iGoV7X9a9jnR4{W8TyCE^e#)cH$)cK;^D9y+tm)4 z7F0;mmEHYf=>7@hj&BU*6;4#1>;7p70QEg^f0rAHu-6F49(ZnOX`9saenY}}tJ!Gh zRG<)BJw9Irdc8Yo$oj7#>H8gKX(2hWsCol5btV^SsTjz_bfs4RUVR4^-7;y&_c5`t zd``CAA&3HPPWvG+*?k*O>*{tWydupD?))i@k3n>E&OCQ6%N`avrk=H*Fges2EU9y2 zJs}}6qQ6^6$Fv-jQ_Hk@fu5R;3129R z2^b+B-Qd{2jb{AOEtJHB3e?c|%VnzF&2!giLDH-*8GVZsKM zRiK*@$YBS#5rQlKXUTr+MBnHcOj?{4t#cydRnPNH@Ei8YY(>6#e&QEdPc0y5y3H+6d`^ilgc!(u}mS?~*Rb3Wc!65ukT}FUb$B zm|8$skP1SqNEeh+OdO=cu{Am)hPF$BhWj&rkz=u0{^iih%jN$N-4*Q|YWDR!_)QDa z!=#a4L+yFKpK@J$2MaqMr#It{85RNjLjlUHccJom{>6IN1+}3FFl@|BdBaJvmp*h@ zA(yhd&Eq67Qt;G2%`QwlT02)8vPEno9~>lLT1;f`;%gcEhvn6*FkI#bLl!e+7M<=# z?$+g%Jc7lkk}x;N-ssNr*y{SOW|>`Cd7nZ1yh9^OFO`P+xnrmY8#%6t zU@XFkTZW0__#E6_SvTNl0Wo4L>juQT(9UW0wwSQ9xdHZM%`dztYD8>9?BLrYH zWn)R@K}bQ<`M4eJ@rgm}xfOUuYP@NBcxf$SR3zM{uYM(NkNmlqkZ zYUQfm3N%4gCZ7Q`N!;@cD(SUXG`l)*VFxo7B)0b|sJHa5npj)|_x+MN_L7&AvQ?@$ zJ!$Q!i*K|1A<~vv;7`=Hl>`Q@k~Bg)*`N z;%!>zTEGvn8V++EzvumRq|yxQpj!^`^NQ3eK58rj*OgEl@J1N*aTH4_Y90Rr(Q?*d zxf&{GuxT2U8De8e3WbZXK6P!eXy17))#EIP$4XhjYxJ7@5I%Z#@gR*ypGtjt*6dWd z8#B$9AC4h#n?BpkP)o$Knlu(S;Qjf1loxw!sV z(i$d6aK09OFWWY%Ay`UzY3v3cuhrKHIZ2Md2z2txx8imp3p z#;ZYyfF><5u?AOC|2o{9ZJ)7-$c5doC-w60ucGYuwP4l?3;}4yO=*1%qg5Qo>aMzy zW4q{u%d4mk&SRMvny;6KDhwA|$iwWM!ONmdm|KJLqGXW)vQ*fe)peV-H zrOQ2RCl29RUb%_OBTl71M)W*K--z|e13&XWFxK#m%#YcxvatYgf6zjpzj;Yqakn|k z^Jsrr<;&%eFU2Nqu%qr+&oDo(SQO}+YToBe|G7PA%3+ZQ7FKpg!0+C@#LyV@;ZSNZ}|5AtKs7zbr-RW<8mt)aj_lJR|=CKdiSCbTDisbS5+T%;C zWDVxd#oWYs7c8W`Sufj+DMP1nqN>M|f)B=7kpmMJ&^R5$KWp<{$a3v&`vB&SNiQ|A zG=W*rDM7Nrq&WF(m|FLRc*{oROe-|-7Q-73y_@B{#3?LS;!3>%pM16tJBv@JPC>TN z){gWsJU9S=lZ;A_k61*!s*VflHl{m-3O6MXm_n>&xtS6ZRSJ|vlhv&u74ql&9bOn~j2ty_-<-(f z+JNFaOd6*?CPmE|t#b8dF+m6$N;fcc=(Oro9iEY$8Du-L(wS0SKse;Wi%BIC3ANQZ zd7H-B75-bH-6-!OLu0xW_=yyNuj8V~O?5#`2H4Na$km=EePXejDB7KkV| zCdtdb;0nSJmeFeT;K zs=(t!cuhlmy=4w32#CxX&;9$NPjDN4Qup$&atgzRq?V%XxF!sodnNsi2b#Ti3hOdW zAHxh1$Z5C)IdX~qvYMbY!b*#~{Q&&c5IsOjM!_Tp%wp!mYpBL_f|5F_>gj3J>ZvlX ze>B@IJ~^7sA}AT+rq&~i_RV!j**c+_PbZ^Orq9I(43%Ni6-lMx?qr)H#ur|mkzb+U zse(Y)ewGphqljuKorkxzEA>&i)UUfqj_n|>Zk7lC=+Ifmg!4qCmw z_`grs$Q~lFtXe*oVb3R|%Vpqf^j$^b^f}9;(RCT7Y_Z(BBC|nFE;|OVU+K>`gQlnQ zPV6XOMq%-p%#s_M7=pi4Lc`(J-;H1mQYbpN> z*@!Nu;Z_6onMPN~u3p6}RN)3OtSH|?Ee|UHN|3~1Roif_^1*6@BGas_TaD(YIrNoL zRrGHh^P+Z484sYpg;o|&_th1-z$#$$h%OR63}Yl2C30C5fNXDgp7-Ji(3EvC6**a) zXK4<|+SU%yBeIXd{WV2Qx};U({`_X!CT&0El%L>^d%p%@anj#5%c`V{&tfnshB8fwzU9BQ$M`@z_8kx zjtciR+kG%dUo-a|srE{(-^Am*2SSqMOila?)e%x6{1npF%CriEyaLm|Y?|&Z;{6D$ z)76dK#FRcx7CV?+lkkK%dS0!u{e}?l2VTGySb#z?FuYrQiQF;6IxdOPZms;*C{rY- zNubH~>4R>Dto70K@-sU#pjHa52UPjhsUmHOFrRRabAj6#041>0;$ z{deiR>0Lraq%F@Ex&=LIc^{0QcA#0@Hb2br4%) zuzh@=8AdXCa3B)O^FE@-T37d&R`oqgYMIBS9-hPWB|5=G9V)+p=-xipx7yaiKSe}Z zi?_!&wPU@im?YuL4BP1%QI{T<(upH&UX;&-j11qGH1sfD+zP2$@S6N$VjZsP5FI23B=@x&1cm2CK)f0j$$gW;nuNhC1U5Ir$Kb ze!KM9p%zTBV_xV~^e8lpb1u>t&CEX7IvLRV(dQXp=nt?7p$JZk+x%N@R(M&0Sm3A?ldT0Jge`4ziCboC+g`^Y_ zb!E%RX^I@dz?#L8nbpy{ouwDTsrJEkkR0c~P0$_VL}L<8><<^^f(rwrp2M z(T72E{$nA0p*--_4F9IU*%bO}CkHREcg+xDEStkQOS#49P-YWstSsJny}TM9gTw}L z0HANOVn5t0?ulgL3pmq*7M_t>6#e^OuULAQn}ZwNH9MK|wdTR?YCWTrRvRjZA^qdZ z56@!CAmA~H`t^@=?y`cE2d8#cL9xyLIMAx3Azh*43MImzH?sbdyPBS5b|F&@fQrai zy`z_JdCz5D`DUCI&oZo)Atwvn-u73sz(x^e{)1P@v_z@8kOz+4Th;|qKmv~s*zW2B zm%Q#5XpZE=--XWa22nC5YS!Yr%pGu2Aomugw|ewh8LsICMx6Z7$_q>a&chFhX-Tb} z$|K_#y5Gi?%I6fN5uBJQ1BWu9C9?UfL-FI&{-4angDBwUSjGaaHokN;e#SRV-N}Z$ zKOIj)(5&Yfv{%2GQBM(<<^O!hr73@3ts{prB z%Rs)5;l)jpumY>&jZ#$(GV_~*SY0p3*I!A?N@>b1e*92N=AUBFu%qIdg>YvMBi>Qf z1P?RD4Q4ijpG5g3#ieiou8U{~c#P(A;BW?g$1^&|e^t zRhtlH=k!03O!>jsq;(-IGiI|XFpR0ku9Aa; z@Bj7=MjX(y61LvjPj~)ROr<;Z_Y{<`$V%~EN9{!>5=pk*$F^?Ko>i?om7qH*=2T^a zkIm(}@VjYk-QUD?u3Y=Gbms*0S~Tm+STQj zGDbYLYI!9m0`p7!76Mr4ILpTrU^#{OxA_|CGm0FxF}9n>pq%Q@vUp}?dxhB#+`*e~ z%T;CE5L{3rAM{ckEF@FagR8T)xnjFC4C}Jw3C&$(l7KWPcoA;-Z;CMPlgi4sJlDjD z02M0FBzku>)wmkRv7^c+umDoVCKL!@_YQ~5@gB4R)7f`i zLY%J3644@On;G2LamgztnVq03BgBU8KKYm3VhQ4c*>j=#xKUVPMt9C#-NcV>FDLyM zL7_uhf6T164e>x1!IWnaDi9u6<$6P;tUO;sDgPQrU$@r4(1ge7jG$R!sEbx4i_`;l#sxsg_MVZ_fB2xnVRvJi z#mc_j#tbk2WuR*sOZ<*_Ok+GLBDbeE51wpMUZbkLTI&}i2!y@W^gUb0{JwZDC?(zw zql4925)(?K3mr4cAgc(lgjo>1uDP(sj*;f7eps~x()4gu+)|Vl7>;A4a3TZThPio{ z%S4bZh2U|9k~BCc=F9mu8!tG9))LBci0e?_D+yY)L@qNz8Q_}$<-lpDUgWfIfT@o z^!knH`ou-8b`54f&8CzV!kAAo!ShC;U!M;tt)>eWlKUP;(U@2CLsVjeV15^ z7E7`kO1196%vOQL(nfnLV8AgQ8kjhYcd#fE`tuD_r#2N9t)}b+K06?wI*`2rUvqWD zU!0c`f$Xvcm9iqylx@=MXjwfCGap_Nc8(&6d96C1)CJULE z=coKr9e~%pqp5{SL}bJi0+ci^fzwu;xxK`$4)db1itmVni-gyc1f1}=qB=-0nzGjV zQ9QOaUF6?3jb*K}rg}-epQSBCRpEgti6z*Ysrm29wGHKsKF+8C{1&m}(_ItM%*O0K zs#K-TRVjAQ8eDdN)5@-!n>@bSKfNGtQ-w^vP(@a~a`Hv#@wXCrZJ}rEc`XjSMnrdV zhL{?FfNC0mTn({Hig339wR4q~QT=CXV`qZF0R65bI`>sF4l=qd2=dvMGSI&oG2IWS z;m-pGM(t_N@n(K?z#b^-;&mA4_`s^fz9Y zdm7le7-?g5AZu;#=M6W?e)94Yo1Vmkm4PEZI%`o~FEx0bWaCAk6A@jbCQ~KRwV7^m zBe!HgSGB1h4^N_9}quN+DeTS7e6jAIY*>#9Aqs$&t9V{vLCn#u#bzc>clocy-uXE$%nrEaouq`wBK}o38O5D*Zgc7r;EW_ObX$*@l3vA! zZF|La@h2{*%_D@0s7xsWIjdf$C;)wrASeVgANa(eMG!@kSLI@P{!f=DxRtHfb;P@; zdZ1%zkrz`g#)tLq)3LhDO>wQx&+V!<$KmH+$T{MC1?`OIW7>Hsj|PuFFDVuwf_SR!0FZ2Zkjk zA3t$(-N9T+A9UH}Z?AQ!pRm9yj|M&##bt7wfSAODAuWylfO`GI0zm`#?)qMxGVbcsV^Za>Wmm@L}O!XI88A7>T6k0|0cMDiTE1m`7l^E;$0lCi1V z=a3{xc{c|8B3-98tlcY*Ui8#!n{ss=EPCcHrLs=ItKIysLvB{qT=;$L+d!{rE%swW zC{zrni}^Dhvwl*7V*MlS2<`28dBTR-`3cd^*S51ggz>i`nXm*zv{%Mw-y~d;!Yj6B zkwCM7EAu*CIHmj-&32rDojM=-p$(OZv7)M7XbPKzm%Bg%O4l@KGR|KNZOeSJND|5Z z?m-tEFZZE8ldGL-a{oH_Ai9}+(t!t$!!<7RVnnFHS|2pc0St7}=N5mE?GYshhg8<0 zqMTBydrr2JF4+-Bgt?M!>_z2$wg{n)e$?DveX~zr@n3~ygO;|1;FV?bGDlktWsxXq zf4#R(ik+`-PG41UY{4>*X7*7_zoCr(?q(pOiinl;!T7Y>SYoiIzW9%`-4EZ@op^s(ZH4J;$ku7hDVz$1H8*$;im#~ z`X1#?^1Ctw&EL=)^UqOlb6Xecv-~_#=s6V*@>z^^(tsQ*Vp7!b;iLx~U?z6Nz zRSrv!o;d>Cma@q9(DQ|4bKeGhaV4wJZZ;0;L1nqVIbZmHkilD5AVvpL=I-NJ`zfiI zXlU!u*Geggu~Stc1Q`Ql!T59RJjb7v?d1h5f*fom_8D{PSn<;2zdQ#NwHE{q^qETS71_|LzP3xPFGfoxS9`J+fA8zi@S zMjeg?s8{#kn6Bv7Ppd~wj+YF6pYJu{tk*^{Mq-<*j+R9Ed zZ{Kb{*S?+sSBqWPQ=J69HTw@ZhGeYtZ57RJOuQme>+Nx^2^bzU zZJkKkSkMUrVpEE?ua{R(A*EV3VjV9-FE&&L9;PIr`0UI(_bBym) zB{?sRm9Hm3d+o4W?-qgsdsFWFzuv`bpq8q;yIW-TX5nETJq-UYhw3&K>AV-@a&~$s zyHIi*F5%^HzOZPYhv^0^I{~dLlxs>Kk^dDI|INKp9`jXZ=kD3`nDKjKSX>B0XP=>+ z;W;_OgC(sCpw>yOLomqu-jbjaj@(de_U^{j4Ra-*r%s1U`q#B@mI*3nISG!)js(lC zEU1`Lk`=13f=P=N@8gF~G=Io@qg6G0PcF>E(tSQ{?OeV}Vzb{gMV-xc*KP%a0x!|4 z#M^m)#v_mHRSjL5$j1Nmlm0wDwVC+n`5cE}Zvy^1cBU0^*@>SYyQizep&Q?M-b>6JaZeg_ zi`c3JM>5o!({#qqGLFmTR98nI2@z|YNrcoBlV)8Ur!TsX(=H$9l(lvG5*BlZ2IJ>N`b3K%9$+(Xj0Zp{B8fWS6{Cp(LmBfhT0b^}# zEDqx7IG-W!K0n^jz^(_Z+g|-tQCfbcV%M^%QI?+wwjhNt?cmXtG@(IQ(BRX znzb*jUE4&3Lus5NgoTksL#Ycvsd&=zj?j*LV4@m ztK=#qITR9s06lf9Cp!<#1#=clSz&<^d^7ioRF-(R z*Rbr!0~-O~}g;%hTzn ztH#2x!XSDIRd6LoST?V7QcV|bdJ*gg=$mfsxfcm%>sq`~vx^RJIivt5=Jr<>Ud8!g zFf%-T0ob$@bvbWUy=4twTH<#@SI&E}!TeKS{STzV`S1+yN*>#hG<}{>qDWn$x7t^) zCMw=GkiWPuB`CKiO{g+p3dJoK_fg)8x&S}=<9h;#w`x!6{RZz*k>Yn{%kx|nYIWso3SC$GeQ&9f)q&<=fJ-lX8{(k@ zwSyXZ)nt2TQnL0-{*GIISnB~j{)r?X{(UiT9Gn6E^IhNmf{>{LFB5#%!Ku=B&>2kU zrI@#sr@sV=ffj4JPDG{_T&w{ZCcL4_moLAlCdgUOf6H{sgXs*jfGVwh1FYJcqa`QB!%oVcTs~yRDL5I zy^dUy5(it`@*5W^mM}Dp1ITXZ>M)LHz z2pT1e7b7fGrYiZ-1Mbg1M?1|Nt#xxwkob=+jjc?Kecx6zhal0=@f0whrl)m^Tbffi zbslHV7Uj7L*s5&)_BffqaDxVk{VQ3}%YtSlc?awD-M}|6~$hGqS-R z-$9he*D>d=fO?G*9ewR`EhJHEWbs~;R`9uO;nH**YJB|DLc&B+wmL4Z`aBCB)G`eO z*+M*l+mEAYffG|>E4#ykC$9*O`OMGkD3fyFsHF z8O^UMpoBBP(5=2H(r@>H?}sXTzD_`~z{W-$}yb^TYK1Ee940>83`|UO?ABs>K78-w@wS0JI}H|$9}4>Eh1tu^|;6y$@<5~ z#|O1}t62Hvx*tKI{CIyTj1Cty%V+a$t}+EH<$H8+UhO>1GPDvY1UqMg(}>RlQa_5U zu!9FEo8=^23)2&~J@F?<3OZP!rO4VLY70mQfn?-Ic8A|Jv9^6e%o-d8f{+>!Xgf8C5 z`!i<{b`d{4W0C)DN=Kl$pr;r4%@u`-98m_m)hH%`V|AuNG0i0JP}`!1fAS#Wjc zObi>XU5p%IeW{52n_7rrRG-whlyb%#NJH+(FQ+))VSTcuz*#zQIU4Ab-Yxz{RlwOh zsm0&5gAyfQJmL0u%-bO3;hb8Xj_pEUv`#$@jj(Wj^^nx;tri|BWdk*h0?9=LVF%EG zWa?#*89}9LYYtinN%Cm!IunUa(=x~=P}nCwIK&Ouct>X_ZBgWz%WWs`*6?+fE`Gq?L;fC~+$6&Ff`g=AG{l1W zh3hT(VTL9=l<7897zBTmgo1ri*etj}M4)9ZWQKa6tD##_V1?T*l{kFZ>& z=qmiFel7?lyX}pLLLtKMVlnBw3xnS@=?6ri=79>)yvypRCN^gFX2(anm&S&;jdwUa z*wwbIQCw|HX{kE=Yf~f0D9vZ)gvnUdYl&+O4byclB5~>$Z*STS#<(!GcU;`FzzKOF zNmAQ=@At(aV+r9Q6^zu@X#}(r%)Wt7F_UGCF6W25DPt%EW=~RW_Q4d&XuYiQM5fs7 z4Rx(;C_=LVHr>$5mi;yM;&F9m)e4L=LDlo-k55WEK`du-a!MU8{M1!ReR*rqZO#RS zs$8z)1b5Y;DyG_BQZ6B>pzDY{6{>SM!Wy!%{OFsU@cD~jCY_Bx+RS1R=UUs^LfM-# ze~dE;T8cCQ;V3dwK2dsC=dA}95zxWt;N`TQ5G-UR_vOwPQZEptrY|q_zxg39DwXZw zySg;}yxsEL$G-r@k6K;sVHH~6-U3{7!6xL1g58e-6LlM9)5Xgg`B zce5i?cnCA=;ycL=Pi2B^!-!Xv+G%BKV~S?BaWV4lXXL8bL1K}<#`}!Tm?l~HTGh;r zp#5l_SlQCt_s!)sgB-lbpO6{BCY=;ns^Dp1NCa#W(9rQU)A0>0u22-8UtYaU%)1=q zn@cd6|GW6Oit4mC68gy%h%-jhhD-~R5F#u+g*nqMB?N!f@~?M%&z!{^KW zgkWU9Ybr4Djl?$llttF3`lQZFT7~lRa&)p!HbgnUo$*_0dI+eG+4|ED8I~Q6&&o-R zSFuox>T^6UMf6~+3>Vz!yB6XlwF9WCbD7Bnk{WBJt+mPDQYEXqBosAyGRmrHKqdbq zwNoCXKzLYNn<7-yaKZ|Bt-!ZYoPBV?xgua94ieFKq|k3b;h6@xT4j*h!c(8M1y(|I z>Gk$RRZ%E@-R`Mk3X@81kIz+di5 zmA#`44nAcc!@cUuPZ=&n9p>$g?3nTE?k)WkL6M60o-!x~VhoFr^P@bIB0e`Fbgw)U z4@S38z7aw-4w7&RMyv7nk0x0()jmw2H-BU-s@^yfVvh)E6B|MKBQ8z#E)eZiLx)Ab$vaW$5AH+4uQ3EG)yPaAb&J8Y z8?K#P!Sb10vj{L@;v%()GX^>kiuI$A==BYUu{sQw=IX#oB&=d#dDKknTbliDsi)sW z4(o4om1o|@z-D_(5uYI3R7)|V=|2}pft6+;9t=5wvl1SRwHbt)sRUyfjQE)n?}l32 z?G8C?L4@Rjhfx^aFlRW~9V1dz#t97#Y1%@0 zB!;8-Y*0M}afxGRX5R{|WPsldsU4%E?6%9cv-yp zNH(UG?i37uXD*b&HvYr>Mpi`KV$7_FX^;(n)>l7A1u2%iNtmxx!;}VCk~Z5XT8OasaSmS)56g$rzC!d`;OUz?wF~9b*Fy6U85_rKiKz4dgHPws0HS6l=G|72u0F0$UHe93F7YT zGV}9WZrheg|U3^#NOLS(0o}jtz4aNjle8i z6z?qOk|axf8J}biIQ!zG{_Qp;>L(t!)+Gm;QvDy?tOo**Q(FE8sf^QT02{5r?`y`G zbhLoOz&=?&BU_ywLvT^V)5U>%#-L$H-~3iiCv*olx5!;t!+u=MQOgx!D&gI}!#OV> z)C&_r_{>~x_zNe~u7Q62TehBfc%cSS#~yj?X-__P*BG&~RD)>f)z(^lu{_0>0!f@m zqHo}?>pS9DviA`0#lpKC#5A-D?^9?qec=&x4yi?R`9v=;@g>y5l$HkCj+-{0>ZYTTnRA@tt=HlXfZW5-%YPV5ba(EMy#2sS$K=*%NSBfL8s#yCQ* zHrP2$ZCZOvU-(8;rF-qIu+U77l>dNv2g79j{0}y+AM^9BUS~cKnY*8P`bE#>s*~-p zj3))l(p$Kqn2?t+gTzU0`CW?9bTH19GM@~xx#5D^*iS$A$}X=~ApgSplk)yrUFIwy z4VlkvSL-*5&JUB_3WwDPXn^1Gq#s;rnc0$f4|dUY7>IcZpgLP7Cocq7YjO*mPw5G_ ztW!ZSU7Qxd`F*ryh(>5ndPdh)lQJ3HFLNZ1UR!^C%XuE*&w&fhoVknXDUC|U&4=6S zaOC6!f5!bPydBydc=RX=2*2uTW0V+U*s0Q69~Y-MM;Jd7nC>w&AIly@Y$tdet)P1% z+D9EJbmY5SVMLO$@1_`14_$3bL7wD=>s>efB+ZdfE-zv!kS8quJa?YYr)YN$)ZHHK zU7}FHe!qz4&j}yhVq)f?G(tvhV<+K!?!~E&hNS&x=hnP@VuoUY)JHD4-joL>1D~9o zzP`)#@9S9w#=o+%JZf!hUQhGreanF;VGpfu>?~+QjIgT*vm5iS0`sma51ecI@;xbv z3@s2}U(}D|JVA|<6d!=aKi7H=EF|A&M_)T%$a(PdGk@9|w|NBu9! zVFWpPLZQi=;e(<-iHyMS-k#!BzcH$MXDc`wI}Y*jPwbyJFDU(8(Gb8{J%7{#>yogw4+7K*E3iYeAkJ;m@-R&dp?w*QJcXoR#gR$?ckWVEd$0 z;B-@9_gC5j>)4<`^MUyfw~q4AHYHSRyyQj!Uu;{Iw5ci`l-KfXuM`;KWpcYI7G4r>SX0P>?1&QK;vlavu&>0wQbMTu zJ5uzt9aV)ki-d;J&zRDUR-L9x^?lu&gR_Wt^HW|3Lh%z66!-T(VRyrR{T_C_AQA17 z5s|uJ9cN3F_#qI9gX9~DtI0L2W6-N3@&mol+i^+xKwed1-7fH!4`$D3+} zc!fFT-a33aVMQuSmDJRxsO&?PjQrE0s2eIufsjH?2&1pUJFkHdhvfXdgosfN9Da>! zuO4xwu(a7rX*HG}>C-OzmXn}9WO2VG2~?ddfx?AEU<@&Ky4j1BCL1)N$V+d-2@t$Q z!tjm5>*UJ)%AHm&V5<$I4&o6NxrK2u3(q3*F4#kEl8RPXqJC2W6{{CIqc~t7%oYoHleEFg$RDK@y zGD2^ezS?TU+gXF-8h@3=Xj`6(dg3OIBLJ%`?E&rJQs z*-DNVS_xb>Y{S4XX1%mCuT;xla_syGThU^l4n>87ze5IEMCNxb4-`7s+oEIJj%5{r zZvZ{t?6$-Yiy^=22zbq%JY*y!sjh#-`d60u1f$E6M@9DebBWyh&KK=-t0^J3Ov=cy z!zx8i9zI;$qr8gZmUj{>q6JX{TNN&N)&%_0kEC}uL=%H)*BgWH-Ea@e7__n4l5|-F)#pCMop$XnCYKbegDz!&As3UOa|C;HVxXq>G4eyy)3uFv^!W=d2hsd)Sry)yewo^Jk`FB*cs(q$?PA??7xW zn)T(n&c@SWUWUko)%n&Qd+bzuagTNN`M0QN@UI+wN(>spBzFfnCTsG95xFfA+%k^e z4s%c+u0LwrDuiTARL{b>`O&|D%V^{|$p3~9;uxm7*{456Yz8U5tx!V0xr%13ME}Xi zAcNDHjmO*x0r$rM*v9?U4>DP z{`+!f6fVD&g5zq5Fmj}LCGR`&Rq`=C3(xjPymGYAT?qz5cGL3fB^hvp0CbQmP{ptQ z(#~kSljT`Z$#h+KSkVBkwmWkixb9d&yCf1KjdWC3Iytz+9H!6e*{%7VIhRzGa(&*M z8jv)(RpXG;R4d?){gp9F#A?Sga;%{FU_=j0hy&-Orm9#$LRKmh*KmU~11e&z52&0O z1Q)rNa>*xn5+_d+;O$vE+;f{P_95$%Mj{GjA6S5ovsRng)tEZv>P%pp*!JQ^!^X02y?K53lla>7I0)%Mb}KO&*eB`1 zqtxx z9a2()8$7Ezq6*Kw9V@*Zqo~**9HGint5c|=2p*%=KsOSnF)%NcBT3yluO#Dkj`oGp z#V^mv=tsL0F2lhNZYl>uwT`9&uYZG1aJ5!oS_Ray>y?I#vd6bL%+cwG&Dv8X@9Bev z^n{A5614ddj<8fGQE=T$1r0ttbq~+<8`&kT#88vkoa6@TnqR(oK*j2pQlhOhvMyv& zoL`C^+zsG7dyofKPGdw;R2%o8IV%l+Q-|ZS?bEjv+wH;HCUzp)CgL8My6miuMF&7x zf!an~;oek;Tz;**Hbe3vMpu4r40J>VGcu9R>Ac(eC_TIDoUs)9S=f`Bl9K67?V@r5znJN{cz~k zia0&baUEes!yWqNjuner)uBQUM_Yqnwrbn-X1js;l21M_P-@S5an2!;$$Z3++)VeD z?W;Qq`HZI+)}yukX~Mi!+UmN4EsW6~3aQPnTmOj54OMe^pK#^3ljdLB@&ZlBaSNLS zK2=3c9!l-1y<3Vo1sO2UKuiz*i2VEqeaF1I5=sx$aAc6*@lNBBF`>zq1~emxPMvW~ zpRmyJtvO8QWF$$wDM$N#>aOumfKvE&r@p&PlO?kD$f&}LuND8O8jp*MWwq=#yL!yN z9IOSmm}7Hz-PWhWtD?$HVZmEr$slEf$gQ!rOQv=8SDl-aAj5gpU(vBP`rr3-_z)m_ z9R58LcZ7MS0IcvOy65aQKn1KpzFNVszJM9675_BhE3ad<(6(urr5C9aT&Lgg_o+wUnoA ztdw=}M3Urjm=l$r?=4y?Lll3A%~BxWHBS8WPfNa0_s~W^P@|#3_91?S+`S zy6e0Sd9CNY1Fh=3FOOCnMnQjZ#mE^!mdK4tI)6Q@@i0YbJuGXJmX^j~X>GvlF|yfd z76S8gXR`O~<$mq?Np_O4`f?_XX;flL9fSF}uvdeLC9I)l{jmHxC^0G|`d7TF;^TAq zui)u9Spu`m+kI<;9R&jRXsR%#@oVP(0cxMGvINz0AH8D=%b2G@3-b|uMHdtEy$X`k~Yxg{^6GI>9KP=zvOsHB}B9Ij?=nyd4d z(4bkRZlmgAgA&EV^X&V8`5Z8@R?#QP@%9(xuHes6{~E0OQ+2-wqElBN28j~XYg&J| zuDZvt#{`$qA)e~{ePNngsHT%cm`?oF&t8#nmy zpfRkr!Ot8=>Eu+_WDy1BjDxd#y`EVz}6t?uUj+Hf3iCm zI#^sI1%+4s&A~vP$Dxs5;iE)Xir7$8p|UA1Rv{!Rln^Fjpa)HY#2N#gZDc4r>yRVHD0Y~L;u>NOYF zkEWZezEU1Q3qyLYvpEZR87GEaQ6Y-^#p@6YD|CXHhDqxwe->cNu0m&f&ORBLvp zrB=IR2nDe0D-=Yej}`)zMiHXgQU&&FeT*Kz$1A-OgNr1W|9@ET&EFJcbV zSdgm0S`EGgMx)`Fk>BdHu90WAABAch=E5+-eL_HC!}F*@a9=3n!zjor`@jW8H%W zYO6e^KEJ^mD(&7W&(G-O?0;lbKB&*|Kogs9Um?5h{tiH}XiUA{q;pf&Vj`FwKosNFLKuQbrh_0}XsC3gXq65zU{_6-@;Eug)fK~Oc!?^dN zfxmw7Zdzjgmmxw7YETYhr)*W=0RMj-^BsL_9?1VMeq8?(pvk{Z*Y;lpxKZbPsbX;d z#f@s%4v=FWR20Nu{&jfyoQDHVD(C;-`2PpgJ`7S)ni6zBDJ(AD-QKp`Q(TL5Xk1Mb zF|ufV2_i)8IeYWUDw8V+oX_sM7G5CrFQehJLdJntS62a|d#DO+UELKuTI@e6mDc`2 z-vCfehXN?t>PR!3{2O7wN$I)XfSiVX6BB$uj*A2UsS_fn`hWNn$sxC>{hdLyS&m$R zFme7o0BQ(NCtyB>{uid?kiP)3=Q0H{qP|yo*#GC}N(2k+2Nz%hjnu!g4gVkEX7{jw z4+kJT3j>h%C{4`#FFxpQk5>=+Kua9`+Q_S84SI9-WWIv;KTjfd3p|_k?9d?Fs}Y0$ zpFBoUV8apyXv7Y13hvbm#9fqs`BW=FDGbUG;RyvuR>WvuJ){%!eIy_cX!Ngk*z{k! zH-q?|3i#0S9iXoBRe3gxfBgbjz)S=$UDdFo0A>;Z`U<*@=F|Qcv$Fp=a7KPvf+8Q` zYa>K}y#J%?&K1W-8@*Z$5s=sF(EpwC)$IRylBg7*ds!~M-w}WhmjHEHxAS6;6a4oV zhBGi?8aGnUfbIXQ5dY^LhS;Hk{C~v=2&_F5s5eL<`B_4)r+@6#B_k|$&OU+RTG zykZXs4TVDs3j$Qc!v3nF+|O8d)y@r=B>!y~P?CQoVw?bedA{y(j<~UBAQmJ2SLa0>p? z1$nyMdszMbRFH4Q02O}W{x?Urv@~FgcpN%&c*ImDKw~sISE)|_#YVR#fFADouLC|5 zkg8OMSI$Wj=6wfAoh<65YNLj14+6N=RXIm{6jb=I|Lw}OJ7E7C5}kU0Qr%w!5x)bV zKU&dZQa7LqVcrCT!H@qhZ}NpRl&r0a57ezFE!{uV?C$idEeMM;eDk+0J=dWG5BAesmPZ9>OdlVK>q~j0rClfo^a`ZeEBc8!H#-G zcL2I#w?A+^u=>C+3oF)5FtchrwWfc{3B9tiq;0B)s=zpk=Tk0z# z{SUyfpl{y~w@4M>a3cpkeE3ip7d(}kijNjnVDfNK*x zQE_v0X%LLs`=N6p*tN(>XiV`d05`70s;eaqe%oCL`At+ZI_xv%mg2 zNmEUVH(*X0j3`pS#KvmS zt7~?q=j0eDD?{{Zy?e7=>Tdr27gUHufP8!_{@^F?){2BydLaN0{{bXQIABp|1}1q1 zK(~YlR~9V-uE!CqG)MRIlSzMwj`qYy=Nyh#WO5v6T2Zo2%*32QAPg-nt-?5%??{i( zK}(>l8K2xDT{}xlI%ejH$w~DHV?O^6!)9h?AP~s8MfVev2kw6Z0GlNcCQ)1O*v^6W zOI}+`Pgljs(!Am*P5q-&%Zw1Jx*^45oh?;UN{uz*tpFE#BSb+#p;8b8k$a&wy(%*8 z>;iH%GB+=7)eXTbEK{@+nf_SWE5?knR8|!i!mh4d-Yi;e-u~Yv{heNeD)CNu%WJL` z9h*}uG9lJsj!!$VX+f&#?wuPRmYLgQ7zSYsv$ZZoF!)LRS2IzufM&KBRmU;0*c71P z-fBeecYwX(z{)v5&;U8(41z8%E*uG~t)9o(fBr9Z8d?LPCS5zi#4p~z=CADV_1GaH zU_@SgBRMg#JD0%{q}j}nBop7i2hLZY0Hr*7LKz%*@Qprkdh% z=0|WmBLaIJPT-Jc$$FT!- z;QnhGb%#OGtGr?P>G7H^|L%NLDu5#@7Ts||EH-+eL4~qr_PW2njPs{|)eKTml&2(A zu^6CX`b3W1f2Ifjx}!TB(MPOBHcz>K?;jfj3Ug8Lmo4hGPgv(8Mr*fMDp7@p>*(kJ zc6ucyB&h1@mgnX8uy!tbSv&-1?LVVibHVhfzP284f&hqH`td`Or||BdpiB;o%HBau zk5LM0(`mFFLKlbvU4au{?;8azjA=}vrP~+8s!S1ZrSDxC@IK%ODw)GBG~tfM$@2z7A7#Rw9}hu;RbFJ2#bJ=_mB7sbb3zmv_K!yfe?Q@yNo+JCo%xm z*(xqa07iw4Ec+|z^csp;eUg7+01&YPIrwTFd?X;p_B)Mr2faDIlJl$i}_Ev8D(Jz24?GL|BR-`%a{NR`8 z3Wt$@9m`IT7{2Dy|2%#Z^M4-Sy8t2A|GN28iv1znMhWifcW%{gbhK(Y^}a8=?vCKO{kHa9<&X_0?u-?tN9B-m!SoXA&BdPCs!O(LLbUCFCc zt8evBF{sQH8v$$fm#JZ;Khcqp#geROadRERX=2q0hukjSq%(i!G-n$RN!NRM%5@$C z{td4iVC*$Zqp{Xl+$%%6M*|MEDjFNLO4aI71IEs|v_O}+-Tlv*0Ca}}Gd_kD9z-J#L+ocADnD+t+UKLJvy5;kjIpj|2PZ zWG0h%(QST8Wh)*ib8m%DD6BeTPhx7)aRShOsw?Z+KYk{V`P@ysqM3aD8(&D4v%bbH4BUnl){^^}B zcG4(C5?=I@L|%>Nc=9eTVHRXI^F;JDs)BN{e14%NFoPK}78ka$w#}WkER+t}%>P(A z!JJ51tj*h#mv0>h)^KCZu49R#KZpY}q2bX$1I0dG9#wwbk3+Kr^0+Bu*7nwxmbNr= zeDSw5lo%*^603{y2R)$VgsiFVdSiy@Nw_ae9wL&^!;VG?7ZN3{$|DpSqW~H4;LNmy zNnn+It#zt;Yk6j98jd_Spj0nPAb~2kZl)>%VU`P+93wTMCh1mS%6&1ZlqcyJ{yiO2 zy2T8is&lvIH?SFJlGV}DWtj|q?^mzmdbU&~jLd}R$@S^3*R6#C6Or*#{!gYlG8Q(xHRVs%$}2(#sgL5t~rKoCm-97+!F zveAQ*2(v9|P|x=FLmsB;o6ZcDbw3Ebd8!tqtvu=xB@L!}G8-#95z7^?DjQcdw8(*C zu3gd!~ZM{z)><2C84v>Hk|OMMC`?rkf;#Of~hq_43qn|0nCq=+boEkeRr{5&`3 z;tReNtIsYEjb3Y0E{%a8B*2P|M1OW-x`~o@<~&DOkKUs>iCjw1*1nE%l7vUt`pVtkc2tCk6j#(~t8J9opVmG)(6Z`$zt-+n7r7SdF%J#%X zW4w9uMk5ht`$&s@z`BN4l@jst@xCBd1l5O7M?-I;%kw}i1J%{mxU$nq0GO6(0h{sP zo{0bhVM19A;#pv1;cpHEstx^I7L7|PMbyV}_E`G$zN`KR+aaTOq^7)%-fhscf;$IT zO=D1Ll>DYIcvQp}L}|2*69FUL#uPapb>6smy*x-En=27KETZG?N7w#2>sATEO>~Bl zi09f}2@RO#`PfVuAN+aDcdqarz6I-H>Sj&xW$|$-NiK!Oz)4Tg?(FSv+`cuaJ>Qcb z!X1rrQqx7csK}0ugr_LZ-22H>C75Gnu*RueESOxCuGI_P{CO1Dqr7X2MZDGby7=gY z)Y7YqZLSleu$*u{H(?bP1|ymSsuN+vo{5kqdz6^7CaZU3zV;t*HfMFRl3NWnZhCHh zEICf=SJrw4UU`Qx6Z@5K$Qnvii!ps@Zgk(?J_b>BhfZLY~I*A{}q`+=0%71y(49Lndvh8i=5xn)wm|OrWAFi{WqjK_WWG;fmk-% z!+5dLrzURB`&@g{N4u<=KWsWb>U%fIuyM;BS8F}D2BR~Y>;?UV%cXu2k$m3sc32x+ zE25lc(!h4Om(T3XpnSu&hY}xNJ2uku1W;CO%LUn~CpL+hZTuDyee6?SR&C0*Fta$x zH(u=cT zKD^ipemXT10aol1!4~-$W0ZsFwQ}~RpU5#$&^iR%dX*mwfmvb(&BC!2?)f6I-~Ay5 zQ3ib)K;T)Idj4AKkdFX@*Sq~MCIYXK4473hthB9u1=oEdDAb+EW_MdCSTVN-tzM>_ zzagZ$bTxJMAbqU2~TF1159LUk8C-e$<@*_>h27mRtf4ZpAE_A~luAHVf`4Tf6n z-C{@k-o;M05kWLZ9C)kzbD4btm}ufRUxM@X_Znu-wG?aNTqxy+Y1G-e{=1##EV30Y3v@{AFAY|_5x(Oy0Vl!Dk~OOvbX+vYe8|2iX_ zW(G#{>4M#Vl@8tk^GB-H))@n3Z zO0q6=edzF>DZ9&dv7UY{iXdg4d;;~7$gJjTA~>G*Ga;V|HoXS0`pV`RkN%FKbSg4i z(CuvDd=`%>!@`bpN5ax;S-RoZ>gZWN5lm|W_(%GiF{Nj==|R8rf`X?)dOvrpd_{XA zVRP)Fq|||@d(qBM&gr!aRyFt2;-a2wrU=wKtW!Rmep*t<850{g1FQJY$D$+_O!wcd z(srtqc(Y@^av~owGgtKk=O#$DAj2v>@&0*Udv>`}Nzb)2y@*!Z{~amaGTOEwaC`gt z(RSu)KPG`zL9Y>98{swO9(mtw#EDyxYAxF1yp*1JfxAG@bZ?(K;NOGBnIfNa)Xd1o z#JTw=uIh!~WPXM$D>;Vr0WqplmO6iLy(8!8`jYyv36ERdj+E0{PApZ1W)w--f!nb9 zSH09H3k4!0qtEwJ*_t#Sj_b6M=gS{jv~fTGaz2vGL@Cc1^{)X;X-NEk%RZI;h8#9> zbp=!j^h|ChAfq3b!O}b4e0zzZcPT133Q@&h+QU=`F0|_Jy49mrQ7-a}uNK(f?i%H+3jZL^&2MIU3g5B5I86B$z`s(aOV7zvBI zs#laWEmHS^gbR{Qe8O;*@}u-jqfttXCB~fTo5senHW?eB3Jl@$`ElfH5;j|PzsoS6 z+c>xuBz}gm5AE)^Jf=CrF9~?p%qSvZs&DWRNpE`#4)&RL9xeBXS*myh?@8}O5SeW) z1KfYTDU^J-s(LARF>Av4#U}5-c~9Xy@77)vsp4CMX}edFiZblYMsH~Q6};m{-~0Qy zXk8DKep9vWqK+>kg*}t1DCVE+tj593aMlh@Lf!?Ls26fSlV-{50waK0q?i(5FzXYD zEiE8CqJ#k9@uCq@?oG?+TxowBm1m|~-q3pYp@NYf<+;R2V*~gsSyiuGk2*gjMWgfH z9t|#Kh|2p}@{N#4&QQptP>o{p^p7|=XFYNmJr5JJA@+M?bvBvc4Qw>^g|GL$O2>#U zRY27yQ8S55SqxiH`@Ly*DwEInV&;nBplvtl z)@)Mzom-b%g9DZH;S%Qbr=1@xD)>O9EWl3zs7LIgv1J1V&Ti$`qSb5$>VIk>Ug|LN zxL^*!lm3x9f}-e5bVhYx-J+4^I)GbPV^U-oGX1q`w+8c&p^NIFX+!60@>fOWDb8Pw z0VhJT`jCEH^DU0LwRKrro1pwV*w1;2Bn!451uSK*SKL%fe+&-N4Ck(HO0|^n{x~Iz zf5w57r^ZEsIl9@eI?V~u*YW>?)HTH74tLU^(5@T?b7jEqn(Dz70`N)0Q%qNFmrYbg zQ8kSjX~FXaK-`Qud~$BdJlk~4MP532|0k@I(xVqx;k)k(8LcMXYbX=S%aHZz4H(6S zipyT=hgC@kXoy9A7;qSZl`~SyX2UNWg(3$30XdDBawO4eQel(FciTVMp~ZYqx^7-) zm{HAWCPyJ?9&0w_eZDEt203+Lr+9V#a4Ow=SeKeLRpZRWsZ`L3L9*}cVvBCR1WkN#2jmo?xONz?DL1|@3uSiU) z1MYx_hX;KFn>{=UsSpF|E4%-D!RsMOx50g6b)Crd*m*hx881ISUko77B!^-Al_lsd z_8ga;n{9a75sAmD-|B++P;_jB1FSe8BOwWVe)e&7Z5ZXGr$_YZE-EZ65n^Oy?16_8 z{rs%EgP0NEFV2SC1}8CRBI2}*(L=kj*x)#<<&US?Y3NYyO`7j7lQ!Bh+ zqNDQ5808+KsepYfDA0HVBq1au%`F-OL9~;MZ$e7 zQurPm9NezQ1uVI|tz?OWqXZQpeSyP*KvZQVjH599zS8XUuEP=#^L`hvKX5c~H$Nge z8Wa+oAE4Hu$E8fCjjN!mOB}%{Dr#WX*xZaS)B}`@>qqO_@&ky)b>jrD^AS-|sUv|^ zF=;b#5Eq+3=|y=-3HcThI=Y5uuOr+aYjT4uKW@|S-Sh~#NGNz;rJ#$|vN2%OSg-Q~ z`u%C&qI~^^RI1OVJS+3L#9NsQ1B>`dEzil{@a!@0!1roT1rHC;!os5D#+OzjPh?LW zp=*}V6u#s@=sn?PNb_*VSFYe5)u&*H6|Tn*vR64MB_-3;{x=FQDkf1&7;Hc(5|s=k z2=J6;nA{XtJxj;w#>4jC(61HXyrI;D1ZZLFIlf=Z@VA>874F5$N3vnxK@s8jL&25B z3=L(Fs(XQDLK_gB62pqwW#_ETvN-syZonfFmk5E(=e7dtOotWCN1E3YTeT*fN;8gG zDp~4s`B|=+N-pNiboI>h+GulC-Xyy)?MW z$ViASV~{7ypxp5^+`9;!U2qr>qiT9hs4Z94wIsE)2!xazU0p-KF01_k3c$CdXzjlM zJ|1*B7rGRY=uNvVWy%9ps#P?95kL<=#{Is2MgNL&R|HlEi5oNRie0xs8ndR_Rv<3D)Gz5A5D9ySfAmrHQX_pbjTK zWvRTA(u-4MrSKZoaW^YfQ74K@eGInId`Pt1Z@H|JqoCAGh)-~abY!80k6s+r!1BBN zJ%m+Np51ArDtVmIb}K7Wfx<5=|1h3bZcEMiVVdvNGqwVy+~=cK0vk zgs#Cm>Bo-0y68TA#_-l~6GjaErtLe3pS>J$Y~Ik7RDL@s>tj@3&ytd+H4^IeGPI7s zX;XptTf;ygsoq>4qwH84V9zJ{Vr#%mKji7ofq)u033gSc!0*=@IX%W+6R?&gDfYha zfvLdB@irK?y}BK3rwoySe!Q0SHBlUT14Z*rnsUZRn#$Lrc^Tz($g2R~fH!uOkOj2B zdMU$^k+J+AqhE}`>aZnlRIe!_ex|P7#s#Of4i+O(*}iRB`xZ!jsouNU4MZeMLp0bA zl+)*)buSg#oo{~U_taAa`2DpF>I>XX*~lo}c(We@ew?lu^1yF{-{Wm}E;IX&ZnUS9 z?PngR33nVw?;dxDn|Bxr8rekHyS7}HmOgn_#eE$w+3VF-C=#op4_9KE_U`q{1nbj7 zIXy(~<$D$H4zFr)`It)$M~_8#x~3nOOv*N+swizPkeuRcWUDx0OB7hAk({zP*d>_{ z4J7f@g7B>Q_R@4invATfi|SOrMrp7zS_!O@M$3Cy>2L2aq7$`rtieUk>f#cmEIuB3 zOO$FP)zM-aY64@Js2E@j(}7cJzg;H7lq4%~dJHCGH&m{Xfk05YYTPC2P-^cGkp`nr#%cBIDGW8519Us_(8o;9vOIw3dhn%qxx`f0T3@T-iiAn?Ff`_@Ic>foX?{NO@Z^T`S3jY|RjjJ8 z3JmkIhJ43eZEZtRo%Q+|^ldHIZ}RKnuqTw16NF)@iHxj4oe5oEMoPUpk~uBu#ezJt z#a+bk+Pt}xB;NlEB0=50EB7>(mreQWkQ-Sot*va=b<wt=qN%E=jxOlpOxIqDhRULPhNfR-Pbz2EaiA{}Kmw*l zz?kGJmsuJ9;za{bb$ii$Vaj;aR}s%%CFON@v(^x0kL0RY0%A)|5Q)Hpc)t&i-BMUk z#t{hB&h<=*C@m3tFMkjRu|qc-q@)BSABa9(D@w3SY}6&%8_g4I)%VpbSE&_g z+gCY#^^ZTpi;$UB?UB|w5PE^_{_V9 zrp@!{+>}ejRV9VFd3iV87mjw?mIFrxWh{|aL!HGBy~eHddRI!=TP&;&+{w@oEInlZ z^ab9%X#X|X?2A)9Q3R5z@`7e!9Fw(S#JD4max|wW4`Lv0rF9!|(W_U^qS;53^v%dP}pGW60 zl=WF$HRaoZXJf0x0%}o7x#%5_K}ge_O%o?vOsrOq=Y5VVB92=*?Sz83ws*k-*LzA+ z;)>FO7IxPe*Bn<_)S1aswstji&0ps*}(8gelpGOGsqUBT}AZpRgZ z4AqK)!Z=l}I9H1bcft KZOW1aA1kdyd0fUgM zT#;nujfjaS?{?Cvh=l^a#hljJ7c_6 zhWbQW8LuEEmo1gIl;@@A!mpBW4JFBWRb=xi$xV0}78aIO3nOT4j!&(A78VvApYi(c z(HZmKlB?~(GOq8OzAd2r8}VNuqQ{3n3yXMB!)_nek#RGko`e08dKSB}^w^deUyh&m z_*q2zxR1OrBeuMyeP*VNpOThF7toz8tq?Fow?9} z<*Jm|oDh>iW?RCpPfp8}C}bt+IV~K}J(}bypQ0=pAaLjK%mwXoRl!ex`2rvpHWO2b zMa=rUep~+ajm@>Ez!^l7qM|4T&c-GclFuyY^`+UR4P?Oy*-hz5`4EUj$knMu0%=~# z^XX$gTe$a3bYiwdTIKWwDOaUsnYm3YC|2I~X^v+iiz;&yz-D$`N3|ghs((WJ(w$sY z3nunhWV_Pu0r<%I^t6l&f`QUi#h#zEaF0+bSmI!QJiHwIBrVC)$BwreJK$JE1$<>@ zKNvE`p^z>U&>M2I%3&h2nBA0_0$V9I$3}!bkIxWx%x#tzq(gNIE2^n08W_`r7uop2Y z8R6$09p93xa&czt1Ixjm{Cx28tJFNQ5bFxk!AML_$8!Tlc@88lGATnK5NEy&89nHe ztw*lMWtMdJAPMEFvN-Sq2_S(U5HK{kDsrD?4x04P(*iP+<&lBEGBX?CumkQhIWN!H zO`05(&~~Z^6toV?D~bH{zs&w+v6`m!C8~hh%Ty3POq!v5Q6Uu%K=BH4!6>!^)-1%Ln&Id}`*3IhKxgbLY-? z7&C2Yev714LOZZ}=ETVh7EN>9ayGOoBVvx#SAYC+*vj==Q_9Hc*77r3Ce7S_nKa#; zdUNm?yGkD4#b&(qdwJqpMAsPp6I^8UqLoV> z#*cDbatH`Wd9}NLvbV9CwPf-1p%W&*DrO2QQ`XP1`rRMDT()*|dNsS?<>i@krmSA~ zquq#++s{4+E%xHnM%(pgNDwuN7tKGN4Z?|25oYnp)Dph%hX1ZXpZ;#3%{-T*!F*Bm z973*wYNIXvW|)6ODX8JSGbhcQyJp$yRmq&K;9+`&CWaJYQptB!zTRL zq%6yplrR0*(*EeE^xa72gMJ399HbUeq@W2b1;033EE{n zV;{)3f~QAD3|s+Py(#Vb_kZ|)$<|ftmM*jS;Y+7o=afUPq7aYOL&sVyU$toVl7;49 z{BSLWn5mm|>!|gFF^d+jbh4W?*m6;P1)q|7;rp>GsD#KB?U?-K$c0A$7q=+P+J0^o zi{Z0knw{gKg;S?EpM6S7T7FI3#PQS1dGgmc{6`M@^veM@F2^qN#Z@ytHV?_8GxDAc z|K2LGPSPr#k#KQ5m)WUNI{I5DT1U6C+v+z^{NPK#D7oor`m zGi?_aeAS(Vd*R^5&;Rzjky93X1YVW5%AQ}}J!a&DWy_X~uo~_j6ak4Tes$SyjMc)$ zE9~YjOD$^-+Q0tOzx{s9)FlVb-zVj*x;^r^yUO`?Nc2GhNB{|t1Po5Dde0keX7=xX z5oKihYh%y-rPCTBN~=xY?{&7G$t*9*%PT5j@P&jX<*}-&>)0%MNnvpdiz^m#tICUVbMtGP zXdnhfLP29)4VB5NEi0*NpfIV;4UJUdUM`l11nlyng53OqCMJg%$pxt;;?*jr+rhQ) zRd-rLEEChO9QC~LAQ_gADYZ5+DOfq-8FZ+)p|&hHH@B#)h6foU;^o#>)i62is*-}j z;%cE-F5)tZ3vvo8YWa|Ckx0N}R#(+?xa^9O{M^D~8keus=Dfz5S{j?DE>}Tqa+xiK zdAWHdr7Qttup?8TV6!5w?DalJ5lZFCG(nlwWQFc^IaEm(2|3iN+9o!wsj#q=$rs8b zqWY?`oSeKyO5@eT2T8dK@|8txEXd6*D68Ota21L8Y+7Y)BZonOs~9|fM`XG~iI%z} zU=VV(g36k9?x6;`dM&kM*rKEPcj8kP{oKHaIpH|47Ig!A+TK6jKCwqDsicaGO1X>H8FfnU8=PGFQMh`EtjH+GI* z=0S!bKU>mo4IDb9gh?obAmTLPll%G#12WSiF3QyX%K5!}N;}4T_*D@|^j^MX@h#I?i5GPh_=z;WuM0C4!9VMy|?v8>bFg zdF+ugV~X=zrd!S2567W?YpvJ%k`E1DUvRcqdJv8oSvP(dvz&aK%Vp7dLO!*&I{f^u zVG|cZJ%~B)JbCkZ5>RdOl`&s0fLkviSKB57OLoj3=JQy2b8njr404seaI zw*G$7>JwzGhz{KFt)nwn^~{1a+WrYmgl4(QtBtoBFzilV+ZXGef=|Y?;$S)O2A};+T)WyPDP_r{|3yI^gnaa`aPi)pLo> zT0fbne%7e5ku_3DebPLuA!Dbmrpj9rue#0Mbb(zN@!j_W$axDAdE30>=YDG!dt3*z z;DUC{U+VpsIFeU5eLf~68#1K`*|&Vgt~2)nx2$x#3RS!)^vDp$)yh1zQsU2iZ?%*q zmK|Pg<>c1(#OAK|l40Ywb(X7yQTAeoAA(;KT^)AOvtgEYnaxV*%?Tq$+UHWG=YJmM zu$xfy;KXuStBf|s?uQ#`>eDR9lO3NLDrZL^JVF9U012oOFig4nE9a9BL79RJyRi2+ zO1TOR=;Oo7Vc^yILLB5TKk};87yk!e&CGr^cFERCp`4R;?LW-SzAztaGw?5Fza5xb zQMPU7=wJSl2=U*4`sd!W&!I`rz3T~IN7+yN;V-{3`+NpPp@_Y9!2I*S6M@Xk95!6% zH70!ad$Ui!8E0=j0DOM;r-3&TD&vEaz-&nGeL+M(k|P6iy_ z=i!@D+R`fL-Z*o_|HP^NuC6yDQWKwCJaX!Zpj9E{)Ze&pc)#c2W5@TqA30Ul%#%qt zks;@Ne2)709z1m?N+8lOC$>Aq(6v7dtuo3}_Idi0(^4gL(Ums7uBYvI-+|J&Pgl8c1^0+;oN3Wdr-Mi1fh{7hG7NFA?0fUgM9Fcg% z_3-W{1KXXtmsHiL)7NwPNOszqnH%5$D$?r~2!KdKA4D%?J4Qoyse zhdKP}=VOL1s3IOkbm5@M(I{7WE(^vjJ4~+k?0k7d;D&`RZTd^bD0CP)D5|h(rGwS2 z9Cj-&-*)i$*pj@(<87Z75X&=Fa1rMwnxC*Hp%vC zdJ8r4mV@0Y)!I&G;r-F0ma|$Fem~9d4oOdccyyQlZSS>n9_BU&ZgM*Gw5U}`Kd@>3 zSSx$CpxZ2=vJ`|(D<)e%&Ih946lb01EOJ-orA@9XR}+Yv*I9n?$&jTrLZT~jWyh2+ zhK(bCaf{|n{@Q$2DWhS{c+00mwI^IfOi&k-f#HOhy1;JmxmR#kZB4%J`p?e?EMB$* zerSsqJAL!DMR+-ua+O)1zI*Ma7wNS!xm?O^KJByT`m16&yTWtZ#>-Dr zMX)wi#BXkDWO3LGO4FnB`vY#r!sMb`f$r{p*Qq=hE|w%d2s(P^skBv*aR1EVOQFin zV{795(?_pI3#k?Ed$y)j!=qpYi^mcObS5(-Qdw1I#QyzfC}Mfr1ZAadu~c4@5xUdu za5ar9m&=9h##0B~?!=cWWR$>N+b=%PfMTVVCT?1@@^%c-OR3FxylvOF@tm;JPpSQe7uYz%MT3O=mJ??pR40vk*t0BjI z(~ii3205=O;E+dnhVmXQq6F^ucv;ZGtx4Lpar2YcB@)owI^&0iv?5>-auup^P-0$} zTP?v%;B_I9pl9E1J>K@|Z*mpZuEJ#COtJ^9Os<@ltCFjX^4J;U27O~OJGGveZ+T{e z&9p7PsVT{ciHXU{sreOk0(SA_LFS>A%7M++*4PWXCr)o)Ao*72-;k?P`YP+scL#^1 zBqf2hl(dYp_BE$D@h^8Sn`HOnA!yN3FFTJ~>fWZf+6^XMbG2WwKmP9FY0JHpy626p zmY(`9{n6{DLR{%E$ozRtTcNx*_F@)#TF; z(ntN1Xv@3VY6*fxpWQUZb{(bj6J@0VLBrC?)^{`77skp3yB1E|;rt^yio~Gpc8fjR6V|+7@R(~EEwrp#qsPpy zYkM#Q0zY_!!$zq08P{CqZa(1W`t!YP%Ci$&osXW`xOiDMg}g<#X2wQtU1&FXtskVK z;zhuOIXgIQ>F-*u^6N7e*bV*4d~$Rdp^QUZX4tNBNli_G-$+tYN=|8&K-92kkDo9hW>zRO0K{rbDO`YxXN>O`(GYE!&j1MB&)>QW?END>}i2)Oo2%qjPF z^~tGc$s{mSmYW*=5C$So9{2Nh-AKq)h46a7f!i%g8Pm0Ssz zlHa`i!CDUVX*x$WoUXM=+-TnG-n;JJ6#`A5l8 zIXP+!a+P`Mp!<`Is&)ZO$W=L?a^kQ@WKJ`?B5sfS$tIo{baYd#ls+e{JMy;>B&&8~nSzzE{2)*RJMFwX{fT z>CgajUXK0WMm?|LE5!9{tj8XWD2zI}+G>tFN7h;x9yn^WeQbUWgUzbQdma5czf~^s zTsYEx)sgDPhPr~3Wy8N4IdgwoPyMZ=CSTe*dDGE$?J2*rfBD4uTS^*e3>Gyj_IY|a zmCLA1&B|f2IT_FVM-5&C+9T)2u1~C&RW~*B`K*h++potGGDvKDujPS|9#Y^3tYhp6+I&%x(huNL;BNMnU7-7HWsIq91mk#@O)T{$g%n8?A zEo|ncl{YikjH0wxamu}Ynv*XL9KHyItAbZF<;zb`MHV&IRP0}F`^C6fAXiJn{XhTG z;$=}?Q%%Kzg|>hBY#z*8<&=kx`un7O;z5OA%dEl64nL;Qm~v^w%uh##WD}Ete;ha} z@I@&U#BSRmL#A%XEo*3~E?(t0e2Gs;dmZSUWM~(!zxD!Dp$-0-LxIlK7j(60twi_L3ktc|^R;Lrd0$+fg5m=?U;de9P&%Qa1u z`tqC~r;J_W_XIK^rKDSr{C51b)iBwXl@~T)xcQWy4hiI~jFytL>^v5mll;(k^zfDJ zR*Bbg`~4m78&aI!GHZd`T>^o;9H89-Xj1wP$MJhZ(o=8j9ObZ?B2m;NUL8HmDk7r{ zesR^ssgaS%Aid9Rbr?5)AK6%3yc*I$I5uvvchD9SI-- zB%mZkH)CRk)RqqpN#vq}9K^_wUzkl_w|(?qe)ZjaPvz_z@}iE`pWvo(fpQB|>To`g~E>7@pJ)Bd= zkSkh~?gt#d72PTqUOVOcB(Yo}leEj#r{Y%O72jRw9!BM4Wu~R2Wn^ZRRM+#xQiW7p zmYaV0)Zv3C@6ZLh6RaSk8CAY+Fv%7=MW9&0!TwLgGS;}8CjxFp!6ZHKgs%yY&YifG zppZA8@H&)IPRLb$Q?ZYidqx!-A9j{`GV9709mH6mfz_nNy1yvti zy`Nahre+|e9=ytL)HEc~ z(Ud5Uw2`YBclIyXcd^rj@AFlIT$NIbR?T(Fq^ikPe$ld#vyv#Hq?=xoR=N{=2=Hr{ zOtd^30Rv>xs37kNLq=FloG{jY!TtC$NENT4VDsXMLo7y5|7kTmGWuy#z?*Y-I})CC z*ZW703msBLNIkQ2`IsSNtZc?lUbrp0o-1ffoi}Ol1e=L=lcqjRCPwRo)WUVPBgRSekog&~@C)(Lhd8M2Jdgs9ES)2Stt;|iVQ&+smCT7A?v+mkY-`q^_l)bv;GxFOX z>>U^RAM}{}(-vZ!P{KUnvTTs~aJ%_S4;|e%$96NwRc=l6%u&lr3Ax%@5PE9N7vC;& z_Tq^f)>+PYT1bOkcz4*(yc}Nz(}WJKU9rUlUJ`3FdeG1xcb=kl@l=>F0uGvquH~wf zcVLCp-#`9pDs z2pp$4eDGJ_o+8%L60S5lX!hjY@c5dNbAN`_`nJiy{KgIQrpMP1>3e-Q&}!6o<88+6 z2n^Uhcj4U(A_%iGbNeiZkz;Mf+DzQ#cb(Z*(S>^!SkK#`?0$&qms)-AeusDmF0(3b zmfav5TU+}nGa|BUU}^2-sq@M@#z7U=y?)x{7X~3)LcIf5O|2~ zoYjjR{`{L?n*H||kswze9{|r;E^V6+H0Ip>>NmeI`}aT0T(orUvW1&GLKt;%pZwPB zlhG?-C&0S+;6Iv~eL86ev9*(wxo*P0e*4Ei{`)Wf+y6Oq#=3AllB>b{y`H8OvzT-$ zmCE7@K(4y)^d}|**QM?HY17?TSu7T}wm8jg*Y4O-s+3;r<$VO?s$3zj&J5qX=U_RF zPpc~q*tf|qBnIT_)su%FtK{na3r9{rk+dq3pPt_zc%_lS;qkfk9p4)~kSDz;`Slot+9M3(wB690n z<&=|q-JdHb17Gs?x|dL_kZ`UCcm+R-WpVjjF0(Wzvzo$DDM?VwTx!`7pPeUeJ#T2C zgR3q~Iq0_YT0|yH0Hzebbny)axhj#!sO3p6JNL#H)^Rzk;)JkGE4M@yHpqo7=MK4r zM5b~00uF~(l%3Vckub_)T@GD9xvE{I&~YdOlB;9`QP1MOu55T&Qd`=>fI0tNv>M%e zX(Lw^@Q6p&O_#BI%NovTkgK3xVDGXHu}WA`Na2x`LIEqdRVRoq;x=)pkcz;d^vwhc z;bj;~E3gYUx49%L?Af#DgnRbvS#&}H*?KGU)ftymB;fNqo)pUDVlXaK52cVn4T0F; za#cDN@Jvt*5p1|FgC~7T2k_KRDby222I$Y9-6;ZiASvun5inhZAO^)GSB`|qpqZ9S zDl+1qg^|>sKMM=bsRGSNxGo9E#6mt_xja}I26(~-bSk{WiUi{vNEOjf3o(vDVKFbDw8QIvoc+GJQvQ}cbyDReyUZ}j$d3yA;Zr0 zs#iHn2|LR4b{K$SCEr~P)m=cZdbT&4eDu-y zh2GF~Mx5IG(MKP-Ur&O}Rc1b4Io0A%zxmCtfBn~q^ETJ8TRGWx{|+ISxsa_Yry+ao zgdcwQU%#8a*<;T_%a8s!G`3n?5$+EbemoG;j;A#%;_6KM!M_DNfBa};;B$UM^3Z>N zG<5P-7+q~hzVYQpADPehAbU_5H}}C(*57_+SIkm1<0>=6K~Ll=>z2R!=AFCu?Q`3^ zXV1C&2`v?`eLc@L5o=d7kL>rqarMMOcQ030&&P53Fc(%xEk1heWJNO<-n1fQQ}16q zx_6hG|H(^tE(e@{1S6Hg+d%=(Q!C;22VJ<-r`Jwi3>QGBf=3U(ac1A%eI7nOK1a@E zSJ8O1ijzlNJiUB;_V15QEri>XPUQqlqZQ?4#QOVsy6)cX>bmoQ-I@7P{CuF^}Bcc6sjiy7=&6 z@R8%M3hUtE0IfRroUhkDckg|k-e<0dQFt9*RJk`t)`O^uQA_#G9-Q%)u|W>(Nz>`DiM7eeXrv zRB(*}Kj2AWID(8E}ix(pSBw)A%3{9@K z!lPUchYMTukjz1XaXB!$MLfEM$HveMid2sd6;d92=LpCry)YG46|$|oq#`f{XW_{u zhs%RU>@fEhF6elQ*cJx_<8XL9;t{G`#^b_pB=JO=G{{p%4QI0NxWdS+lgo87y0$3P z{%I{&i9Rxn;xQR*j>X{$1UxpILu`E{fb8?&eJM;jjR_pc_mB$s#98IeNHC)no?SEO z@H!VhyzT|^rL+cVZreDC4-`0rC-F>KEMzkwER3)cFT{ZdsL<1=(`YO$mc4v#^ed$AvzHY%(CWll=1TT@K73(0r}*xp;(n^CUVDaOFm?uO%xEjCELe6 zu$s+>^ur3ZHV(v9kZ1cu5WzCrS$HT>EACU!Md}uBx>+eR8O0 zx!NarFb$*7%9}<;yUryvJH3#rUE4xmT@x_z%BgFdXb%aP1ObDStNpN4>hoS5IqW^; z>p%V5Z@+q+PrP=mOV=a03b$G{M|An&E7|i`9<-e#ebmn?eN<=LE^7PN7W$2EZ9&^? z6S0s^Wm)$J?NPL6h=@Vur-}}Ix;qA)O~_TZfJ!Fuy45a2s(W^rS6O`PpgjR^oOshn zTOuLE8~$%y`Nr8d?BSQbC4h3Z%a%uno+wv!2(wR3nYbb#Tj@R}jK+ISz{D$Ouesrc zNWhc{^k=!++zi_=7=TL%-U{QY|NGak0+bsXbeCcno+~achJiwqGw&%{lyWuapx4PN zCd};ZP_^%A7B4q+0w`CzYkYL&k8)L4B>UQqi7NtV%HTfR*O1YE?+BQ9vm};say-X&vu&@y2s!lYKK)|I^=`fub9THFx_^@&n zUU;NW2eZ~;<*Ytqgt(x6gIOYajufNo1p&Cx^x`U`xdfU5XcmknJ4Tifl&dDLJ23l5 zpce%Ctz3mYQi_U-N=iy#M6#c|lG4)h^768>vUesHRBd1E_oC{qo6-TX4AqqKi+O!F zfe$EGVOuBYgXzKjkWPOv#=n%sn$Q_wGJ7hCl*HpjQMwpj_4e&cHC>0&Sz7Z(cwc zMs@WZDMr@|0w8dDah1_r0yBYO8i3Jc$H+2b;+50LN)xk#1oT6ozsc3(_p4xwU%6By zmx&d|_y?O06S>1bB!C2TO#ohiBozz9LLO|-%w;o}bPBblv7xRy>2J}R{)Jh37yzVy+{{PQ=$H#+a>i+T9?-qrqmcQfU*nYbcA zx!RvAzlm4Q{#-PeHYCt*3G^$uI@vYTes9KvUFkOXhXjxS66i63vc@hKD*}|O`l)8Ui^aq%XYZilRY<_Z3G@@W`ZAlo{$$bC zvnBY41dsp{=q-WbEoX|hoG#dODtBXG)&~Fdb$%&peB&4FzB7CIwtgsAMN(NElUqq+ zv4uU}7DgtM!E0soeE&kZs%PN6j?Tmt0m{{0*Bm`uXyTPq4}>uaBw&05`iWdMKCqZ0 zB!C1y1OY{>LMD^KTaNfVE}P9_P+FSO(^5S=-22tqRjEuKnqRTuPLxy7lWAukuDBk4 zGBy)lB&T;9Q3Waj*^U*mZm)2NW)6&_u{d-+iR%&LcK*TA{FMpp{+U@nz z{~Stvc}26L`_w6<{PN-oLHC?{r3hqo#icE*cT_??v$>+GNmJTntjx5eih6BdRw5Iz zOY$q;Dos&kK?zeJQDY#huPCRmg=#+C9`;MQDyu6iqw#wDV5-|ps-0j`OOukaSRxI@ zq87TVLlK8sUS2Qj>Xgp?dQ~}fo(eRG1dxCs5kR?WNTr2oMFL2mdjblD0$%R|Z(4yh zsxa~hZwQ6=gf=!drlh2}yZ0lxDwE5PMyJ`HxC?*wr|vnNxaSb?;7eDdg;f z8)pt2<#6g=ykUvRZSSy|-PM(RHl6jxbAzq|srf;8wm=h+o>WdXyLgBMkbnsj zK)Gtdg^yWB0!Br^P~_^JwgI}5nBvb=wHci(sSzq9u4?(FW&?v7jCC@t>p1uE3tJ9Y20 z)Z3Z5yD@cl_ZDxFBE=n|Bq0G3V*lSwAZ3sgD5VK}&!?aC=H7FUJm)0bC+FOAU*-yl z>*U@xKV6K|U+L$kSNjfJR$q@2C0{h@?wwJ~{`90N$hd0xsaGQ7f%1(r`>wg1!bDbP z1bk(2Dvoqj_Vn(>m`uJ}T{_#_bK8w1JxS>f>Cx5v^ye4OoxMQe;>B}^*8J;te_nDt ztmaP@75piIE=yJ~?b6=nr9gX7zG_gXUwveqTB2zdWnsTg?bqLUCFZ}neD$8VuKubk zOx1?yduNA(q0OeNMd61m-NqKH)Te@n^__lDlZ*KNjSEQy4gJZhs$k6jsdsjHc+RLp zS5=bvqdg8iEvOz@-?l_5^4NmPZBAZRN@{Ac^z{&oQYuPIP0cRgJ_}hM zSck4ESh>-C%}p2Wzmdxpin4E3*VR%HDD?`}JOY1` zNre=--@P$%2l}DgYS84h& z0mx%NKmU_oHC<)rWKx9$GA2oRxhVY9>hHSueVSa!St2Y-NlDEus+<^HUQ*2Fi?!8} zOC?1GTslpwt2Be0OA(C43)uMtK~Tz@>F%V{Ri#Xn zo|clDk*}S=TVBE|+E0p{Rm3W1`jU#BnUgwy0Gw*KeV?U{Kfavw^CT`WQt7Sj0ocf=C{k>xs>-k&Hl=7q8KX-^MQ6^ki zZ{aeIN7vheG(R`%Gm!;qrR321@mAez+*~bt`2@YlVdO=W9y-LekGYGJTR>o-w@d#C zTPs~oS4^dF&%#mv{QKX!nb<8ma9xypw@O!44@1^EI#_yn`u6T@Hh9hDcqXfBd9_ci@nRNx!%;R+1k-&ad~M|NfzsoB!7R`vdGPPDPR; zG2!XnsSXp@i@8y5z5Ny~neFZ4ZEe!kebREiMp-js&-&O}y12M?wlZ0E_%2Ldh&{kMcGCiQz`g@t7 z`xcq^^dJ5G2u~NsFFSYo>1-5}j#9L5?yxQvecU}=14juhs`nG7thPghHGpO5pl?B!_h<{!|nue;x{HH_jZgu7RdwCZl_ z>SEEm@9=~onK@%6Rw_H#4qYQFvwQ-ynb=XlTDPa72S zB0_9B4I%d{$+%|unRjYw+3w)6Uw{0;7rmUvFWMxLX7~G=Mpx;l8~!*Go1J&l$w3$R z`;4A4f6(9n4_n)g_THhX)kR*LYUOJ~boJGpEj@erB#RgupqJ*!mArw?D|Y18)Q8{Y4wHU z_X{Ts@NnpgY*71~ch#*Ou| zb&ca!+}$1A@#~L2`MlTo#hWG4oS^nL=aPz9ktfW}`z8|}1$TU)-Nq}i)O)UmEU@lo z<>_JH*~$I-3r4ytc&Rh{IrOlzarN`--`~g0cW%vb*$UD6xr6`n;RhWp+@>zyL8M|I z?RGM=aCdj@U~RtlIwh+vh`T+|-p1L*%hW9}Dz#|ioBI0>B3y}y|+W~&k?jGlIi1Y zzUN*lQLSJ{J6btB%qzdQabWME!L&y%6&07&yl+<}c;#W&ZQY5m3WcIv$jxWd>{ccy zMd|LQJ&uMK(l$HlL@z6UwnF`Q_ssu(-uposN1;^Q-#e?D`7j!Ii#)!tgH^vQL8($% z@$}f@&pvWal+@IEO_I!u9wT^hY*`PF$*J-8z1{o0wsW(iA*V%9$PrjBq+Gn0!`Yq-#ogiC{FS*>ACemOx7-=PSJ*EU)898bE+ zesSXKKYg_0QIcG)%nLjC?U&ZE0<}2hTGx)A6l%;7NJ#C+|R7!5#fIeUL@|-|v#++H>KW?)i>u%TXT_c$>do?=xiGg=Z&&11GGfk=ooR z2YWaLq%gl6sguvIGVz>JDp&2E<>febrHJnKuaNBc-m9nG?Al9>tE8NP&Ruuk&!I*s z&v|BTV}1Q4^HYo{@p5k)zcit8|1?X_`A2C_Sy{12R-rB{@OSEZ@M$5_i1h?LowJ@Z zup0zGpsf>tuD10afck?#Yaw71x>{UTKK$qn8bz&BS6#NBeUwJx@aFJFU5&s0v!|{7 z`g09k@#f80(j3Ur(uxYYTCX#Rr~5brA7=*9NLQWzWAlW|3_}WYAA5EArjOT*B4#Ze z#hM|#dwC3=H+RnL*|R5)@NREAiN#CyF>{Qpv^RC=k>GmnUFD8+ve|TX#d^?1Bb7^ zNE^2|&v5IzMtiwm9Dmu(HGm9c`&f_pho5Orm-C-{HT~XI>CV~yUw_qm&m(d`3VDOt zTjA+tJJ?{_A?1StGPS1x~_J!)aa^owyX2{ zOYxdAtHo)TO-%>>Vsf_jfadj0qpON-)4jZBFvEqlL+FwDfzx+r;mg?19lD!_WwR%G zTkj9&tBa#7yE#YYWsG*PJNcYh(TH@_+Jw>7qNosyZ~Iq%bju3{+Vp- zfB*>SCjed5&j&|A00fMMfKliwT?Vhe8%3)s)z(#)9cPCexyBLK9GI(hz(+d0Q~Se;8PaTr~_mPqMqbv0G3zP@^t&$!pRdVkNXzB3LBQf`{} zbUq)JA(WJ!UgjILlo|SoJUq*6=nr-3i6r?(Z(B#LuF{Q?v--L0eU$q1!k`&DZqtcn zg%9le3`*Bb0H(KBH%=V5=uAQQk?!4lY(8{kKUHJj{-dWZrgAm2nzLR8&mP~y-2PNp z%@uVLuWYpU_`de&6;qv*D;9SBQuC67>}>AlFmjw+8?9;wt- zDeI|2N6)zWm-x|Z1d5}}2K?=>?RP#VgcRPOs|rzqdpE25g_XJ*7P8bMU+bhn6fcXtd7T|)}e-3`(WN;mi6{l2gI`|IAd z?ppV+yVm?MGv_=f_SyBE^V$0Z4~K3&m>VmuSFZhyEl$Un;lkyRc{o(cU3 z!jnl}+Xdgkl0G?z4;?g1&q_q=rsvEQ(WcE#*&N=6^ezNN^>~!TSoqt*WF1#16UW2)sj1$dG#18BPZ?}V)9%KXZp!`EqMLxAE5EAn19(MKSTrG zp$C2MF_j$XX_X7D&w*T%v2p*DB)iTGu3uej4=9{FT&lgF_9u2pa%)FjNgGIdT^A5K zIH>Gbc3k=x ziR-PhE`i}fb$cfREACT6!tm4{TqDUPJ{JaGQv!bj-H7PYc&*mY)ta{yIu55gC1W7) zlnBx5hDt*N#VP5>SelFZC!OIccV|vrIn?q-36cYI^WFgsWhwk<~U4~ghcCqh?K0{S=2Wwbl{dZR{CcGz{96@>IXG3dslu+-kM zRuX_`Z&RCKXm|y&b1mnomG_x7&K&XY&-+_NLD>*Q`QsDv?Kqn|eJ;J`vJW}e-RWCn zan24+iS6EU0|;(0hVwB7M>aD>AM)Vro=dOUYM>Y!3MYrvR6?jRRdeO<`|9o-Nj+gv zZ$gz*+!toYcRs)*B|uSC-(%Qvv&v|}>{JaO<0?DaC^7BdZ5u9l?v`*|aZH@&BIuNK z;wQg&1dm4XNXHU``VBlB#%pKz&MXKbn;%1p(=XObIws7$y3?xTvr6%B-InNiC2%gz z$(C6UL`&!XdJ`&G4BS4!*gqg&A^??St(9!{7%qiW-sq^Mj4L2{Zrs)D$Gp8;>xM^d zIW+B-j3YN1sotE~qKNfvaWNB)W;TKfS$S9pJYRH|&g~7XTQivKY3pe|?9P~3{eqsT zI@JU*8zkTkU`pCd_*!8-Sk_7stm3rd&GFj~A{HJGnjWs~dm#BLvnMk!{EI=nAo) zcaPsJ>zEW$5eZ>?Sud9@+pb&8?fA0<)vuc_l*BicES25aQHLG~`;M4_c+D4_g`=T( z<1t1xx?Kk}V|2yc+0<&32|ca!rrkT3q%8-_+q|D9>o6S=B+J*#VtJf2BP`ui?1nr= zRr12h_JyM8wWD0x&lp->ZQwtfxNSO~y}wSzv;#}$)Qgf@48GQ1tQwChF)F0mMn`aW zfAaYG(WmPD#WA7xl232RloboWU|hppDUI8q`|J4n+)#h&gwt(y`^DxEeEgP+toF*A zqxN8=e%@Ar5NUiwluhBQAK?r`0#gUeohf%)ie4I?)N0pU+nsoB`^vH-UBGU~;K)Jj z8oEslELqx;LAcz}Z0dk?etutaC>`5i9|tQ^_8nV#+By5K;qsQDu`%%GBAsXC^V;l( z&EP(%q&Z*9tA*1BFZtQy1PVLd1^e3Vwe59FbMxv=<(QE4t=;8Q-;F{r(!?UKXnTCR z@gg1jwv!KPkMDRxgX_#=w!VZwc8>v=wZW{atNZ2WMN;_`k49H5UZ~Kg_$*X*iYwkE z``YqfWaCbQCxIRac;l?FqNsE&!J78XRBA?zQdNjpcuDp4$iQTKSO4tQHLh@cp{f-4 z@hMlOlS4TQ0UxiwIFqu?$L*hIdXAT#`??DT$$8vaim}!9Yh8 zX)Qw`h=gLj)wJDoVcvDNKk^xlN8hT)h(a2Go2>RG15U?c@Hf^CjB?DyB_$mLH$D)+ z?2;QZ!C(+Pv!I_pVZ1Wk1KgAGYf*wu_k8G|oCjTA3}96=4glprBuDdSbCGFDo4+Bcc9)1^=D9E(*2^)d0DHx$RGz zb<3|Dgwx;LKLx4BC8x!yTm;jFDZiwlWMZO(ysa#Y(0uo@XE4t&7MzrlVf9hPje8taa{WYrn3+98Qk0Hi^;6wZZ)8!>O>xM{ z4MnbIkG_o|pW%pP$(d$4CT%a`jDKwHWN+VR7Z?Av7mZ?ZZ)B*HaVx)m;uU70+~&+$ zgBN1o$fIS-dmhVz0iliPdX#yWXt@g`Je4|`r zgNfSis5sFkfNAhI3^&#cRP1)ebTRb`Gq7xBZQD{vb+S3KcS<#i=%+6)4PiC!)NnTN zcNW!RV#~JeSK0wZSQ&+xTB!VU`s7iP%+q<_;;McNHh$J{%0gG?Xc_I4#I(3~N~#i3 zJrP2p^SuFrg@`He<#|I&vm}lRnwmsf#{xOhXoe1V zqKFa_I@~dKOXpaR!nz1)nsCr%99HI&w}yH7n@WE4Iob;4#WC@kB~#5Z4DZt_v!m9H z4L8bpX0v4K`n#AI;vxGUCv~}UG8BTl)hYD3E{TMX=X3dNARSRRBBvKz7a-04;Be_SgI63baa*!CA_9BsheRoVOZz$1+?96Up5)Qzg-y~eFhEsI{l*_!3 zI7Qv`ASJRnb$~=c%3yntj-k=oobN|$ⅇAgN$XXDjQ0ZBq))UT@5s<`q$tLj0n3L z&Iga;{R>#{<}tfTFOht%jN(v#f4|rxIDj;gSQ(|yuZS`aBVuB3l1A{z$;q*?u`w}i zeyw!W+ieDQd@NLznJOP3pdFx)|6yCtbP}r*E5A#oQ&MEucUKxi-64^Gcf`uk`_#tO z%yGTAKaOc|Zf&wN)LUrvb?33?tw-PlG3;S7 ztCYhNU-Z%`sR8MoEO6)@Q3ffGgT&*eMp%~ZLisQ&ddqbd`{4tS6CxXZ$09Dr_mo+)B($R3I=l=*vVBv zvcFxiZn?Ft)zW(v7De0^UuK$6x#;bHF_ZrtR958Al`HJ9d;vq!31+!Yh}4E3a(+IXas-#EH{`3;6iAL3#mG%i+_reDYAdUAlgi{E|L zE0t_;c%oA`kx~d~2Mv%c!KLy6TV&WQBZ1b7NG>bH;R(DgefhzxP^Up^yY|2r!*M8$ z<~pf7P8|cpQxmIeu zt~Jq(x6DFOts5T$CtZwi`r{MIiQ0^@bRc>`y>JIIWm+7pz??zxLn|8l(}i-&#hAG| zOc8K$EkCEVnPsCL7mHjD61?T-R{n_z+d})hDBO5v9Z$mBlb^Fxt`TBjVmHIE3t(9} zkqP1ftAX=a51rIwZ}La`)P}aRJr}h^p3>H3RIyF>YlrGA?ba0>?kplsvs|^lT*Hsj zE+q@6drCdBZ>WMK8$1OIC#tn_V}cQ>Y@0MDNDU1z+dojl~CKiD@b;7u6>3@;ANfN*d=SjzypV{DUek_(N4N04nQ^Wnk8A zB*4FCW9OGbZ*aAbJ?&FkU8(Y4w5{u)qIen5VU~Flfd;Sz6Z{EC$$W{$Q3;W9bb@j@ zFs)&b-$HP|;Ser|w@u0xTiwZ&((bI6~QIr+` zY;xVz;9Kk*%WE4D3FOs_?(tMe%!pp{!|u1@670ktKK#6!xEj>^4-8f}llmJ`;^DRh$h8c&k-C*W}Nr2*U9 z@+VhgBc!-S3-$-oEcM!BilCsUC0X>9U+nGp?xV8R;>qTku3YWYGJ3Q(PU{UoZ0mKDT2la05}yLHHQPI4hY!MxFxuVLI8eAQa58T& z>v!vOi#L9GLK&<4^1kB`$@*=Y=W^uK)oJDxZ|HW)#85jIK<+uJpHK&fj;Eo}&WFMe1?HwGT#L^ZQZnnTB8@pIJX`CY6F| zvh|E#b)ni^RR#-H_Zo|Q^5BtSvr2SuaF0`=fD{a;haCVJnu9vL1hEqbDTFJGM&pPJ z`(GMfO4QmRX2P2e+Oi&Cx3cAePXMy^tWKe2f$E8F0Hc?2ZJ=C)pJG@pV3CbtFnLx+H&e&_D?8nB2mgdlXS8jH-@ zp>*N=rD`)fYS1%O)VV5C?2gQ-bkD2pU#ndxC@4TpKG&12<@NwH8L+A6YE|VqX>DpD zbJ472ZR(~;_bG1hQbda=;EWX#KXa+G`FvTxi6&k4(q?B06kC-dI5J@LYb1YtBCkX3 zZQnY~hsIx3)8VbgJLbso6c=^+$hU?;KO+p`vbn}5K}0Ks!*V^baWcL10DQ%leCNZ8 zP9db|sdS-2L?W?UA|_dEv(L+Vo-thQ~fulBKUtuP?T$QI6ZSKn<1pyykNIBe0RJrm4vc`mS>^;`D=!6xlz- zRK{}i9sPRZxs&68AWvnE9CVzz|3#6RBPZGzNYw!uR~|l&m5Kg;c&CrN4lf504+l}j zvR)Y~$FfoWUr}!U`3{@sT-AdvHt3 zzbacdxS$Oz<6UVvJqt8IVgMOxw3jq^{F1*Ov+38;IP*IYEG9Q@YIf0-V}%eJ_5`wRW=iKUEmH{YHZ1L--9FYz6!R~(taNAsrRh0KUKMpOq z;<89tt=Tl{u(g9`8%!}^wIRVA-D_{C1d7>xj>#uo2yHiCjgOCuiv=49%^xoFX2(H` zdi{Alx%O+d74vFJcj)Dk)l{2CUS;K=Ti0)>XbdWzmYKC&Te+HE?jD)2k2Z;c9cato z{_&r_Kp?&=G+p4^a-9a@+uGxd58@?mUZR5+Luqg@No7Bl8d>`@Vu}PRYKSkHe!al-jpx>*7AEN6{_& zZ0mMv>9&J8t4y8Z$6`~J$IVIodGu(#oCRLI-c4Uf5RZURwBOtB*=;YpMHnbVpfc?- zz=4ETlk4eXliLuGRsTn;Pqq0}6mIAD?;H)~QP3zz6uutJep?Kb(SV|m!Xx_lYe-13 zA~;>ZMGR9Rl}~~uRmg)6z-Q9ao2~c8qT(|PIPOgkBy*z~+gFRw9(etb+0O)(l=E2& z+tD#My8YPsy8AjiN2uSo6=x2fK@{JN_b)d! zmkuEpgx!(|u+Xpy2MX|282p%*U#I`3%D<#C;?F@?C!_<4Tf05+P(qhQ-E0;eqeeR> zhsk79;MOXZI_?`9>g(@t3MNp!3ywOx)Udz$flfZxaO&|hXvp>S(;9~H6Jufq)I;`> z{(_b02t)CBk}+R8XPeF`BR2NDWSfB__QUbf(Gt`zo@YZ#Ub7QsTg46^O%+;7rNO3b zW$$Y$momFF#sfAkuLpFR99C$(-0bWcFqe#NA@?;I^3_MxFJZl5!gCGL1FP+f?|9SL_Zjk zCirb>aB-x#VWHsh^^D<8qmFhBWGa5BKi_Zptr@SLL^Z z=seAbUZ=9(ZNC(j)pBz~?aN$UJ^n67ue=Doq;ykH;B|f?m&`TUXRQDn$KbY2EIql| zwQjlZ{O)+tCZ99uzwIRD44a;ru|Gd*!tHuiA9RF`hv+g!^KIN*$sNhprx90h9=ES;XknWBIy;O5>p0wa^*D%L+0~V=!`Mj5z%W(7CF-nLtM;HXl$fL6j0cv~#(Z|MPV!@?y*Nxu zksR5heZX&)#r77anR4m;xm2G!ngvMPJ*r(jdAh%J;c>cKRMhy&t2p_zaluK(#3JN; ze3`P3hC@O*iGO_5ezobOEaK7=n`=a%7wqJi%yadb%I+1`x8`~Tc>I6@5U#ltudBRv zT$j=M=J)W5?H_C(uUWoWajf^F@Skjx-=D6I&^%_;J!;q2Y2J5X(#XO4;+Axkk5h?v z;)I-F8}S7#HtXb3<@4jS6yxJ*l*moDyW4E4uAMr_$yJ)EU~0gZ+_j3Q$JrEF-(wLJ zg3z*4{M6F)*;Iq_t`bW4h)d}McTNLZp6R&0Xz-3EbIfY@YHo<2RSjmpI@>OLzx23w z-Egfwjr`8-vT!rB>+<=~us-6{Wa#dgmozH_>u}G$0#ax`?z5Y`p>M|ab|!+rmY8+w z$_K&suGEl%7s4(T=HT5{&T)}nVD6+kB+2|=9Us5d99}=ywMmjZRX(sfoUZhufFvM2 zW?xDB+>!S*sNU&(u<|HXPQ2{gNzo}4CZ)AMU)Ju?OeWISzExyo52Bz?SQ|4u%T&sI zTdZs%1(pV1Qt~HTHp#PpUPsk|omZvbP*i)X#MFNL>Ngg%f6C-@YNQie(aDoEc$;`R z;wNT$aO@Hsmt#hKO7Fkz!~DEfg)lQ%usK7k#lOmi&3WzXx^WcL(w5|PuqPI8UB;pJ z`lhMZ$^;tTLf0rd$=)W7(7l(Jj`Iq2a0iMo?u~|9El#uZGmAG#lr=vR(e> zn`3-osq*o4iT3>lxtm|ew--7Ir?xTirwM3aTwnaNRMK?R0?g4TCl^xq=X9TUC#p*T zb8~!@c6KmwYE+R?N6XDjqjf#y)pCkk~et z=Efw~1Z{>twF9G|qN)o@p95nig)&=JnKw$Bl07&TzKN3rf+9mEiajqCQDD z|CDg-T9vRPDVmFAH+H+v2W`P&p~qx!4ip;9OJ;c^`)Xy{e6C+706(TsY=gH!Zb3ml zE-q4CRhjw&joX~#ysMzbD`;W@m$d7|7_WoPgRAifegb89g7jDir2ba##ekLCitjaL$%31GHh8E$U^JU$rs^Ku(p=n) z{oXC`vEgO8BkA4f!BorsOkt(teGAh~yYjSe*7&-9qv^03w&$4n&#Gr>Tm4BNPR^2< z7w)fw_wQ~b4#V!D0&@3Eg0^lGZ`o(nT{a|4Z4UAXMeom(d$Us;CBNex9ULUw&ZOU^ zJA%RYmo66HlH)$RB=@?<$Ld!=J7;z^)*#qzL*}2}P{<%AGMRR$#PEo}6 zPRRg@t+&r*zHP7gbG3^5f)q4%e6nT73yG*vrv<8v6-C$_)~6sjhPPdG>}8Q=g49xx zt>B`r$#)SVZ7}vV#dg)i{CXKRjQpDS)!OEMU#EvTZx}=OPu5y@Rdv%4#pjGW{1st6 zts07FT4y9;XIUskxuS!1=%0)F^qie4$X0ea-8YyAK1K4K_q^1a!h}^DZ9zw=q|DKn z`!4lUVS6Z$)iYR%@e{Yxqk(vcL?HgP`gtES82pJ$>voQ-N?le-Xllydnl_%)@%yA@ zj@9T{l4#mDNjAcSOqx{|vi%RnyRe%zcC`LR(S4@p{*w?$Q3Z(?>M^QmEW2lVy5{nHMB8`*04def1gXEo8ZNZdOnPRAc4k~$gJjSl9jU1x{Cc`U#j90^1e&OaT> zg`2u@CKlPXhpW5etIC5-oo0&fn&KV+7^(_LM)nT&+aX9ZhQ)Ec;Y4|KZu?_^0) zQo2Lsr-y` zw`fq%uHa>BDC(l`!$WtNb7`<8>Ri;g4PextPt17iX=uY%^Ofr{VfZz#<_sTNU2?BG z(IE5g(wN&k@Wv-5CidJq`QFei-u|Umh3ui;Q1#?BDJaUu^KkbG?w;091 z@9BECmMl}^U12H|UEx+cHx8x5rYkg0jP1+MX1q=na#Ll3;ZqyPOLp5O=u8m)0;VHO zP28JL9n^YV?BeTr{_^sokiALwPUM$poF6$zeT&ZT^1Q+I{#{#e0imwF_4X4{S;qhg zp{Hb)@&WV42T^5F^KPE=2jCV`A-ncU8ZZ)%hwOFNjs5yeA@2PgR0n(*IYf~@Ncv#{ zx^U>phwot6_lEDPtJHPB*_m?B#^j=8H7~vDdLUgceBL=CIkh|_aMJ2_;(I)}_NGv$ zfyDxW>~jD#X=4PsP>N3mt7`QtzN{5hi5ISO5?Y&GpBH;RsXrQs#H9%bSD`u}BWp3* z`UIV9_%>7c?t*gQY2F7lzVSxf@i1s}E_5_WwyGN|kiKcL!6|$Lmw3Dha`F}A>WLPb zwSxny6=na7aIQCqKk0(0bIbrp>CVS^!zK3X!JWsUvm8>x5D3+n2Z?XEl(Nu-1Bhwh zOd zK`LIIlf!nAhow*aLp^>R8fo(eBPp#u`#JOr82U_GDBt=J0du}8SxdXgSnVoVvp%n7 zlPz1*Z2L%2-1B&MNb$QlXdMk!gwg3{@8sN8cq907XHDj?759x%q_tFokG{Qp{pyKM zQgUAy&-{Re30!@GuW(EHZL9wi-S3@JdNUTQ6Q$}Uo@K^gHbW3J=O2#Iz; zb5QSYJA@?;-Y-9)4!uN;x1LVcl=F{}(!HA*>igE~rEQV@~^hLUB~-laMw@ww5q!I+n;3lV3)2p zGZWb+tEKn1Fz^tWp4XA$ELqLQMXET1@LiX+=jrZ}@V7(+wQI#lE zW~iF?m?HP((j1OdIJ7qx3z{+NLMe`SVo%gnLey*ee&tlcJO>&j`<6TGklUdzu!C}c zW6$|*PnsTkzg-)w=w$t71uHh^McEL(ue3iOnS6Z z7dy7$3W8*&pGA|%_3ySfztPZ3-Ev4b-{T8PMmV^oSD#PIc6n9U6XuOH-Ead}u-1#0 zw4~U*PAQD7a5oetW^b9Oa&b4kZ)Ue=qFObFk;rC4I8>oH9XwvMm(7x2t*sKLdpg5C z*#e(wOi$QWoz+I~ns)(Cj!*14lr7qaPPzxD1&XRbW5(txNLXiP58@gsJookwsP?$r z8oisOtganq0|1kP*${fs&PQEVT2S*VtqD^Zlyg%Pb!mUt#X6PSxz7x!<;}4DG%BQp zn|phO%OO?rdVG^hac4N!dU`iI+@eBA#2Q)^w_8MMZ*y&~iXwgq=F?TE`{|Um zr{`dt-N)hvhuK>Zey$>cMuV?oYXhnn?~bUnXyV~SDWhH$6+a0;K!Asr99#w!vi?)?Qdg>wVIjujKvHF z0>5HR6_w?kIFh{*_)wYF}Rlm6Ur44Ev^8T~!TZ2MS2W2~lp6PTmvEZYa;!+8P z9>tVZ!LGg?@E5|9#iyRP?N%<;ULS*{4yk0;bDhxpa|4@GZ}5Hv1E%oi80>X*GM>E` zWIMU@A;eZ;-hKN-Qcc}Hf&hB zsh?`^r>M_Rf}MwO*o(WJR0*3?7!$?U^FdZklwe(bFaR+OgaM~Ali)5IbuTgx4XVxH{o7;r;6UfMSm#o3b2d79u+ zs|pC$RwS>B#EI!dIp>Z!QFCKES48z|cvv!)2n2)>?yRlU-wLcomB%|K*zfM~mo0s@ z@e6Q)U4_o8yNGI+i121gxjIx4u@>DAw|>~j6QqU;iL)_o3OelUT`6ZV4?T0*KbrVV zt{Bh25LTKnTw)xaA$pgc7jn#At8NoQryTl1&Wo+JxYp0^N9)~fXE9I0-AbF({ngqm zL#Myt9=@RPjUMD3aaEX-+DXPxfaB1`?1#pq?X-m&;f#PH)z6H?Qv*51!#}*=sdT!Y z?Nt{;wapA>qpWw?;R$JGnw+}i!3DD207&gj&s7_`adMqCb(gP7_p8pz$b7J4lG%8) z1fi+H!FCs)=i__^rf1p#f*J-19g9m)ynk%yP{fFMN=nn2uAu_sQ~u%RE|Dbp61AWy zMaP5D1)J%Na(&>m?A!n*`uN;1LnmLCd9ht8oRq7zau<9!%X5BG@{ZO=-}O`+^{);tT2Lv4 z(&skh^Nf@Z9yI$jHw)o33JT^!`Qk7gHuT6n&Uo}3Jx|MQh)Y!!4o)?e7%g8(TX`FXYY{5@{v7+>yuir#%rhZcZCOW;2Ns*aHBPQ!?b&iA{3Z`&#uvfkxMYWaImn9IJEL zdL~FzO3kKOZux_1vNhymObXhRJpf21)a2n5{t-MM{Fh=#csMJzQ_E38V&5iMfzN;y z#@GMLJc*!jRV~Q7YvY)wOD+6{lf!j>DAWIzUOI|B3EiSEsN{6Rogre$?3(P zlw_ZiEGvA`y=QA7I$5crcfaa2cYA=oFu+uDm7vLU=GGCFs>SX55$Q4c#1?%({PAe% z6ZW51hEikgQ|To{rlND^%-<_ltxs-F!uLIo8wNf4O9lp96B{Hp@os*YFEqQ6YcW)| z+%HdgU5+0}n?)MIEgRuHe)Sk0Nz{8|a#S!U=d(2<8ZTwZfNbTb(#fYMA|y%gw3oUW zO{o_M+-@#xj>hVWM8b12pNw(50h><8D^Qf=`TY3#^XJ#EUrG5Lhs|V>3LqzF{VYr^ zH*6la3kB=kZDA5rO&@pjp6oQIomv7hD%COr(!os*$pCr~!2`?V5aPo)8x@>la8nZ-I3qgu7IwZP+IZ+S39_cN3 z;ADC*4nVd7xUJ^p7>t-s9VjDX;$u~*BnxrlV@%~#TRk0*J45-M#vbR=7yi5qPQco~ zNQ6-c(Q`;>snXZV{an!~E;#y@(t8p=@)*8^t{^I#T#31`5%52A7}ZLQy-kX4n{{{C z;Wcay+P}tYVzbc6prVDxLU5%qhl1az(n*+|1Z!IbsxlUb$rii(M7!0|*C6Sv+L12&2KkF} zTceu1v&W_=(i%x0EI=A!({{RrDXEui6p4gD5;{`ZU7eANp%|r^zWj5#R4L3JSUxuj zsxD@b6iajm#aZ7))l-*5=zgNmiT_8q>NWAsELu}usl+K*-NOr)|Iq{K6 zwcAyeXXtrYrkwBpz$3725|m##tnTCWm_`1x~EKA!6*`%SpL%cv5(tX6`+ zP0uavY#s;2LZtjNyr)38ELG*l*YU&-*WVF^^A$+>?RS^48c&TKZF)-lz6rXXsMlSy zg{$2$(XZIfC=OaVmhUXqs|=;ar;%w3C54gIoko!bW1nBNRr!$V@Hy^{PIwOPcw7pc z1rDIPIvy@&>PvNrVh=8auQy(IwKc!P!+=9fN_~VD7-h<=QL#3hDQ+#7B4ij&CYTkl zU;)83S=Orf2~FiUlrm=+DYCMEDv@0VoMHd?@t%Hv zr6U;4&c2#eZ`7e{A|K+AKJv`%bjOT6r&N}L{p2R5d=-$owmO?tUv51aWd6N1zHHl| zN@iVBVm6N>f>%9<g zGC3ee1RHGjHhur@=rR;hH_)3`48?RTGasV-O8)&F4W?0=mH3dHN9sq+Xdmif744bW z8P-lYMUz~;O-`wVHzx5L@7JH};LV2od0k<$V#<8zVC{8mI@ep;UNTCNfUJXAa=hw3y??_0Q$@2B*Wtdb_UI zQsAYd(lCy22WXx%8|f0AP{{2FS@u|{JwA<5WkOB{zZTcJ zF%+}FQ@Wpkj#C~STAl~E$akXusD{ewLFGCwruyvebaCpsh2`W~f9S!W&DZZ)7j*?qC&NKN}C zfV#fzC7XLOEQ1nJfQN%K+{(;U@dheWJ3~!HHKJ2BJh5?wa6;x#U0*aTIcmBp`ACOz z&2zDnKmR2+S}zhjl5B>7(Ub3&+_m z(!O&wP56@H%X8>20$}@+=&7Sk@$EcWE+Pws-~j~Nj<}ybVD(QiOgdni$C`MD0DUw$ zea;IY98yWpZ<=gh-%x0B)>7H!G|~b$)K9l1QZ!IwWK`oOeNuz)`lo%Cc)&5nf<8Bk z@6^BOu=?kiE*U_QgY;UmZC?z3L2i`N$>ldTt;2>xeD?VfQ-b~rDp6LE3?#Un6bHTV zk1YQ{8pR*MX1_o;-hnVoCJ+}(p_9kB!3)5+et+bFSVPN+slx&i6t}@-cMSbhhhBhL%Qt20gMr)rpjV{h8;_ zG-MI*61KC!17flt06h*o!|Cz)Z{*&s*VK=6JeHWeZ$vXDuwHoO)YswS;vT}jaE!z^ z_tN6SI&h zOO0}9fc2W)rOi1w8z%weaHW{szw-p9`kDe5BBdE44ld#%DqPapr}Kk_e8qHnoFGZu zj2;*fKE4xRM1ZRTk-|0sQ0N5n*Jpn?yHo5D%#4xA-`2O)?PWw{q|F1+1i(tQc%^T= zjd;5wW?^BWshO0f%=rdLHms(stbD|RP{_LOe7qq~WE9)C?piTS<_m4c#H9Gm!rtDj z50G(vNM`?wVR%FnMow1N$f`(t5OFs-Bme+$`TP5C(@BflbH04JyfXpeh|?6@V$0n# z!LkLeg-+An!mtMklau^eE;vdmV2wtwQXYej2)vozydJ^s%{!KcUH-u<;g$`31K$%8|TsQE?2)Rc~Q`xMxJxugty zBUT%!hJLlV#q|bEce}Fk@f!<*U}2)I`^^O_so7i3ObA-$XP!S2_}(7iWyvW6+SkZc zui;$h>6zf=+KZXaUJz^gUd&V)e=M)_;q-@cVc=x_kxOqIAfl8A?3P*e;-@b1a7p&% zQ?h?6)%U_I?Ls93XNy&iwh}eK%rK z9z?zX)4m#I_|wzBs4E0ecexf*1{q#b1|U}sTENG@TJQma28iB@XAry?z5)bGOD{P~ z^3Rlpqkt(fNfW#Hh$KJ6=BW&X_5vwI{^cf-N}zi#e&tul@Gml`L|{p=vAOpjxCh#T z4s>!S5^3o)v4ST3qGWPU`blSdHjhoc-n{ufnk#FX`e9b@mQKLBMc#B&{{!8p`gx;5 zWGuM<^uq(5L*WrjGZm=@kjA9QN89u>6oOCk3CD`r=|&|>UW^$P5U?hf8!ZB>xR3 zV*u+lhfc1;8&r&c?A0&CZbblf3mB=bZC)TZZmDjQ%-grlK+wem1iU$r{6xpH(rZJ> z<~K*LQoT2U$+T01lB<3re4vq%^3v9h-xKuLfUJ1sDB1p3(+9}-lwlwHMa`61Ps{50 zI4PpY4@=}9ixah(0d~J#+3m&(5s@_Qgu0IkMU=`~O; z2@sd-DgFa0{9h*VUVh+FPvXqaz*x{;0!)NV_5H7+0iF0_lrq5%#)smy?rIR*oY3Nb;P9 zg#{hGV#E*m!67&SMz^!+m;G0l_K1tsP$+kN@TYVfXt-nm(G6IzkVt^q1X^f@z)918 zR1^fBaQ*RMQ@k-B)ag6@%z{4)3V3LMSymDw0qVB{&LZaxml(<){?2#@JRRER{}4ij z^k7iRz3>aB*Cz>(v7XcBVOEasHd;bX<`(F>%fY);s_sE71==_f|{msC` z+Cb|A5?I>+9UAq3ft>e|*Z+}@zf~xp{{f#0)zRSTf7}oFDL?=c5MBZVL_LCM`t4u+ zrfC(x6=tX+l6VirfbN=wkBIz>s8z3kV%bqiU7+~ymi{;L2ZQsw!{NP$afacb{N@tC zWdxYWqva-&ACNt}2f@ad&iQNRNDuDG3pAgP4^yLp+uG5B|Gxci)4=@Sn*c3-nWUga z2Oj^6i2n}W``d#bVlkHS9(W}5fm?8x-~6?vf3Fk~(9O-tQs$w9{A_HHEO-)p>|I=g{`+(-FNv)BT7tL@0G*ZpMnZ*8Y6+8Rl zp}vK^Dj}jKKxZ?Eg_`Qq-;Xjq)L);JS`f|PeCRcA@{P{#M@77WB_2Toe*Ygv?%#(W z92P#D=?6dcFE#Yve9eG{Cyjgsf%WNp3s5_xIQ zTHw9FL)M!IFj=i4zzorHUMfBNtqw3ufER;_4T?Z}pv6VNyWF{651;?N5+WHh0Cyyl z(qSROVK}n@Jsm#gXZz#P`Zxi4`08Mq!VqAdIG`s>>Dg}7|L6@+(i<=Vk6%y%I@t#h zJRM88?(PTA;opyD6i`fMO34Bg|JBle+UoBPO&o!78c78q{u$ekTY!n0_3pZXsb$my zQ%eqhHTq}fa0mdeb4Gj-VSShy(9jRJT#l(ZeZQ%365$TC< z&orW+-Jn&W=tNH?$ks@!WX>S^jtl2>fVR56vF*KgnAvHf^eR_?^a#8aeF z4cW2F;X9px79mW$FIR7W)vf|uEc?PI6WJX|@Vz6E`K0~f4oEDKhbymN09T?(!N2ZR zMypbSKIcU0bbjTH*oo+)NC7^bCeEeJPhVXA7zG9Nsuk*Fx%!K`*!PVM4>=!(!exqOA0s-%pboVKf^=UqkQ8%=&N^i znG{Ub_L>cm<1^?9rON-s+FJ(2wRMZ4Sg;_$gS)#s1PJc#9$bSv!9BQJaA_nsjY|j) z!QFzpyWPb;XP@u9diUr3K~>l4X3aT=&EW%H2W#K)cazjQJt;&!QIigyxl2q5u zV};Ah%YT`$`rHLLi-|!y%@VKs$?|2bfpWWlNf}iDZLIm8_VC8Z{y`FrX8!{t^kKCj z6dl;w{pZq&I`{W($Y59Ylw_y}yr;de@F@9GZvlO@=aF ziq8p)7Zv#kdVJ53XgdcnN(?GD1$Z0k5f2MKfacRTsFfU_)m)9od`r=@`yjLWiXXxo zN(FK7>he)rvdYWDqibj=k9{NkmI|U*%Fxh|5FDAcmbtmaw&(b=+`?9YivCd8^dpQEqz9ScJ1X&W827Lz_*{+$k6!MWVZVxz~%0zC@A=pew+~uBJ$~ch%j#?!`=A>P z6H5Bc=^C03c_a`Z&M;stJ~@Zzk`7VeUxh2EJyZ37RYNBGOD5u#fhR=!Kd@bR{6pF) zx$bSKzB#%6v4@C5dtiE_O{z@cMRJ_xDUYMKC$Pl7RptANbT<9Ao2pGst*2^TbZ)n zduT6wV2i(*0NOvD(Kj*yU?da@prFRPt}7!J;B6Ak?aAVYNQ3Vlf0&&l_cz%spgo|+ zBR+Ck+-8@+Z!J!kFtxWe)1Yz%Q;M>F#C-2X4>|~Tde?g0mp6*TU${6Z++9PoO&7bW zBYpBQA31QHomz#i#brzgp$*TY$6o-4UR>ZeO*cjMA~4XR~AXf%WXSt<$^Em8&7{n|KJFN0flo z_!i5TNSWW?WbaY#LuK>H3nX-^5KEK$xfp9Q%#5wAS@(iACE)Y#DA>gHCfMLb=EVv46o3Q2M13Q*KTS&J9L?Ii`v zgA?b*lLkM(F1y8?{RBxi%6)og(58L1cUuMb_}9g@$N;AX($OWqh3|7HqKu~P>e5ug z3>B{`Tjf7n9rM!DlhgNSmbP^&rwJla=9l8w@HtP_;qo3ePTKDsKNVJ36zqR_&2lp< zY-?aLO`jQ$QVeSkGQu;G*p*j*V(m@tcOjF!to$C0rwpbu=B%t^TWn_jS)h2m6wut* z5mBA=bh@@T!PX0%e6yaE|MObKqC=~f; z2%%SddwZaa+RV(Xp`oGIry98GpyB>GHxs~9-<1@MZid-4U+Ya%LbO)H=E>j-6g2RdGMKiRIB(HoVP9IXfOpE z(o+>+1HKhm4XU$thPd1e6w5QU+CNN+2jj@meWB79-u_!_)eqKHtJ3iEB|aE#)xmW2 zStY8@I@{DZT;@R6>#+sJjeV32P3i)AG+Q*=?GqCUT3t-ud1`-imC| z(Sp>clq438BRUo6e++pZ-{ZtS{J}yb+TIq=ZC>_%v6&^XUmx05(Yc#Z{p7Gzba1e9PFd89?U0-4JjtcxmqdH3*d) zkQKdr)+5(N=pW6%iwWD@qki;;c^)p?O?{F+>KIb8r>h)kY%79nbX-hdYVPlFolyc+ z@@5OQ6bRg>gOXhM7hR9DPCMKyLTq*NSXcUgTlt#3N7LbN?Q;_ERdwj~ui8_l*^>b; zLS%$5HG1xkaJE)2w`aOIUbbO{`E;XVJKGmsM+QkpxGzIPXW}~0pjMIzT__1VsSmA! zM=lnh`9ex3zNRR{rvD(psc&7B*KK*!nq0XXw&(ABokHyfS0aM{c<+kVh1i&$P~1K0 zXI-QUpI-a1{Xmd8EpOCQho^Pk)mmXXHP!g6_&l4Quf!5n(D8VZdd;*{y&7G3u}|Hk z!@TUn$9sR1-cG+`Cp4Zyt2MfCV~*jT)t(Xe!T+*WQ(COxG{E!qr&i7tNyy|dz1&mp zpFh*oJUa?N`8qJGm6!mqx6zw3wjh)reCqa(c@X({Su%*xA}S1dSVF^vYu zUuqED1mQMJSfnmh2z=Jd_(8&Usm~k(&xA&Q(%2u%ndIWNc!RF511BK*Kow*G(FE5)r z_6ogGECYY@#?VuswGH5;6}O@4(%qwIhQ__{b!n2%hbmsD&PZ|n?5xy>)V1aqkX>}m zz>YSeJ%q8tt&G`v85`F7oPd6z()!sJ2mNCb#E^Qs8?_}8KrkEdfXZ7>{Cl7}rE70U z9Z*YJDdC=5T3Zh4p8Sb+^@btcsr_G}dR3*(%1`aAdOV#o1=p>kcsTqgBH-02nl=K? znVmr%&>HY6IdD(c%&!)aH4zgd`zi0a5+5-c7hZ}nW_y#Qll3U+F_T%Tk#KAix@*6Z&3Py zp4T&FV?$mBqZ#Js`C>|V?hmQ^!`?|t^w%0xJpy)r`nD(fk+6@f_m%ZC9xnX0vY7Mf|yg84mUyp27UVeW`AA|+F}Uvap{bo`Mmfp(9z zfmiV3_%_GXW5QBUpRWgZ%`13ddI)di`5H$CW~kMZDQ|it>X5nXg%8f*W3AIdf-x!&K?tk{JJUpGER(| zff-qK{Tn+r^U>m(OYnW#VKdH?^=|5dA$X-TJLD(zxaZFXP#uoLJ~n)wm1v$6lO~6G zLj5*fc=aQWhA+4MQ``Mzc2-ta_UvP|v zYU|(_)&s3ix~OF@w_r1kvUV;lyBmBc2ecZMDmovxv9I78DS3lSLl^7gWmV<-U*6KU zEx50OqqBZF>;a<_tMQ?-W9%KgMPvk#Tj(V7D;70-5Iv zJ-p}33Oi6?Awuwpe=~8anMGs)>#Z#W(1@8YgaTt8jNZ^Ii!nBm*3=rdM2xOb&7tF> zRle@fc(;F0ATgtP8?nn2r0v~}w!2}{_?uA~YvGyguEc@RIz0aQ@RMyr{dB{rYjD*Y z1BZ}$SI!Ym9hK0dMNyF0BnL>3HDz0VIQxwvu5%-FqlD_DJ`Y}W3zd{fM#Kfxb^YDV zEuiC>abGSTzpwOPVXR)=2^;KY=I6aewNPLM<}|2l*DuX_^v6s?+L5$@R7E*;Z^A8c z=0+hEi7ls25w03Q%HE>GnW8edK?~95eoEwY@|8zV67rq$kKtxKBQChsq zkkc(_VVjUV+9f^<4aWM~kDNlRGNjTS@J@Bb{#KENq&9r!Pcr3|Lj%mz@{EXNl|+zx z1MAAjbbj#cscx!l-(S?lYAyX9l2=zAf5+S)-1sgnK*M*9Q-CaZvrcgV&g}nd2X!dm z1S6qXHRLIYoqj|qIOS6(PB<>#uQ+^y-iajma;UbHNlF?YFqfM7QK4oYxu^bFys*2O zwqHP+)mW%CmG|YExp4;icD2mgXL!;x@rK;tfV~YV$wt!(>#OQ(KP0x4Oj?7@XmQ1w zI}_V^W9%dNaxTA_O}U~o(@;syr9O4j1;pQ%o$;{uyuP_@EvxV+$?9?due}oDG^b$d zP_tH6Db6?)X;*3hORF25)l#M0WcMOqsf2itE@ZQnRSo@ z4nZ>}CMGbCa5(dbAxj^{ou)*~9a~)P`8R?ZMLWrr<`Sb^kzO(a-wiK5^W(Fl){|Yr zY0=cK^iLd&hKGQC=1uq9i-_;vJ3I#44SrZkyT2}tvqO{RA1?Bm-Bu~y_3hZ}#l<)G>h#QJ95bSuQ?OVA+Sa}c z|JOZjaX3{%t&yB>vTzuN0a6JGVOk+ZO$ zHZPTFb-X%Ns!)ZA^DNd^x6$srIrl<R`y< zVFo$`nVVRw|Gwgf({Hq00@L3arwq-^Wx1c4tA&b^S6uFsS}>9h*xIbk2lF?lF*s;9BV7QBab&nC!6!W(57AMJlSTgIsCH*Q7p zR6L`&d23U92Im1>z$l&gbA!Is!mm!sVRI88b(gfjQFsAY&T8@dx|sUY@3;4Mx=jwN zeiScOMXS5uL&ulkc5Lhz7>Qg!)^9u-3q%2KNFsnF2%i;*WS_DSqBHc44^F^7+e7b~ z>MRVx>iqROGUB-$d&-GAk8>9>Eo-NYzeEY%i#NEGeJ+*6b-g~Aakj^)(rbs^m<)NJ z%4FEF?)F_2a^sZ~mJqi{a*U7T@ZcaE^%NL|&8*iZ`m+-j=kH(7rocd`>?Ff2UBD=H zZVGi3gYu#VjF70K*`LZ%>z9CNQ$O|P!6TT0k&v(Q%OUIupS9lFItbVB9>JZ9S0<;{ z>?go|*3b&OOgp*#c_Fp#3!Gub!9Q^JO&Y$rc5aXJS)Wto0W*hXrB5uIj*w zH$dNeb47XOJrtu6jv0E6M~uEM0&1{tonqHVz~3Sf4y;*yj2(1L_~t1@JFxCz7@CPU z1=(p!O62LygckIh5{~t{Gk9Pl_!z(4x;5+~|M8i+!FBKWPz205%`_Q2cCiJNiQPycB#hzyQy&v>RSBH0hz4VAgtDWuOsefXXqRD6UFd>1cE{qZ-HO1 zyz+Jz$NCrvz`Qle zWC-=i^)O~Al8Wky0fHEoD7N3EW)JXKe;fP)r8_!@ z{Qvh@uW@z!z68Lt_XZy3mz+T4CkQCfJP1Q_$xn}wU1@N=QXl?=BK4Ci!z{u;@nxMe zqTk8DcZIEujxzG{f&o|Z&Tmw9x+0Y_J3G7V?Ci76EtbJE%r~dK5e=~K&IJDVTo`Y$ zg#V5fcmbYL*V3qQ(_AObuIB?B0W9$aU{4!rPxA{#is z(qVX-rUJmDH0Uky?f6_E^aEf56#+{)Pl063HOoNJ!%Ai392%@){aAUtS91 z;?{$M??epqNW0^Hw6x#_A8StDoBnSwp54C%BBq=1HUZfF;eT_m{!q8#&@nRlWUqkY zG9cCm?pwyLtq0RsthhfSUy z3o%{gVNE2 zPkFa)Ghe<4ION@kWSgP0Vos|Wtj^Cq&IYt;m(60T}y_ySrC#62GJpBh+@#j)0R&? zSB&cOeR0ZG;j~hN6Rk;-AJjGCU$Grqj4pS&W!LpRO@(R+O4)gApIp<4YvhdAT3kt} zSupeVlyIyu$BEH7a)l>qA zEIqAd)Mv7#&H$BY`9`Q=`_ZsOu>4@(j;1r)<oz&{qyrh-)?;LD zYX#@Q2)7y)Y{FVi^7wN37nUaw@ms8-`rj_?X2*omYAp&#aLZcHh1MskQmG=@pPetk z{z{c0>Xku!b3*62rtRX4nvdNb`wPKIv4Uc1C)^b)9}h`(p+jF zKnKry-S@{FUNctQMykWPI*9VyT>wr}DV@kgPmBEANl!re!9i^IH=Dd-7-UU9ilosw z%)+hD9Fm1kdR7O;7jaM#jm2$1AFVnV6En1o(Azqw%?t*XSbfsexbI9Wo4I9W2`w&9 zKos{Mxo0E?_(>3NU624QM56@MP-=>F4`_d(u9NO&Zc+Eys`YCsR--ay*O8T#p)1dP z^bq)yZ7X4+Z6)n#hJiyBh8QA%QUK0N9yaAxOHR_@c7kb{ViyU$vM9r&kX<-r5KUKG zoSIcrQCaLQ%+cK_CD#-oJ_lcA(D4|`pEAR$HGa-6+C6fTOx8=5GPBizn@*pUjuLL& zM+Pr$TAN~J$!jzwwXT`ZPW;$?&P6mHZvju)r_xf-4i%CqOz8mgziP+0x9Ik${2U}L zn1hg8z$M9(GsDI1oMNK`D{^HjOXyN&K2E0M(pI?OpeiMnX(#o?3DJ{cF$s7m9Si)T zhOjtelZf#C3I_Vha)(MUJX?o?BoK?BE|tAaDdaFCN6Jv`0W4Dbqt{Z^sm_<$ElY9o zkkL)mCH{S1fsnwmco2*FN1uH4BFZ9lP6koU_u(cmN1?R|lNXUhuyWiQ^df)x*!Xo? z!GBno3cwx7y}N+n^c$80Su<&M!o{-BB`lk}96uwCAV7_2_xd_1Rc!O%qh7pP{xKXj z;@wfo4mL{e+M<%OiiX1WSu)5CT#1R8IBH*2X?2_*Rpf-0tE`T|2s$!3`=TPcdNbk8 z&u{e!8naH0u5cP1r!{*}gOfn7O9id2xV*l;zC3d*zhTDKIj8Fm`0Fm zRP^sf3jx{un&;l&FBSUwrj8mznaWln!jOT}ocm^sYYS|Y$+56)%b&`BJ&hn4@@LYT zqoA-;X-RI)veS4n4Oi|mxYVZ!xE}9ApSiU~%OnK4 zGXCU*63C~xmOy*5y{kO0S!kllM6uQ0KjQK25l$f_sU6r#Xq(4pFHx+`mheBX!o9BcifF7oy&Wa6j7B#RqE2D8dN)9t!E?HcjhE2q&Gj?)~aBx zIzq5JqTRN+aFH#%0n45*XPcD-0FF!9|2U>L-JaCMtngjl95O*_hxHHH zA~p$&m+zeBQ+9Hd7Fu?~+xXkQPjj8m)eh9@)l04kqTZXD=mfX_+`Dh2Xagvj=%(!d zh$+N?8Y)eZENKtlEgQ*M`3Kk9;cnfScD)bqdNSY7qX+$NWj~{{*0nYifl_DX%b*Pn zh(YIOfi(ffRN^DWh4K$;{(RYY1Oz7ai~XuELPBNrvQ;^P?=z~K5-Wx#&&Y^s1svk9 z;#H|%PKC3jhXN~Iy=;i&@0afQlaWMhW}^`{19MY`zo=MmvQKrsIFeRQB@VvAKyp?+g`CHMjCGe>g_P!H~s#8dFcfxVc|`S2=3R<-md4 zVF{FG|OCtTY zb@asTWs(!8=}_nE{--Y)pBPN1OYmNN_4Jha)0(%f+m{*9q9gVjE~EW;aWf=FoUv=G zj&*ndw@j5*J2%dNxr<=P*b$xwmK}zpHDgBpVHTi=`(xRN&SetTo!wd1sw~O1X|8p?~Cc#5Ki}C>$bzB zkUGN0%{jR_MHVdP@Ey)6{8&HQY@$^#|F;1UAOh&Cl=e@#hTpWmu*f{=9Z;qsc8^|x zr(q9SLlL5R!HC&ZG#ZZg4ReCR%|m`qBioz|%ElwSAaU>cA4*AM5+0cEL6t|--FC9O zd`$Y5FTLZ!#oXZwTar|3V@!nzW+pXq1M}NFGu(CBd8=$Vv&3vmGKxgYh}tP1wEes$ zX-;hXEH93;$Xe*$`3^Ky&IEwNiRZKObjq~EB#qLdN`T49hIfY z@bzvx*WXFep%RR$eN$d{Ml#$NOonn_R(Zbcge^z)jz98_y|bMax7mHpHt3x$YW6(% zBJ*o8GymQCh$`3Xa~sGSOxM~mWHr1%vB&+XD22kHR<|*geD^fV)RDbGp{x*|aJkb> zeP4ej8FVOEgt&ukQ?Q_OwA!f~+mzPi|JtG7dTYDZy#7cldzk+7 zXPu$x&G5{c{fA=$8qL@{VI0;W2EUhF%1rm;m3Euc4nb#mDn@?X;loNTGl!#k6K6RM zv?qc*w{S$*0d<-3{U$E3pilD;Cp4St#DLvI*do0K?Tr)&@dhl4`7~zxE&8r^$h!A8 z8WeK5jTIfJRdJI<1L|(ufe6^Q<=*S25HhVK8*Tf`y=1(u?aBMet!736QwHlSy>v~g zBtf3P$zxe*GrQ}(K_fKD zsH`KOsIlMCQ#`RB$~U5Segv$WFC#(9|79Pr>;TjgBGR)5EA1>VGPD_nN+d{EcKlHW zdbU$00-Ozc){AITL!X_t613f9j99y@4__f5a>*o}Evxvk*H;&6|FN#q2I4kOuOBvE z9c8oEos)HE(yA&dD>e5qT2A#r{I?$cmF7kbwPuJY?NIZZSM8-;#btbTwU3^Q z=I6>DOei#H^D^+})2s7a9R9KH^vQ$Pj4o`i*I%=xXf=1Yl))+KkmDcrCo3bsHfxq>#*&*QZ*#i|5go2HDaCepyc7-zxF7^Ax zI+J}jbmi-p%oH!Svna0S#k~GhEe659B>K?vDykIs!J?lbm@uQhs0?-WTjiHKQ|H0c zsq~TPOpDb5T`4tOqZud96=;~0UocSPK)zK`7KZXnn&rAyBZF%@mA6SWUbABy@SY2Z z*)Z$X9$WVIq66yHb}6%mw|)Kn;-7;hCB)apM+z>dQIt&{$&1`wrNBD&?E9^WLTEM0 zar|UdLi_5-?;g0&3g{PaAM`HM`KzcRww@!ek-^rPGkvh%*dR^E1;kE~Ea5#g?KJeI zpe(m=;)~gFI6lzj-qkC~np$Yt+HRN5F<>MH&|}NxCay|_#X`CSSLZA0R5>ONl^tf8 z!+j{m97(Y~ls4zh_TfEhfyrooOrDVoL(fpst>SCVQ3#KXfe#DqFYKt;%lm{Kwaf(h zg_KKA1>m4|_8;~c?}Om}wM2o0u#fEPi|WtFzt^m#lTs5fG0`5kq`elOTis6tcah=? zxNb$X^k(@%JlfJbD@PeQq|v^%^`+IUf4INDgz7Q+oY&_a>Tj0^FL*t!it!mXv9-Gb zlNJ~WKKC6^5k#F9i7O@=PEUlF4}(2<6*Ik+t9n{KY4u1A5O~xg*|IB?Oc{5cx3#rR zl_)y%=(H~Hm1&09X>Nc4g2E>{Jw9_K{sJu%EjJW=sMj zZa{`Iyd!1QerBZ9 zkA8hYao5^f%yM5lYxVDp7gaWkP&Lo{Liu!;yJpa)PiM@{koFRrn71Gr|7}8P@9fh3 z(mix{e~^b4N$ryXZr$9D_1RS!C7 zPS^7UhtD!|tJld2nE(N=TmZ&_z|&UkpaTDfJHAkuzN-Fc@L(4H1n;YY?l6!xB)7;HK&+2*HYUxvGXS9H^fVV4j461eZ%#@za z1cbMK*_-#YbHV=Tw#q)kIzI!+Xa4uW2RYc3pEpb#i{A9o6sl5RL}kprs-i|M&cG~* ziuAGv#p0&7_QYGqQY7nT-)FP4;-)27U&O>nRGqkSDGkoxFEQ>Cz{4~Z|7dc{J8#y{ zK{fIx_anZ3(%1!i3%o=3?2MzLg4X2szkN=zsqqzn%v@}nbZP@BW{9q~n^)PbPf3U- zTzb2YvgbG-kN;WL4hCQ@jwq2rP~k;zw%L=MqJYED0^HNK{e@N zFGPHHQGqRs&lIc`7HbK}LIyJFQ%N(}q6o>`_pIS4W4L(IO(y9pLdh%qmqMV; zk%9PArjpX;#|{S>`pg?Fi0p9jGBC+QlKLi4W5+j!ri znpF-EOJ$xfUi{Ectz~83M{M<QhoD5Iiu#};tK3hcimg(OTKo^aZJDL6-JIO z9%bQRTk{*r?GB4T`m0%!)0kM2HkNK<%gbHW+n(VZ- z9Svt_bkoF$s7#?#=^a7#&oHy==rVh*!g}w={}JgzzNBKjB&`*4%@mHXgYrQgDB_IEDQGC*A%i$Y&oVJ7wcE%5;sh%DPV74Qd1d)a30Vj zTN~^rPIuAOS7-hf4v*QOvYH{XCLT4qZOSqBG{%hD`*O&FR7>4Q<+JMRw1)h0Aa>0;le}~aa&ROWrr)2vNBIA>WY-Hx4r-pZ)@qlSnBhAtyxy8UzJ;laBU zw<$luPMr`lR%@FiW@$Cvizhjs@aMG_BDaK#O$kaV*#)ZpyY@3h5=)8Z-AN8hb zS1N~%#{2Lh`QJWwmf|;kr@O249d9n4!iC(R!!(+veo}rZ#M$fJI|>T-+F&JOMlf9& zqaWU1{7;BiF*2TG>P(~|{n%Rq5#;Y4@4em$F_$rpw0R#VEODZCHp7kI=~%1$+L!Mn zCj9vK^b{jbdltz&#MX&}cIQ5-0a1({NIGnlCd?ZCj8Be0AJhq8C5k!1x+J&zTuAYx)OK2T4!8 zXkuEHKf)||IeNsze?4FRnss)?;ix|&kjP7p(s$~nGU>UZevdBr6YNCFPJOJPr1a^V zp^}HF4$d7GEDSA}fqGduZtKvSxdd-)fYO(DzbVM)**EZssJ&c8d7Eu;aKbp?h2r$* z&q(Yv-crJ?cUHG5xjRBFIK*3u7cr3zW*<&gTLP~N`^SmJ;ex5m185v*_Nmv2F?AKF z;KRX;UpEHt@3obUNjsFhgdyKmS0DT7eI|+6BE(afltW_BX6%cXwgShR`nDCEti`tb z4IZ~p({6liRO)HL_^7?~o(TP2?#elf5YU()1O|r-o!?(3c*M38o%RnkNnyt!GAc*m zok|bjmf!o^1^p2lJL7^UM53UZHR}F%R9~Q~RaqI()>DoOqt?>vE6)y#cV;F&5EC6( zX(!oI>-8^F8#}SDt}L@UIN0f4)~6NVDF!!I1<>FJotlI{{)RoBIr=`tt2Iumm2_6IS3>TDC z>Ek^!bRz3~p=0^#I+h5yUtQJG4A%GphOI$^p@rFkE@vp6_OxoX?b)kv+f^@9I&A_!%_`|D?;eclJJ^#pJWiI!9eoYk}_d7LI|tE`N*> z*$=mR)&1vp0i#qjWsNmWv8bKy2tR5nkvTaz^#zgc$|8T}BM!kW)Hk>|!F2tIZT=wu z1@%>geiDQG>b+h8c8rS83crf+M+6a?n%)0H&{DeXNH{b#0zk#nmHAf|w&v^x>mkyFbm0EKpk zCOri@-9k@iG{*FG9GvVw+&(9h$csUz=YRF?tb!%_H>3mjVb<-V5(6Yv5&taG_dvHn z^={H-KIU!>99EIOgPm(&H{MgZ{VQVBgAu3iDsxJwR?*Hj#Vk;hIf#RB&+ATZZ!y=U zc%*&XOLp?*rk2+hwz3_hNwFmuec`3`DKsE*kzA%eV))3Otm*Pj0Iz6yjky%#2Ev%z zD@WL$xf5#V^qOmTt?fkC9E}NH-V)?-uz^22*}L@>ERV%YB!reNP?jWn-r=~f?8X^2 zdRU+$+O}MGXw*W$KigaVGa2F0Q$PW}C08H8bU?`3)bf)fh8TlsymW_LFJ=<*C%9nG?80lO71z4J}zHs-IBGb zMCusV5rLzzJ$tL6ZmyCX6AGYJE)Ke!`;RIR5aDfhWppo2AAS{{y(7a;9NOyrc@>3R zz^s`k8~T2FaS@RoOWLmkh%1U1QV4gRow1ztikf5_5D3ka{0b+*TQ56^)sQSlM^iy8 zHbtijr}nbmLPRU`EMQt+sVh}M2Vs!58N)|oG^k=%(mMTN$Vj3SJBYk`B{s^`(tT(d z;G8QDWsO)Bm7FW?O#vqfKk5$w7zQ8dQF-gj2tkQtm^eV|B;L=Nj@j zS5^G2SB)uz<3IufYl=Y3q_PF!)4G_jvw7uA2EDuS1Dx@}wH2pgB~Jt4-^DV}ilNYp z*uQt2#9M}muQ3URvrS~qL#y}lFazAKxtTw#G#1MnNjwP%le8x&H&vnRL&}|L>DUs< zh%p23zTZ+{x&I7lzZN1QS_m;y>aVT+t3+H~aX@qd@+LvLt zg4~t~J-8+6g&8po$=FCy&lq8M?@xKDS9Z*1^S2+2@hbt}*Z*Ok) zKC`m;RnqwV{r$2J0Lm|hjo3;jTM?mTUTCHTc{c2U4nr5VULu_D%zwXoln5-GLHs+d zwN_utRQZu7DYcjy=66w(i^~KsY9`1hLpxaSx)W`-NQayrLlEhf(RwNc^f&3OD~oS5 zMZPxr*T8PD9wl5nIwxQ4$#!qE`XWAtex?Bx92JI&@{kScHR6eqtY`=(l|on(P*ovCzQbClO8fv9r(!r0 z=_yEhqKQsi_NTB|&{Jbdzy&T>-2|`**CLUUnJ_zllwnRF9e3*Y5O6CJ?K%T~=Ycscjz9zA%sXlLE~LL5p$B|;tlf{O1?VoIFGY(TrRZ^dRk(s{4!hoYXzW*B(6?^8|6X zOm20XXk<p*Zo1imNf_jp2Z5QQ@J@W1=sE;|b8lud)BZ-Zc`QVbp zb5_+@x80WG=x;c$7F>!0N3JGX zvGV;Hs=cNn1h$jwa`FX^drx2@o4Qv!m}iv!3Z(+JYE1gOd>FOmr#MY>s=Lo11QuOj z&L|eV(zj2f@3<({F-i~OYjoBT6Vj~>Ib&&}7Op0l=^6~@hJtU&1*Yf?hG&KhI_j%A z=hr%wkwr%~!?%C3stKp!H1(phtMzDWXEUFS1Nkn7db=^mc+N1v>+XJ%mF3p>atxs> z8yuJU{dz$)J^%W!gx=E4CNN=4By3!og=t9`$QT#~g!eWso&In-(J~*}7ZTI*aIvy- zFmODJbMh$I)SZU>`Vu&g;oOqY`wSgOZi?|&Oq4tl5B`2(NsZv|Ha-y+4i;Lv&N9S4 zs19h3dgA&j-MD@&Bk#Eaf;%}`tzngR-~8JyB{V8`RyBKXfN-Mbt$7;efrXTYgrq1| zDEuAe`W7`xUJ4!&Ny=9p+Vw+CrcbrEM1Lst=RUYzSn*ruB({1$P%G2ttuN9!Kee}$ zo1o|CljmcoTK;I?%GZoVe`*WN-^A$2M?Xk60sr8hT_Y!<$uI3Nv;+;X>&muOuY@Z! zPmO)}+GPx^SJtl+ z8_Lp{u$T-W@ASXB$M{&OAJK4Au2?cxmz{p283IdgSFPy<6TYgbB4$VzP)yoVoJ-hQB zpJ$5$fmb(TXUv9%*fAVd&;0I=ilpRXn@@BnkaJW$od?+yxZ~;B=mbs_By!bOmF_+= z*^8RkN?B^zJ?e7(RB!ka{UQ#2IM3$N`PX>^NaLDP)a#{c5e(dF2MC{>Ygl)DwWpr=6tTslgo`9hGA2 z+Bi3S#qszg9JjRw%u!};O&Dz%O9+yu-yjkLOxYtdEwk<7!hWBO_n1wTB31Tvq1T{5 zemLrWL0BQ+Dyqo?H;6qV)ca1Om}%P)kSeEq{xi2wz^STBXK7<^xqlR3A#hXg?v!j7 z{N>2Ntg2X}@tb9XR2NyVLJo4w&*Z6Z4}M=AfTU2;9M>#ra#L2GD-U=xHYImUWyVfjs%IHE!WzO&~$+|BAnIFM^oYwd8Nn zE6;b`Vrh9k+;wt-hu8X-eg`fWBfsE1PL3Zn#HQyyT}0<2JE3ZENnJPPraR?gmH&d%K_KxzFyX=ec8t#7_%)xL%kFai%* zfHG+s%|4SFmw5;Tp$DvX|E*zvkLKBLHCQ~mL(dHa7YU8LkG@CzFr=myFD+E+z0do7sLI1 z$D#3YZgzGIpk{GRo`w8>;pIvJ*!PEC>u3omsZXyk5{6ZgpYzAY6bub>e}^_5!LLkD z!wmBA^OFo42{O8*i1J()9cbr!261|GYzhU@MVmXpMFsSy_4-8bCz? z|HxU=KgGe_U9o*8$cadA6|!%sl|Vr-mPTP93NjMX`niYLOgRa2bwRs6Rbogm3{ZmB z2@Y4Mh^N~!p{i_ZZ4LXq9^TQVUL^pVJ=?#5>^lA-@|RP(%jau`-0{4rJ-rtt^*=E< zkrYm4pSiKu0Qmhk{0*@Itck@Ku@V8`YR9;va7q@<`=+<;1P;Y8 zWol{)bc%D?D*sG(YVYG|TpiV2Jyjw{bi4UQ_td*@l39i(qOYe|K8n$I%6h9-1ct;T zc_I6{Y~kLwP2_pZtC=?Pmx{G+Mo^3xN(ebtr#^O&k9ng;(GK?4cg-RxM0W)U|L*CZ zBJ-f{My%)nw&F?7z(BrP`LJe%V-EKzFd=}&fr5&vrJ_Pjw<^#uSvk{6aKY$NS;frR zM$^LEYH%1XJwz12k}gke@%6~~Z#J9M_WC)7teK353hl3e55NpyJV@BTnygCbfgjbh zC+-l%O(GCGs3aKTt(*chmCfRK;)cUj5ktahAP%N-QHvQcPR0Me+@d!WdlxX6Rc@fh zDwMD-=ncT^{6Hu#p&KszED>I&z{hX50GS76Euw{u=1KxJpesK$T?_+FG5`<%;m5`w z0!kJ#303Y8z4D9@q}7>KgYw00`XfpD)b>VZ%-hWrv|}IK`u;DyygYGq_|*(BuO$D$ z5FUV`UvclD0g5aTUB?(wSCT^FBiwng#zWL`?Tf^^+!+`C>0s!tiStWRTpR^RVht%g zy+a)4q&D4P26I|Ky;q`SMj*)%BKvFT8{yYnvecs$>|f9|h4?iqU=8EdaS>y0_*^UnD` za@x^9f4*6mr3mk@hncCUn_uvRvaVygCS`gPARJ0^Ro}QIPF3>B`0`^9#;GF@Jeh!M z@2~`nUD5P^YI1`u-~ryW#u`+t#(K>iVZlPLK_P1;!g|1U+P-oflneDm=d{?PPA1E=B9(!D33m;Z_g)dN5MC~)ZurQ%=AX+ z2C71Vlk?THQAUDW;^rvA1;1Up;Y4NOJKAO>K1oRNWbay7;JMW0Qm1@+bJ*zfQ3{NA zu(!V-5udoEk<#dXReWGPtdU#+;QTcu4oBBlsbN(MRg(1*e=i z%Zbb5=GH$Z)GDccTpe2=TOP)dkPy$X*l^Hu)Z9pM&$Vx+C#S8@(9ST z?-1|8K?|o5^Z|<&L&7DcTY1YLiW6}+Kcwa(H&h&0zXhPY`U*B&i50zKX*xyb!!0hZ} z)Y>%!g9g(oTzW&l1=LEhzYXps>hDCXTf*IYW&N(!y}OnVO63+fmH5PfrL}8|AquLp z2VUr+>u4o!mUExa;dXN!X6a7vusnHEE1|~z=<5SE-%ih#1cOF&ke4eXcLT0}DHA3lX+Bz#OuRfI1>O9BLi`^*5A&(6XBjDq9uZ`G=QHc;7 zolES z%E$%Ho1%e4&d%DnKmGK?Ysz|>|M*O^#;u0g1afzy(g<&N{!J(ywf}O6@DPkzq-@muy8G=X8NnZnVNDR+mTT+4h?YIQR8RSJ8_;k7Z^F zqdO-b4*IZnoSs(#9SzZ*CcV{Cb^A2vlx}_duV1SfvHH9Qy$}}d;Ne?x8S2_8-0FZh z(|cNTw0>d;<*iu;ogisK5vN_yzq{c{rAF{AB`(?;*E?>EN4f}kSeNaKP#EK(h-WA^ ze#z_wGq|OYJB#6HmnF?mJ?|#P3ReAY{0(g34H^%z`ltqT65J%9|9E5ZpJi=yQ6Ulf zy%1oB7>_eQygFUr=giv4Nr0GkNV{C8y~B?S5YU|CD)rCXT}oTV)L6CQ*dkC~hvu_t zd8_Z78*6#|#lE7SfSYyJ3Bxn}pu^B1=UbANk18@$v1c{B35MnMzH~+IF5@QWYPpK| zP9AHpb-22CA4l>kMLPu9xT56w?f`ZSTzXwuc)fOr3@ZyJmv2!UOl@s9mLOVl)USW?v zTNZ=jUe~0#C29Kfi)?U$zzyA`RwNa)$i_@6JPA3@dz1dNB#WAs5V|P)-kptsK(E9K zvsz6xy!U%w6c-hv8;M3|6QPE!Qq7uU=9ShM4aH3a*bU&i^YeM;CS0V&>KGy4AZ~X^ zIZ8s7g*Vv*adOd7K1eCf%+O4tSJ4h5Chbq-ZISuA_93`5`8m-b19d? zC^M`%%2t09@=~l#Cwewfji+>1sSw1N6I~>+ixLH##DBg3Lj)`QLo?=cidNmVM|nqRpP1KjK<+S69mQX%%v|@W{UlqQ z&dg-kiC)w^o1h~mZpzHm=-+$(?zShtW@~c1n{LLFh=+&d-8&NMo^HPTgf9#A9R|03 z@Au1s`k4-dU(390vTc>j_>tn2dRDZy+-S;hl9o5fo>aLep80$=M)17trtP~oHvP0) zAPEI-W#JQd__OM`?#}L5#R=}vMgwkF)hK>|J7tX{tMh^x0YQ6hSIu&x{0v(rH(%!D zs`~;Rioh6ajeB8F=XB#BuDS;^8nGJSZa8-N;55_6VYu1a1r7ZhYK+?fTU*_i? z4YQF-Cy@HTgt7&FD=uEHE1UIuw+L2@vhjSQA&Zw6QAl2@FoIo;)BO?>lzmS+!_))U zUD#YFFs*yJEM8Yd@D~vG?j=z-&a^}b zL7Z4|st*=S2TtPB0?J)zG@md<-W$NX{;0r|82K^FJT{KQ_=XXi?wS}MloSm# zm}QQr4IY+^Xb1XewzAI)oCKBaJ0Jc|UU854xXxWL!yYe!$vy6*fb2w9*Vm^G7l=zN z)G_eA0F?lcFX8lz@u9Y{=6ZRQt}5g!9bHIV@j|4$xc{Ed)>&uXByDA-vzx)Hx@%+RS{4D}PsEWULeMMTWEC`LP~yaf}j zfjqrMyfyROPc7Xl!9Gu$ljNv`kUNd;6s!F~htzdWUh0EwFeF%W_}127MSM=x$bC5A z{LsKIVH1CApdcOb&5;HU(QR+Yp(>Q4z0I`Ep@S)nCUUs>e&YQ&IJ!3gMaV4ib(%pQf=z;ReaPC*e6;HRXh=s@+}nOzdv3lG?swijTd;(Kij;fW%u zI_x8*?|w;WBP9PRR@6sPBnWkA+VPgV1=avB86B;kF+t=NmzFftRJNdCw&FFe-wzu_ z7Ve|BwJNg%{uH%MwR91pg73dcr*YZ?nH9(LfVG+9@zu#jI@$~ILk-+zqMYMdRkhGTjP10xI;5;B|6~dovpP*tAu5P!xI$`PY7f_`sJX3?3zrMMwrO?z z)KhmAI@7x@!0z{-P2gqai#FNz!9gSqlz)H(~Z>+h{(tDsw#lft^jvNm=kng6a&t*A?I;=H4 zy4ip6tRqUF6Th*}ZJvIF|MfjSJafp7JjtLGZE_rb>Hh9B;ct1}Re${lNC<#LWLM0- z0!K{v51@HtG$5zjBP&SQEH8wAd>mdo)-htRf@8r@C(?c*Z4|FjlIX&%$n^O3Kd=mt zzmL)G{XyYk_wQSv0xvx5z}J{g$I}M?PCmdjCrw}%1&61o|CslZL;$FBx>;3c@L%}> zOYdu7*%10Y9v8?~NyE5Az=C|KARfY^Rz^5 zfdGh_{@5rliZHVO09`t}0K~?%nU4KWI>I#BQbBEY50Se`=x@gV8QVumKHi#jB<)%? zK?e{Jffu(Adnv9C`jC{3hZJVx`}pzkjnh-6EyLW#UI!*pXej6oX(%6PQ9@`ms28NP z86AsAcxdU=RFl;Cvf=RNPnGBoV(HX_>8vZ8dS(sPC-u~e$RsMyHDim`FHZZY}s&tIJbe~D8+SF)~Jze+jV3!WQSZmBTQ&<1559-PEBZ~Ve(Z7Us7)C zNaZolNE(&=WKZcW4rR@C3sSC8Cc{aTzS9kL1Snc2vK<*D=T*`zn2RR9u0gD({bIE- z9(jI%s({ZV8NYISizjd`E>${@89PLXPLgeOmpXMGaw=7EMCn@l^a zX8LYKILJes8mS*pcX!w$P*;lxh%w%U?OStf(%lGl7Jk6~ljoM-@Hu~JsUe8uF`M!- zG$5Xa1^ggr`a&ftxgy95zs&u8{HbE~l1Yr1q8SS}i}aOmGU^&Xzf;3}D5T`2wE(GU z%oz5uG={%LbiSZQ%m!04tAI*lCD|pd@>i6;L)W% zFX(wS%8sz5Cy>AG4DDlaF-p)Uij(N;gn~@al7M6=jIdGBb-A z)u_{CcuHdOCEHI#_2LWIgfN+{kBzZ->B}7};v zzg2aQ>!_@+@4=uvrAcf4@OA68<7cg{hh4we($46tpy@~nO(XefuVu_XS+{lc|EHYg zO8v9s0>(|_St2zI^*=gtHGhE=x-RXgwYO!*&kKY33vJaWxPg-&otq@`GJ)aF4&`-K zRrVj4$uXVs#Y*XEv(Y1LA>s83w0Lr1=Mve4RjX!PQHfR9IhvZwLqio*D1jA<#Cj7n zqzjrU%lI|zuVf-Vic3r7AA?CN#`Y6lfIGqm=mu0NFu1Y=sG?4bGG{DUHltC*zNct5 zKkL&WP8iHh9$%TjwsnrPn(h74A=$UVh$5OVnK#KTL3PFH#}ujH=BjTg<*6{2ZbpoK z9Qw7eB=&7Z`Wzd96ouf*wr*f{QB{@mz_PeJr9GSJWC2pH>0Z7h={}p}u#jhI`@NMd zsBUzN1i3$#8Va4&d^K{YbZGIZGhxDW4%oE@6W{d&2kJMnq5-%*CT!@trdZojuR3M< zYAg-rlL^zvYZ%_7Onj9^x%Q7P{OQ&EkZ_Pvr+mN$8Ey%X& zjCgkH;1meQvQpu3mmoQ&PjIk@87zbb`9ASg?pqO`*3`g3Oz+()ixlRH#mFXkUbK8~ z`Q&n~4B7^?BYV`5du||(qn^n?Pc>Z>@{Q(k%f57li!4uqs3oWKRi*lGk}ZHvpd6qO zC{>;(6!8O6$eW{1(}KmdCOFIL^77(Nx2C5#s1)q-=%)%vbvB;0>n%bY*f0rpmuUv= zgA+up-TOb&dc`oip7fF=!%`|N!WYW`3keOM;O1VUG;9xtcVs0?EVsbW-H>Ti+ zCfU)OGu1g4?&cZY6sClfR6j{UDZx4kvl`CgSNbC6{fD1P;v~!smc2q^j;`noj7}@r z+GUSdGd=Y-r;q#vz-E2h$Z(n+wMGf@)-`ioj&4DlDK+^ndwM6)3r4I#mzJ;~N=&=j z6Ezm$B+EXF>L?AvyUzpEBjLjk5);&O%K61Bm$p$25KZi9n2ay>Tkt(#nz}Uu|gtIrF9|wF1}t#3x+IG z4eu*9lPe;Y&_kK^SYqPQlTDc1ISS76 zl%-t)pMBb5!{Nwej1z@dWQvQeBr`Mr^W*!GA%)EW`Z&vc^^r2R(N9oQ(G7mx zQ%SkS3b8Lf&1_sCIkMGVP-#}Beqd6LuCfw!$ZV0ycw_H&%dG$DJ7+|{A9pO9SCW<9 z>{jv?`bj|d-i%_k%rvn=Qu1U%V8ND)U0%Y|%|C<<7KTvaMdmXQQGmQBpTlP`vZ&fA zhO|(yfHZJ+W`-;Z$tkFDvspMxH>KoMO|dj`C}?ob)ln)c6s*(sZgy^zlS3e)CRzVvMgt zF8!L;O|`!!CaMo4#@y)@u-Q|yXW{I=?0*9;jAO025Md6U@Ql*vI8Yk7%jAm_(p(~b z(M|lUgB((whQyfL{bIteLO`HytkUJ!VE*98$l(z-PQUSFEUZ&` z9}`iLMlp1Wo$ogKjV!Ma#Ade*W(!Q1l%Bs{>>KBrh5d)&Dfs%c?YL`pAkYyX49nYGKOqwT4 zMi8 z6(<^$thQ%kG&fn1&_|$BDpTRL%7U6Pu*k0xvP#aX%~AO2#k<7#uC=-)ioDB#8vkwK z+8`aBCX*0hBJqJ)a5`Uy8z_qREe(jd-KwQ+`NdeEL(G-xv)1?PY0eIZAGF|iq~0El zC>!l^t>O_=M+GRiVC8Dvfg##V}I4;W}Vq zN;VCkGFzTWzF}oXsD^bWsv-p`kYwg|vGI1gR8igtJIKwlPwzyFe+aSM+ZIzlM(j;7 zKuBl!hrgp-2w24aXJI8*TGrf z2|xUB8!~zIQEKTsIt&^@@G8RBE9kS3?Kjp;n`V|~$wy>8*7fmHi14mFLCo!KEO4@~ zsa)lHk3^;4r|K}iPPR0+svQvOr4S93?n;{BjP5fyWwPA}CvuL&4OIPRgB51Wz_h5O zTo#eY9O6`WMm@I5YFMqD_^J*0OJc9K7zHEMmH~G=ORR0g`YT-Yq0MZ|=E7Y)HP>1VyoA$YB2X0R+Uss}E^74JzSGIe zTSbK|9r`{}@@MC{iR4x62bya&`ML9mIi1O>GlK`?q{NHoxv)_Iva2Q(l#Vh1<=P_c zZ7>Jay-Ghnrhccc8PDE))z?GQAPSYJ7AZ=q5GboHGTyUFt0anSO)RD2@N5rlZK78p zuV-|AwmQ*XO+w3JK~-yaLeI+LqerE%*&Ej;v6HTZ*{B4Vt~$vuEVXU5l%E`1yL4Px zS*m~JwDLb^O$_k%*|nk>(hDW!3i0q8niC2yU z+DwU(LIGUrb6oBdW^3WaWm_sdTMTMWT)ecV>j;=;kj+DWb`$~<}bTa}Nrq!{yCBs%WLq4qUT_TRU5J`aoh2`~A zudu}L*qpqkdCR4>Mz(d5IdUk7h{M8>ygRSySYtvcm9P!9Wy(77;N6T3#=O)rY4$Lk zP)t^g&p+s`Zi|AFK3EO8gDeNRed1QB&tk8h&g{QRHBl^yK|!jnc2b#dpVu=}Bz`@q zu6j@?InBuO(`d~MC5(Ol7^|tMdM3hDl9z*}5JLU=w$`?YK>}YzfLUg~NT~?!y1|UM zlznZjFdLjvP@?akNEdg;&U!+ZDodZlM(viQ_D)!dy7NnMbAcEsgvlJl`pgmvFvG9P zvx|9#9z|P{+$=Od*`3dj3ahabszL4bkyuTW6|w%YKDs$}ioE&Q!G@djEe%~xA&W0V zDQFXYsyJ_6%h95rVNO2ZF(|@BH24(XPm!bvY(;i68u30v~(xaH%mfHgnCC!vA1UNjd>z|cm&U2RRJCNYEo+vbB-M_5niOodv826g`>R+JF z!@2jBc@RPJYr*D8eLIHXe5!dJ%@(FL z7zOt-NH?lyekSDAMiNXJy`A3ZaJ?Wto8Z98l${}C+d6IP7PnK#QB+N_8jDfXX4%A> zqQ=B7S=gzNeBJ!joQchnsc~NVQ!Kn$^5RQPzreAazQL8xR(f4*>^t$5Ly@KDjh5t9 zBr$^njh045NqaDke6LQWP4bgs(dh)nO7j+4(fouUcq8OqiZh?35kl=-|o zU^PU;f&N)FsdGCuPg!1~z$2YqusMqr(uOx2xE8FOIdIo0{b?T&1(o2ou6`w_=(-sT zQXwS&-h!=!Oz&tRnEdG=RiBCeEwW6BH`$i22?+42Aq;+tOh4Cltf!o_r42Kp4_Tdna#pua_hnM`oIUxvJI-7WMjo?qD30F<1@M2iuTNID)tp$z0N8?BTBmB2 zIwarT-rlIHQzxGGT0|9pc-|kW2^WhPuiLD2tqkU@+m^WU-(U0VY@hzf{x^d^v`k!aLQ{yb!&_z5iq|B2rpVgn&9{kx0DkFV8VTB zEBQ#!{2xC7J=;$<88QC@9RZ+E*ppT20Miga_C9@RA2sN#)4Tro`o~SuJ78K?p2QZh z1ggS?CImTeeE6jGw|V@oz%x!sa|57tim|}j%k>%S5Y%62YC#OZmntVY%$_}c`GfEY zxZxcI_9^zCUG@{`K9VQkK3z2upvCExKvDfT`L?hAfGtm+?@9wJ^_ZG2IUL{_9MF>m z&e8O#f2Ja!d9wIVdz6sJu^(F;Lk0}gB7ew#m?U+afgY6}M*7q#9j9L!tQp}_rV!LN4Eq8{GdF|=F~hjou{IS6M( zd}GOBPL`&aiTY_1pY{5e>g&)!;o6<~VQHmTkO65KZT{)O?|$&7K6w-1ezEVTq1oRc z4A2_#!@RKRSHg~>T+C|XNB!@B6PBMmCwyJ+o6AuMh~x(VTQ!`Jqn#8M{7j~tkpH@x!ZpTh9QsoZmCIv1?amk(7Yn{_$+`@@Q=YvKbjMbNl+Fw@XQL( zj(65*0%8BQjz8TE_#Rwhz6t<&is68Ap26Br{DVzi#Rmk7IE1fE|5U8>qQ0V<8F=(FunDh3dv#BkO04Tv_ z1%T#3a0cW6BGo@CyZflnm@J)gn7}i3U?fx61JgzRN=KFl_>fTX&6_S;(9@BpHA{8Y1plfu{n?cVkfveJDd3xKsNSPLc;Zv@+#*{er#-}| zPL`5|lanf{mlRD*LJvhiiwYIVfjVayWvdH{RE!t*;DoP&3%*>pXm1PG` z)~Y*buU*osAVmvA(;fRN>~y(%&c}_9QV@vdF69B-6kXU%vF)~GQxC)o>s~QvlPz=R z@5Y4p`}UKvVx=fp;J^DJ$ySZ#coedxlmZn`_4Bb^sd_#|5M z1`#%~Y12{f9l?ZGi12$}Cb#4A{kq_u5eqLy@7<5@?vMK!ysx+NCXO5rIC!M<0=CPV z&u7)Gn`@qNwRk_=H#9Ujx;IZ=_(*?tu32W|d<;wgk%VW!v}u|xW=d^gZqA%E7#tid zq~1XuX+>siZf$K%`|4fZWpa(MV)k#_0rWEDkVPX(G-o56Wn#R0&t3LL%pvmi3)oK+ z!HyYn-Dhq*om}!_W4m(~>E}@|ad2>GI!h!C^82%udy$%-?bj<(dp_JwQzSqeZwVvAVPM``(wql}=j@g*!c z-ymjNZftC1s02%+i#`TeeCPx}!n(>^dS8#HQP!4!NA;q?%90&^AAlS~2@*?-)Hu7j zb6}_~Q_hK1xM|ip0QV^cJz46jtNdO&I+kr8SpPe^Y6ut#Kpm?;r$PB=sMLLt3o?2%sWvkx7_d0an_%({`A{Q?g^|&w)DE&{m$IW&t8-OaO5X#abI~3l1-bx%E~EyT zzM$r@Ccws4^IVXZFIFz%CseV-BZB$m_;iASWrd#xouS}TrQzcgEVfC&>+4guTZfJG z%m+_6-OVnhDYLro6Pxm~R&n28)I_O#0qKnTqD|00b8VqG;FMRX>8|EmN|nqwYtq<4 zIi_@UTtHx?c)C=CgY8$X0w(x?$M)e@TBD|0eMpwzHUZPzjY$Ree(w zn7M6)e$U)MLF;y$vreD9ggr_o3%mAY_R3nD|&}!EU7>; z^hRR|QMebC)x{|lPONp|JW~hUzCIE+zUctpaoak2exwE&vp=zqsgUF-z8PYu_59H> zR_odAvtc~Xd!7CZudDM`t%oG*rHkB|Z928o!pu$q*Bf3{xFR)d1ooRq)?_Y zw1q(LE6*y)tyhB#6t*O5g)OZ=M>6U*YsW(FepC$&6ewgZ)c6vus5y7(>3vSxKM9ZRc&(AYjl=02(F=2c7RyLw|6gO0)k zG`9Aok6DjWrB=t!aur%~0`t+*miv}X--G?T8E=o|Ax7P{RZ#Ql`Q3)*!>xPGSmxT+ z)W%F2$;_Utdd-o|A9v(>^D!w2oV;ns&v1sT5MLp-dzxz^_1DU1|CWr{wT-R2_^ zZ%W>~VtP*}aX{t3a+>68X~-?M#m(%mr6T#shPR7NFQbHQDb*rB#q>3Pg|CoA4~$W4 z`LNdzVRyEc=5f_zy>v98Aj5M%t`F`rI`l%f&da~>7khQk^_=UIAQW=5kWjFi%k9IAEqkxm0TBi~yDF*Jt z=Cqb(Wvfw#9!RE2_#;#a^Qg>2!^6QJGHb4J5W5!^ZAit(G3;3a6}7=vISfmezA9Wp zxy3FJvzlssqI0;#HRZPrfPz2%Ql@lUO$&UTD>8m{mA*0Z3~TTz&l$tJl|6itJQo^2vVZ} zTYs>!9aLAY@BjIx-B7!JMzZc|6+Hh#39Gb0L-T9X;kO+t_f1ik-W)f(w@G~lGyby9 zK@K%4VVmz-muXsWC)VSj(l*l=E$z?zBW~Me|MxcIdQ>hAj5sSM^oCmA7p*6!izP< zvQGgP_gh%$z-hU9Rxwo7q_%%y*aHEBInm-=O~FGFwD``Fp_8oDNg>-S-Pp!WM0Vyw ziL2d7WbMyMlopUbrRP+%l-9;F#M{E5p=z_I(>5Z2FYxcyiqhULLj1AP+>XKQ+OGHQIHfJO*8~S|ujQljH(KqNWVG{~dtG;E zQ0_Np&0Fq5)V+!?RwI#r#%R|cwcS|H-!JSiG~ae$g*n?<&erd`(JU&fmtMzo58qse zIOWn9;}wLjoGmRn?@3K*xgI&fsrgeeti>%k4?gq0-rY-EaJ$P{s^6_iv^tNF;AX5i zSh8-toi(R#-i(F4Vu?w{<+0s>Olf=HVJ^*_B-4DS)b4>){aAhm_`M-wSu!y8b7+pH zBACwyxI0&k{izvBMO;}`;q})c!dXP

T@9lIIwYYh)iJ@E0SR( z?0Fj4hrrNJ-gj8uu1A<4jnf$K`pen?I$hx*f1W71L64o{eqw9zZHIMFGB^r#g>K5@ zDuI1xfSEZcmHrb&Vd0W@|L|gvn{e6nc4wIfDZ_HJnr)6D0Xu(bYQE)J=Q2sAznc$j zq$v2rjuk`d^Lj4MwwZtRtJbA=LvrL;kjYXO(o8g z%vjI;hkJ%hST-+ua+cSP7SruI2tp&X&LsEU4%p-Yw|CO-b@{!>@k*cHI42MF#;Rq% zg8khVxyR)XDet=+>j@+i3xz0|MW^<`Fi+77>HDpN7T1|5QlTB~`nAHe1LuZ$@w0N~ zL@^Fh?fbHaJAl%TMK?9#1o{5kQtFa9LyKlt<(&GeWzCw|1Kfkxii}sCn)vWs4PA*~ zAK*qS&+Fk2FYIc1N`%k=VM-GL!W0KsWV}hy;^&jGq5JZ* zOR-KvY_V|g@l#V%bC+}$beIw205AX$=MQq(S`9~RVSM)=rS1ftMmhs(4)7x^o8RTaMn9c}!|o8-;#HiYVfCCVg|HwiAY@l*qG41>*`LIf_b#(yzlY957iOejzOGRkiJ&>3%Llp^e$=U@HN9J+>YY3To+_s9w6AvTlE2C zc6gVYeAX?_+Nk=JSaD)z+HT9Ea0fR-K$fb9t%}sXt84n3q<*+(7Vc4DS{D$M)u*k? zRb|>Ip2L+V=JzMH68j!%q!`t8&MYk#Nv#jwb>m#qlg%i^uj6loAGe=6H)#3(8f0_+ zUQ$W1LZ1bRteBXkTPJ_SpNMb-$stgc#?RLnVA!flJ#Li&X-(@u8TKn#4jY5C?MCn1 zmnM@y*Ge;Qd|tq|97T*HGpAi2OAHa%F(7;1?oO57sOr1NsVz9~C~efp7`mhId2N)1 z(x!b-lq&OF({8zjFlZBEUoglptlh!(LSEA8OHFqfbZ^g6P^?m@kw$!wXRzdRyZxMB zr9g@{=B?@ZUfP>6LUN`H7MXyX7O#%%#9Wgi0H&s+{dN{o1x-^TOvZ|H?0yFSMhIQP zzq;L|0Qpf$S2{le4KMUE2tg0GZ75zxjj(L}wAU*k&b-RuMYiIDWbUEO?t83pnm1A1 z6n6#nnxf_)is=*|`=8q07v|m38y<}XlV<&pnT#wf4X1#cQ#AU_p;9ot@*0XgW}WE*~B$-aETtJm=fxz$F^cUQFVW*%oLwk#D1i0%$Kpk-P4o zF^=bisA}k~X_VIKus6hN2n@S;vr*>N|58Wa3oA3hZ*-P#{=BVSuAQLuyp!g`$_egC z|8{ZZ8g(Z|iTenwxYMWG4|LXSJ!*!9^QrV1KXH4jMbR$ z-QC|ohM!svtZw76sikPhd=L>4apb}y_L*YEmivVkv8A?cL>BFyh9krCy*s@Q$izgZ zjQY{GbbI#DaB>f~-*S^1%+S0&h>eMPaQ9=&o9Ey*V1MbPC(O%jG48%XOr+Lrxd+`@ z`}l0f`+jr9dGTocd@H=+JjdL-JdWyizv|&8IIfx+7k7LlsF-QBuzQ7QcpY2tuz2-W zS>iYmoi5eJm+d;|<6^jR!}{I(19tI_(GM)UI4@Zs$tEwqyi<1_T=p_##N9P5F+G?f zQ+NxmFQ|Qw9Ym(t6_G{YF;BFeXnRdL9oPKC68u4(4he|IF1i}I|HwJ5qHjHiGkX9) zjxLLu)7j>@1Ir19Z!MQ&NFbhT|1a{$OZMYD4|3m(q-tAj&*)I1KyvrJ*7R4`z>z(Q zu-5zFId6vtlCb%k5_796l zbfxgEp>>m%HN`>IMyi{yFaE`@>um^$6ntmCmJAa`-uBO_iHTvCrrA+1P&}lb0*S{L zxaCnx1XE&&2>$vhEB7{QEGnq97XNIbGHA+God+zAEbA6zK2l`(>6>sri&UtCrjj+|W}1Q$Zg_&9I3MUXl&a`e3xt#MQshGN$wkxOida~g z$(EADxsJT0iU}QEIOUpftZ|6i$umw6nV+f83-isK5$dO?n$5r$G3i_!y9%0gFK}W! z96PI;^?J%VW*SU&cWS}=mYLY9pup13#na5SmE8+Cjj_ZK4pX)J{yy2OzMISY`r>|< zq|ZhQijB$O_07n7|q7}&6$laUHf@Aws6Qme8$W)-dw9@YYCacz}j>BC9uUN zn+wD(1~72tRJ#D^G6=I9qJ$l$Zd;O}-*UsR-3L9eU4hiB`c(`2=>33*IY22qS#Af1+_BvG{PV@YFV3DW~6BPHWcw4aPkJCP=oc zuX8%{78Vx3^T$hXREju_$F}6E_zBaOg!70hHe~}p{GJ!2C;;^NdKMyHG!O)V1FHp9 z2&nT$df&GBJfol5`m)AM-p}R%cd*UYWNO0nd{_Pvf-(?~aF6y)rG%z@jTkGK(ARIk zBwt?*LtMR`JC|wl3)y`PI|0*^l>+?QLJQm~^(u9D>rtlaDGI?NMBYLz8VE05-a@nS zmyYp^@G2(_3MY||uEVp?l|n4A?eI2F;BqacJAMt zV72<6Ocy&qKZ|3J=P$LIWPddU(s@QzS0fqkS>DDR zH$_iojIoJT2ikhQCmr*cJ4+7@-^_JQ@J*b&yMOscEyU&UN4wM#Spl(j<?41Rj=J?c;ri2g+Ha3iDDqL*0`1*F(+P4yi@_6#+7p32KHFvJ z7Zm_tSUrq5sEr{|?TKQ>XW1ds+9+OVxljhbVCyyk?5N6ESy&!1*`EOfvGgF**7UoZ zi}8Cw9v+^#t0eji9Ou=Z2pPV+YK!1t0O?%d(R<1R{qrqhxi1i`DMdrrq#7 zAj!X>iC>{pjU7A?oR6jufL7|WJVx#QeW48=h)gt!)23GumV<$sKwsM~0bJ(a;(tZ| z>`R3kU$M) z@tnf?j}g;<>o0x`jTU`};zIcUzVkjctJ^(2JqQdg9U?ySGan9^yEUQAdzHW%p*lY_Sy{I>>vz?OlAn49lRPsjHfEHiQt zf&TqH3~!`*U?+!y7n= zu)&=jx;BEPc5MQWNOK^xXvMl6*n&+$2BtSfT)l2^cv!)qJ4%N4DuC}+_u>BT^x73U zvKa$(dwVi`u+Zqd;I?U}cwg6gN0%aKZNm8d>3?*xJm)#ErO2zQ8n<8@9vHBBom{MO z=EA)SPjrIzD});@&~|V!{#uZvcXzk=+s|^flGF6B0PPlKHqR0^Hum=RwsbOw?d|2! za32@%AOV5Lp@63+56>6#74F%&xh+8g&r?))WmT;2zkszrLySpMK2Kd#P*6}(VrgZC zkBgg~;?v#TEfG;eP@;r^qNqrV?1z016 z*clo5V~O54R@;1S!ZDbAjKWkbKfdF`^gO(Sj`DH#35o%*q|U-o6BCY10aF750~eQg z*nB2ru81y9?D2e~Ae^5ZqO{{RCXBQz)EvKKAitFi2(R{Jl8C^n`Wp~bs>L|L-al|T z^ew;}S{c|o*cs|tKK^B`X9my2LC8q>_{Uo|R!$c7e;;%H^O&2PkU`SW%Gkk#keLy9 zCQrzq=xSp~$e<#lXJ)AHK**rrsORwOiI}CXG4KV*)Bt$-EfXsXA%m!)sj-OzAqNu^ zA%mEegQ1;`wS}&OA-sc~Bk<;XYYS^T1sh#`Lqhi7ML)h{1(YdkXs2&z4TeK~o2N;M@1smNwQ_z`O7qgbc!_7C_O!zmH{qFx0m;FeK#Vh5x6cE>Cw; zU{n-Uak{%hSwWgp(@IlT<>)Z&R+{Eh-zQml9rx&I8QWe7puR+ZhWb)qYv13;=c&=_ z2J?0I1H`W@FEcYMula^O4+p>$-dLd*dM9-*w?bBmc{K6R8*3XxU(!2cX{`M12nmp&!xb<_1C?jM5Y ziQ#Sk>u3LQxcm^JC!K1JsW5I(|FNgvl@Lli2~vuMUH(5SR| zkF-xIfm3x~{+N#rQ~! z2eqNfFHP}qL033U`b8D_848mqBsts<^nFo(nR6Y9D6)` z0EJOTSmQ>u$I%??w4#elz{%M9@s1ML9SRO_I>*QwkymW0s1QX-$v;!VCp!-GWS|(& zQHf|AcvHDAN6cr-=c%6^gCWmOni5x#AQruP`qd-vjPzSXFZt;!6xrMpAu5*4Wohwl zvg06tGA~SkC_AIqPM<@ztOKDxKsi&*^e#tao}{3}m&eO7?2^l-@5eq)i~HA};3)I-2iZtbzA#P3w~i7- zA-$&wbjP8n39U!mw!T!3`?Xn89BT~nuK02t8w|yiciSh=8A=aF-%FU1VT`^-vJFFv ze0dT`?_sfD{*X;imOA2kJn!Rvzsx$5jIre0duu70(Wru~P*b+&i5&E)FLe@aCZew@-N|k2p*6;kV>pvNF+H9 zKX`@&&SqoKd>5>KJAJ#R=%@a_Yf{@wO6`j4!w<9WQq2Q-7qhjF77|ms@|T=MkJcHfBPDZ;Yo&A4SJ)CR^McOlk~NY; zuADdRG_6~D2KkIuZf8QaCn;N$tRYYDp6&(ni9J}BozH_PE27*wgT9uTiNdpNv27T} z_e9a1hS1H|d1R8_9Oi&Dz+a^%*@f%P6M{%>Q@e1O0vm+FIXUcS4pXBq6>yZ^htU#* z_E69oj(tp1EiPr(U~VQB+Q*H^O0m4@k_69M#_YRexdiW3X^j#iNHiXO!`v1%!+1z; zxp9>(+}w_kVlpk}BgZ`#r&m#ftqwAmG?iw0s?A%eBw*RkjM8H7a{It$#c@U{52c#8 z@dK()23fBUqBQfPrvtaxz=?oLaK1uO1Qg1ftw zQY^T;I|OKOmqO6uBsjFVyX%*pd+#~tfA6d7mkOZXq*`jC{JaaH z6@)G_6Alt-cET!im9lW>;yA%Ll=>+$-KxG#+_{a}Fw$3Z>Xm}u)r*!RAZRrd1w z60#BNdE!}{Qb>E$l_}=v7){nv%%X~Zi*UpOjDO76l($W{=qsJ6Qgc#o08a{eo8o~De~H7ce#JlkWiLTsvR?wv)P9=8As7uW@!>>nTTJzJnCqYuU> z?9vmmq{)M4*Tex%X!1d32AqX7=?PsJt64S4i$!|}^kdJA%@|1+85GA41WMuJq`4L4G>&?rHiCkv^k>{3kA_?SlU6IY3EiN8$Wb6h_xF!%dGKkIn2oFUd&}%DkcrZ85R-0KrOUKEsGP zT|EOVnQK|-e9?2w=rl;+7J|wy+%x(K8pvTtj1g^f$sq{i@m(lr446~1npf&&3Pn`J zg7iw}+dc10?`}>hCE5;Hh4=+!OEp_9@LA}sN*seN#jvOSR#o%1A&X9m&5~i>%O6tb zHkAAX6&JUppF6H3Q=%Luqt-1Rg@a6zA(PnBhPI(P{VxHCATQ%=Og+cW+Q;AoUMWXR zndx@hvu}ngUQy|e{hTrn^P!P@+B{Zpv)pT(v^Sa84T0Kh9t4FKYV>xz*!ZYDt9vso z))9%db}gHY2{YVlq3;vo(T4OMI(WLms83DJG8gNs529n<_YUc_84iXhSuLqRtaCIp zuMs@Y{jM5))AaL-Dg{gkDXUISb%l3EI;mA}P}vZA{ho0#<}{vF=uWyLQya|NY?k%1BT`ZxYPa z{wbk~DopKQ#D>qg#szPd8w9s;`l+9v)~u4$#H?QZD~McRStQk5DjTv^x+39e^-mcXpb zgZJC9noy=H-)(p03&SZ%p0x8+qy4n&qVa=QB!Co^a)Z=5h+6qXLu-ZMe9j(;hFz)s z1f@`NQnls$9|UDR1J$hW=6{&@mAY&rioCKOVNBGwhQ8X$oL7nqAC;eT1_dFkN_xt& zyKnLH)^hgvuo4!Sz>uu`of3(rRo^DfKHXotMFA}*V|D(mD& z`#4HQbC~l+-?5hI?#el>@6m6j)-TW^H7^<7OwIHg{*3k)Vw=_R_!hON$!Csul%zR^ zA5orhE#G3hZ)Lf1f6<-e$57!xMlIDm+S6(G4(G9fl$`o=uC7((4%x{5Skn?;SWRlkbxRDdNn)vm;M27nP%ar){Uw=jF zk2o+UEefD=44!5b&U3rjd=y&a@{jv$3OEnN3$8cv6tTWo3a)zn^p_ikRbZEyWLugY z#CC%$-cF;QQ^}9ja_nyX&T!COqYZYTf7i`9g>MJVhl_4r;8tPX2&IC;dml|`Z0~XI zM4}g*zN(aO#_KM@ajK_v!@@vh$eo7^%%i`$yE~u9Sf;S^uGdy8OR%QQ5EH?6N1*dH z^zm|kPibH2#QJR7d>QeMMGLO_j-1MVcd3kir8mITKP@Td`(}>M(5M0-H zSRg*>?BbdBownHoX8X_N@Y*o5^Zl8K0refuEBt0@D6jR5jBt%%8IMf>iU(~@4C>WF zKpsoxW86yO7XG4}-SqwEDoJ=pJs-ob^IAhN*~rZ+vpwfKI{nWD>Dt%$$9|nX?*~jL zu^od~`Pms;&-H_QP*6qk7(;Dbm z)JbZT#AuRT+1Eoei492j8}KSWiMh_IuC?t{27jdi%h?%hj_T~?x2@4W2`jsPDsul( z*pRLv2YMgX$yH0<6c>>!9PINxjxKV$c`gLEj~O!v^eX?Nz37UZdpOTc5?*X>%0 zc9m`AB;PFWTxY`x`*2ZdLn9%nAGQxFyDGnogAveS!OPSPMhxIfRP+x~b_O&Xi`O>6 zL=Ee4sx`?#RsVVw}EHBo~<*un8B@Ytp*F>JEs#)gGXlc!>>ox3t!^y@g99{ep_SL z?+(6rQ0YnmU?|{uG`4POhN03eoHr zC3%@uRxw}VTDCRvosm_r&R5b)qG0Cv)Q{wP(E6>KpAEwI*I6aHCTG(~6TtuUfv4Z5Gr^uLF6z-m&ktHXHxqtek;{wo-8 zN0VfiGZJG3!}P`04-i~0*FtK0J!pM@mPTOi#ex%TxuRsKB{ZPey}gkhBAi=mC)#Xb zo;wY8mA3TR7GUEqk%ls{z&SbHTF<7h_RF44W%pU!34V-G2q4U8yh0qNOl-x?ZjfJk zMLXX`Yp~B~ZjjfbhyP@}u$JMOymGk|@r$|d=fTs^FW(?bYySG95F&Wuwr$X2;<{Bg zGfo6F#>x10<@*U}7z3)6%i$^FMU32=zh!NOq@JNI9^+^Wx4>&m&kUNPCK@aX%k5nE zTU>)pd8~MLoF+InB5JjI>EIT-xQMOIHv3kGdv}0xcSe{sBz!!pX|7}b?A&NWX#L&( zJS5@Auq>u)8+L^C#DVWnw-*)1b6=yy6Aafd2K#6IM}#3%${*59Bh~bCNs^VpAX>Qh z+2&%5-=0pTsL`=ly~PuT0HAcCevULuCkHx zSa;@V_>Yy8c6sh{gRu%0G7XLX=WZQ%bNCKc+8QOWU^-ewVmt^C{Ti4yoHM~eKsbW+B))<2P5$tuPRv~)?sO08Q3a?f0 zZW=;w;#v7A`|A5EF58h5;!N~hE2-DPi#Pz=*1B42acCm7{GEhxD<)n@=2EL9>kl43 zHP2V6tss;}Rz86n&J^aTnn#m?T~^&a)B0{LoEOYw@7H>4JxJ4;b6G+HuC4$*XSwm{ za}=mFAulQo)HcTy(o@DYd3JaYgk0i$!Z&A?dPua0E$fIcxobXM**~2%6)?XLh_!Ja zB=8hJNdEr3$hAaXzsCG*mNFpzd8X%A7puF z^vNrHgwCgJ@Ky=?4LD5X9&#qu%Vz~`k547$UYL`W&G@Y7FL*{~mfcT{7vREsF814R z@iUkP3-@BbE{Dyi&IHFbyZrikt|wV3UmrK%JNw1zHJy@NfK=&uSt&Q z{7Sd2%Pr`8RN(TdF6Zcd>8~8wRAT^*;Ko{D0<{YKz2AlOcq~=#AD!}fQp=Rd`hIw; zujSrnyNd7_2f1Fa1DX%TiUiji(LTeOdn2l$FWB`CX3)!0}4BxUq?vUAD@-=pegK-wObmkWhp+P z`Oan_L?P&-vJzQdptFi;L>L?*T$IfmoXsqCwDvN7N1U`JuZH_VMI*!vI_)ZFof* zD_ql$O*Tx020nkB>#V5l$%vq%ncvKtRF_We)9{`R;|>&f60bGbzo})RmN_N|J_TV3 zBruQ(ehSoyS7^SL#&lI>nE?N2hl)k+&? zd2|@6&!JCAM)QF|unOh0UHnR`e>!`?H@A(WLj5PNDe9(2t1S{{QStiv^KPuxz;cvPT4``F)%e zx0B3^p-c4tCWK4zf8YToiT;N}zJ=2u!6r}EbI*TjGXLfkF!0iUZGwMu^HP~Vz_pg5 zgr~@er@u(-zyA4jQixf(kh`@>lyoTm|D~}m&&(|h+%7ggV0^G{dr9~|*Mp2wB74lc z59LUg#(DhzJIe7`eOM`-fdRpEt~yHgf4bt&ja|$$Fn&Agf3GHgJXVYQ&0@V-H-ASO z{nrZ*;EVR(KjjaUQo2VBh|(+FQNaJ6g+cum>wlVgrYip%-JUdNYy9u|*8uAE(Ua6c zIP~(PcFzzV3sS~OIehPeIVnTpaK~C<)U3g?<;j_CBfA3L|QpT6xD)Y;V~0w0~vGkj*lA zltZ(y>b;A`Oys}XAoWLY7BNpHZk`Z{Rza39N=|-_kB?75q3EdgD)jyTxrSI2rd-Y5 zJx2qt#i?ng+w(#8KZo~Y?X%?IA(3q!-wP>#dTMMxMY4E8dfFJ{KQ7`?&|3+-vTdx3 zyr=#%B`q}Xgjs(dEmlT(g}a=TaZV`~9aRfme)!`b-|;V1MBvmWZLin2JYF3(O;$Ddm((LlaumfZ{9RaeR5SAGzM6sQ;lG zmJpgpQ|PY$2{^)rNAQ(9th*|Pa6 z+{qQ+yo?SMkN#-Q6S6U8_AUm8SKm=3Jq}qu?b4fEU>rTU3a{^L7oO>Om_ANQGakxg z{UaSfO8n~a?Wd^cU8w$4kMp-)U}7Q@nERgZYP|~B7|EI%9c^&lifwQAD+p6pjwEE& z%?^_GihZ1b0yb#%JVUxyL`FuUqZfFaNrbw(y2krgDVc85plfbtCMF_csHNoO>&ygY3b?5=v$eL; zV~8%ohN=|{j9iZp&xE%VSsyxjdg()OVb!>2wE7(z=?m@B7TYaJqK1#51!=qaKXz#| zUZjxs%D!SuG39(jZ@+LV$}$)3^z$$dOyBC>_GWy+`ctqZvw8&9f+yg7A70h^rL*kw zq_HV${EvuyXBZDxlcq9dmWC>qfp9BuT|TrY!I(g?E>1pNqv}Be$e^`X@jI z%mip+ObMna<-Z8 zpbivuKHlUc@lXAkfS>Ww!@{0h2+GULv$3(gQb8t>rrC@n6FT1Xk#j>4D% zl9!V!-mNwpp>aGLNB|TmW)&(sIwl-zB!bSoJsN4l;6MurRZG+OC|GplnI=3{*9#w6 ztLc;NJtzN{Jxeo-jcqA|F7(SWg>$=d-Z@ zpgXe6)VPe))t!BEJOZ-)1IaaAAMwpJ5!Y{gu%#x>byGGo9Wa{2hnuF^6rC1~bvhcD zlz>(%cfEafN@8>{n)}D6W(V1QpG*-hr_W^-WL`KIwrP2yI$qLrtau#h)&)G_O0UPV zPX`)@8M}0z!`HQlMJeE@3A~pQFw!GJpca#xqW@8WfR8+S-4tX?zbzG&) zY?rmWRL2;noL4cvEHCO1`e?mrrKvt?bt|`ulbM&uPR>MFjmB>SMY{BBU|>vtY>Tl) zG0hzn6;CM*yeupg*3F}fws2j6gpOp zd_KP_&mW)=^_D)@iY+t#!J-zfQ3WEa1*atdLhRMI?s3E5r^9I-M%&cpvqWMhz<_Ot zzpTOu=#KZcWIS8J#T1!$(r>jU0jm=_(=zG-+>`NJ^d*Ujd0p;h>=PWslky@$=ZBbm zCbcv$1XHNdaM2EPhcgt?)2yIJe%;K^bPqjiLRzy%MeFpmLSn)b`NUsoXGfMZj<*CK=e(a21bdB{j_TgLu?6b>Tu-64oB{=@)OWIN z-&7ncbLz=Qj`r#!IsU`q=QF3M&@Q3z`gkE{12dBXot?ch7)XA`RX#eM#z+6}CH`|q z5;by8BzU`_T304<1)XJI5Mk({MNHKn%B`ca5nlts)a;JM(9UerGxDdy7k$p>p;0b= zatA`~X9jQ7A$0+>@Y2QHFj_{0m(=DSSgmp^R!{2e1$ndP;`Vg0b_5;S{fu913ki=R z(Uqs@9z5Ul4hw_Oj$~I`B=N&xVp*Z~plb2xbUW`NLd;K7ii;+7x55DHg(Y$ck^jt1 z*3XB5N4W*etT&FK+0fyvixq~6>gefd>>mMApFkI@YTH@Ds;-8tGcenL>T(#Pnwzo^aLCRC<=LZW_8N1qt`#YV{d zmS}H~5X*et_tTNIL7tSXdPJ5dDZd|V5s>d*z{CO#;cqs1r=soI34%Y=uJlkh&99yY z(wvjk+Be5UJ~Vei^w$%pu{api|K3M&_fYxuX$SkF%9X z$oCPnlK3PtLs&>5=1TpWZS%Weg)Gvk0O9PiJsx}MunUnE-@8Rz`ly4Y@p}0u2t_h^ zk(Cw-aqwPyH`#=XoJAn#hz!_DX4TfKk1 z#F+h~)F3EKi~@lpm_F_+yO;N5n+1hRQ8K*eBi9HMI2pTGa#CVO(#`p~=yj}L_fk<; zYgVmQ$F)z#(j#;tbbq_2gAD3S(5q>5V6oLFf6+E4*1gxve`zf)GJWZMg@hHCS^U6n`3LE!YS0`Vw3Gb9YH)CEL|Z zCcncM>!g~7+VM4}7ziE=cozMvU_T}YF+HuRqmzbWArKYX&0nt9>bggqV!|lwcdx7b zzMm?gSaWW)-l%qm$8KzSs=_DHX4L+9c`Nqf+B|gbTew%yN%!q zB@d5A(hK?p;%Z{I3|s<|wh%n4Av$bb>WT+D;@_Mzf=&Ji*Fd^noEiz60%$!^|wibo55`z`lOO#_#YkYmKd>ClhZy=HX*RIbS{r7IdqBo9VPy_J@ zdiywrp=ZUxZT3yR(v42x#SH=k-x7d{Ffq2X-&|dt8Hl67&<}HAo}y^Jxp{MEM)RqNNq%z=0oqZzzmJN0xh7$6MN&O38%sT=wTZ zhE{rC4DA{T#IOxvV%zE7%a&V0vINukgU7UI*g8>MzI6Bn{?RXJW@dJb*5-CVj^2Si zpf(;Ju2&cS5m8(KPN@5tLrmkpooy4(w2UuDpf;eZ8|nH9cXYT5Sz=_3L4826%zzdd zR(C_kc>qMz0GVQT%xIa^wR^934htUX_Ot-rXy}Wwu~16pYVwdb6phk-5PrB?NUPqy zuXqqFeyjP?I&ZFmyv(CrLdgN=IT49f+>Nbu>t40F_>RyoyCEmDkt`9n?M)mjxB6OO zd$nclsP}4eMqEN{N7#A~3{@!VIzX-Z$e!L7RQj1s>A)En8JreSp5&)(>(6fR&F0n0 zP}S~gK+y(5*?6`NFhey~2AE@DEz^vecNvlk)h?S)RI9$*tEbc&vjr}sPF@zwS(SkF zTy&#Tj2w+A@zbj>TwT?x5JBj8OLm)w>j?eS3VOTNmt-UjGPU$&vm;3?0W#3>1*Pra z#xLB*#yKX98ucT^J(ew?2&6I&PqGq`kx_{E!uY4pu0hD>KovZCCS_Gg_uUSS#r49b zuDjnR=#g)SOlZ3Ngl8Q^VT-2Em1@HMsfagMpqA+Fq5LvmSsPGgGHH14T9!wBNPTvF z(Zagdusx1X>yBe$Ly=S@Sse(sWmJdI1#h`X%iP&R-Xvs2(*i+WtpVa``~XMlC|1L zD^W}D4qj%i^NKwza)g%e9C($+!mXAQ?{jvrFmGV;p4j&Dwo5h z=6-p zE$_Q7c@NrGKDqjeNHk#)wYx7~s$*Jxv#e|Q06vR(N*k%^`7u}116?Ku%dMHWWUN6Z zE^8L6<~R)J=iGPY2i4yZ`2Tu_9HKsRM~nSb-T*4FVOx-I=lzGDJA4 z(6c$(ub>F*>GI^c3^vtTO>GH?pVfrolTEyNc}SsmRj;wLF2-|ZayD#3jjzLZz}+Fp z54#yFDU?rBxZhBPyb08gK@CMeSG1K=+f%8u&6vd`xoeb9OUhh7JngYAXv5r@EK#|b zf;#b`^c-PERa!09pu?9W9aeoa&~$yrp!^1(F-lSVAD%vXl~WT2$av$lgJ6kuG?7v0 z|I|lzakev6lZ4}eGl;6q0JQov$T-p&}yDy7$$ z3FvoDV$SA;4o^5Ri}D5c(9VR&AWJHuRL-*lWT14OI$N$5vo$-HXOu_d2j7=fa6#M% z`Rps;2~x<28 z!-UK|b6)G|hLx%eZKam@eD`zA2o|5_`eK!4*MOyFOEDLqysW#suk1#h{5IUC<)BVJ z)qR6j(3Gz4ex`+^E4lxhLcqRHFL|qP618-A)bU)kwQiUsrtbll_l5r1x_nw`xv)6c zN!b?hODc`SymOQW^F*pT>-vXjtHI?@u+lp-YVV8L!qv0M!Bbw=+fLc_* zzuIc}Z7eikV=qhw=T5)i91BOBS%E1u7pkkh!7C<&w9(0#OscZ zX)-}CNF5!S^eOU5KG}P!O~HqEpz@r&SGnK2#Ji~brjq1izS-Madt1Kwq!Kv5XE6EYq^*wJ3HQpHVCZ>1oD~F7AX@;v7IASH1B~(<(zQMV^c-8F)kNw%p zOYX<{3+Bx~lh_Pm8*V;f#;4TbApuQJK_%^z6rQ#C>>Ba$@5U*$Oe~t(+OapbCCW*! zN)!e@Y73O{*)2-%uE@Rl6eMRlV{jbxe3ibVigPH zKjXsUF~Z`xak8Rcju6m)kZ>x%C0;9H&L>B4gPaLPpGrDxpZKBT< zTgmNSE3u2GXZo^@5miXU%gUSUUbQC4b;6WJr=xsFYPuM?`XP=bgL@gEK<*n-^hf8Y zTO*G*QIKVu66G92Rq65?RFyv5-mAI(S{9V85l5G6c0A)^q5j+v1^j$Z_1Z27oYAR$ z%LltXOMJ2Rh9_yGy?5h=(=!`&=wGlI zj$(OKx8g4^^Dm1dRGP>CXg*Woa(N~bUvX4EekZx{mu=ogfrO?@Bh}r~Z+`~U9NORm zW@rU)D3JmGk2*=K=>7Z@KYNG2f8t~D`PZ(w{|`ptr6p+&s{ioe|D!-4lcKeig9;R4 zt64mdZaZyNH6e*aSuJMHs9D%me?#C7Gn~($J7#YNWbU)Wa&&Je*me1%Y@h!$iNvg# z=x?FEBZ`DZPy8u9BbhDsVKm3TqU3&y9u!H)x1w%#IUHYJvLb!0eF>v*0{$lC{^RJX zy3p~fb7yAiKV=J5#>cw0yW=XNE3O{l3tQxU{R}(K7BkL*Y&=X%Ku&t~5@!c zAICodyS1B;10R(b8{wnh6r+^bA6anLMZVoZXhG~9bld@|X2btiQu5y;g!DqgU_z)| zP?@mc{pm}NbX5PQJNPhxXQiyH3@7@({^}oNk$hW*PWm@h#>RPxA%UdfIU9i$e``=3 zQop;2K{qQ-ivh2&pn#U1zQ|iLdpRW~C2ImR9@YOK9N7~Ac?)*AVvA#kiUcQ&r8hUJHp2U$OzA}Uxg_r zsIu~rDnlqKDf88~j3X4WRCN`gu|ap1UH+gujCNl0WuxCSf|+CWZ%;7)hnL8<*)F~z zF+ZseGLN1zavm$={Sz@oZi#$rcfG(A63m%Yt_sciiBI~P-0XmyNk>Lv*|Cdp3Bb=oI|B!-epwt0 zt7qw=Hj0gtB`nW^Xj-I!9LWpuE2}7xF~UepPi2%N%$*)}f-yXTM~C)YWhVE_E(Wi^ z^_!P3iMT_?m_ z^!inJJGHfldvQV9UOCcz*L5%KJNDB>a=qE+%RulO`Xk^_dPZE4&tTI*{u8nUH&>N8 z>c*zx{r%!9r%J@iO>Q=lJvkY#JOn|mRL`G3*VEP2N5b>fj0+p#%A>(o6e8v2LW zcOAov21s!wj*U!jticyk-CHxMW~oN~#$pE-aDAp9$W-weG~~v~ zB@7@~+2H2MniDkj?WXuNyU55jEOwT#1MbY^(HY?|;8(S6sIuq}Z5~e0q5qvN_Ft>< zr6MvhD-8Im_TuZE)%l_VusQ2$W7?}bg}(T%jT3c%TgqIn}~OlT8+9U*IPh>wAC@p^(#pTa}3n5Mb>T_AcN9h#LF8N5T^p|UX~yOhde-|ZagBrdwK1g z*FA+J`A>Z4DYE%jN;$SZXUw6I9=GR%@Y}{0l+$3A<@|Snc?Nhwhoit+Bm$Y@*jeFv zeth!0*usK=nK|1fPl93#kmGjo)mfI)@L3@0m4}D3iZ)PHQ~E6A!&S?4ABq+s&QTID z)*2P{N4?xQ)xq-j@3&truHOt%hpN7Xn%b&f<@J7T)Ty^!_~w4}W9xm8LdxDt>gckf z@Tii97epSD-Fo}`31?1lY_UYy+uJBJ0>v4hlc9~N0OG%%`S(sJOcK!8XS2@J?tPHF z7+QUoaW1Dq2)~%$1ioj=%^OmI(2LI7Q)Ty?n7nF@ZZxL>)8cH<+4EofaPJRO_tk|} zQ^OP3+^lJ|G(PTEMf3JRCUwD79xiGg>WoH=lj`s+L1}Bq;=N(x3{kA}z?b%V8ZwsNt4V(P|q}bBc0Bm|J6BtGC&B z*Lp*6ZN8N#tcBA>NpO`1A2wuXuFz#?ctM@4883?-kM=r(V%%hN^@v~zh z?{>^#hqebJ<&`A|M5VXWkL>4V^2GtdWuP?RM`_Ua)85&eAUE@g?Tho1dpCY6k&W{G zL@_~E#3$x(@jZDbLDyZ!Uqn79apwm$6<{ttxV`3I2sav<4DW%MJ!xMGGcIJu=_zHb z8$T3=IzG(Po~0Xz8dWapG*Pwc6b8KCIBV?_@|XPfUz7I4fDt1a zkbK1wBb4s`{{Eq%2rB0es{#gf!&3m1vs@!z(Pf)JvYqJ#2VK(7T)e$m5576{3E3I6 zo<0V*3jMLN-9#UO4dFqbzyzQ4kcVD$nnL4wb`POX*S|#L&J}fBI_Viw)$@ehzn0t% zK|+d30_q$956^SiiZr=q))%axrS)o9(h1uT2pO(WwWbSOi@gYcGj`b7LBHq_@Q zoj$t_$rrY&F)%|DhV+75vKmt3bGNo=;aU(;pwY&Va*199&o;&0Pd-mEsOI}AgI7q8 zfNgj*_{XeG@=)zftDx0x6JYJOL{$!oC4Aknv%scg8C?a^ppK$Z@&&i87eZAM)Pln2 zJ@#cT_Cr#OR7!}4c-5(csrhf7726@cDtreUh3w)NvxR}r`7Fri zT9wtbT&Cw~DC}zw$9=uj6IRsYcK|?ltv#w z-LD>O_2%a)g9ipj<4?__;3xD9Q7S3zh8F{jM%asWep8Cwf2}IMuOB0Q1XRYWHqVZi za4%$GuIGicL?3~v2C}A!aqhHS9|w$N6>BBT=$M6cj*074t+s)CFI}NL`IE=SQ_?ay zdQO84SF9;t&x^0<;RF_mEes2jRpPca`PKzI1B~i|Fw@HQfb%?8XL>)Qo;7#2Mzx75 z-KIXGSW)1(Q26Y-@r2#-*ob6N11RwN{YXY)Wlt{`gh>`k(2zwlwM69rwCxbAeNLT; z|8%oe1tLgex`QvNBby>kO>`7^61ke`uH=5Cu=xG?)jUvdh~5S@rd0BCA(r-)0-zhe zh?L3)sN*N=C7t==H2AH-PiM8cYVV>p?pEW~K}G~~q?+A$YCF?an+k+TXHt+83 z*!!_a#)+;q&0Fe5@VG=7>gP&jc|x|!J@q*~4?iAAI}wz@4<#5KI>9!U8dit}X6Y7f zVS3y9Yy_Od)h$9nkXs{0t$Gij;9F5C(`5-+RN*sTJ2tyN!YwnL>q^4R5^kAZ*|6l9 z!@`U-j@r_!U+X*bRp`;v=H5f>)gz4R-BUBlRI1M{s-t7y<}+sHYD$!pnMT0tUaS3Vbls;lb|QXfIrXfIGNHS)c{dP5135X-{^fNf=tTYI#kWYc zYipc;S3U5j2ziTK6^==C>h9L_*EaeuHDec!Gdw3WEaD2PR#_V3k&!>uQ*B&Ro3~dZ zYq3+iXGsLsZ3G0cq%!q=BUF3WqYV z0&7)8tZe=V=y{6!TV#N$P|2?6>3D%7J|M&V{ms)}7)<6`*8&WGev}tBF`>Rc28=p8SSa<3)3=O)$=*^> zWOjkz0-jycwLTGxT-f7NTw9qv;h>B#!_~`EHJ@(zFp25*)4>I$hi(52y5s=w+ z6Y2P~kW??b<|6Y{r)_d=MKay%T}9s&`MF}G^-_Z?Y}b~|uW>(_3g}2QZj)_-vO-FB z;B3~N?BM_t?I-q=pM6sKlL~T)Oe689bAd1O?==T~W(e(kVbXOXwAk_T$I|84x`f#o zWOZEjj^Vd;^L|@%yD%+DsyTA>d$Aj%bD4XDT1i1lHwKe>X&t0=v!|IweZYF-i5F^I zUZugyo7#ZN@;nN?$o+TptYUc@Zk}R%oVC?Xn9=zvPhIi&1WGpD5kM$3b}ebO+W8@I zKp7&wPx2}s>CnGyljPW+>shO{pFnq@+y~IC3}DaeMpUBa$_G2TwlI0}wHBrcO?}+{ zs>N$Cf5rN2bbU_ag-stIxec0QGi03+V6+@3U*2La#WViRGK`08z6%H`@U~k;8ZydU zSV1@2RhnnBSp0rB&|3He%&0j|Wj}vN5R{YL=y#rd9iiiVU9OgvGZ_1o%20CiQf#|= zyIwf^;d9G=mcbPCLiQD{=ef03tJVQ@7X)KEe=bTd4t3E@DE3PXcL(3wZu#-M$HUC&br*C9DDc}d{l6`| zTTNt@2Bf9B!8%ho=X9&jqW9eK4>~ikS@|`@do)iRTBA)BtAk^WT$yZ*56}Toa(&RO z;hvek|2QG#Oqxj#pjdOkhkA;lp&FEQKkM_<3d{y(TPkRmUm;hFRyTbD<68})HZ!7S zGteGL%}k_fJa*!W$efmS)jvLdJ~#|aT8w1{6;L53Yso7qsa^;qx7rFI3k5r>9EV?X zn9WGzmAUSH`J9HTZ?;6FKT>_nLIC~>Q;!3EtWi4rVj~cQBf++$PjYTDNXsUIf;+82T!~n3($5g&PZu2I**287D1f zO%b!yM_Ll?U7hP@G9${GF6y~sUs`N%p1{Mw$ki`DN^J=tEjABPOEjBMQ$VJeHg+w#yIO&Rw2^0`&O;vA;WdaG1vJomo|Tjh_@z;>dQS5ii?iBwi@t-05kH8mgU<+fnG)h z@Imo#^`nU>=EcIBcM0_LM+1HYhDyT6Qw6x6q=tnY9s)^b_y$JUT6NyRfTm`F z#{=v+4nPN5=ZpI=HH&N)->h7qt3iy2;jjmhK9M2x%~bQqDM_jKN>Q})@rMjgBc z+!os4QmhyXdtG-&r18oT@hxXZO5`wjl`m(%hv|1lVUJ|A>qKcc-jD2_i=eR>v>3w; z!tky!Cw#w?iX;;4wArtIf*<*nYgJ2{{5X^^qL^UU-6!8&EVu!lxmazm?G#P#W6Wq? zo!{0kEKA(M|YsS}G`4a_!u z9mN=xmkJ-z^}Cwo2+2z3HM?80HM)rPgf}SfGO0gh5(w3hWTl%~V6)7<7C7cB49r@U zD`wy7Fx)G$*pi_8?1(X5j(h^i(EcJ7Wf?1&GM#-?xY5vh!mE!Sv6^7eep)ea-_{7i z#Kfd+W(q{+b4*Vsy=J_UCPDS`=(i?dBI~%pA;wDZ6f2Kc4D1YQ^dw7ONHo9NzS{mF zp{@~I*7F3T(M0fU%?v}4colmwwycU?W3VaP+n`rjTVmWV<&Sc*YA*xeaQmzp<4}iqm{L} zxw-d&#~&B1ahi8~Ea5LAZmAn77hMf+Ne$~dmSK9-)Oqy^HA?J(-ga|*ogDqKo-1D# z=3)D+))ahMqs4y|5lRLHRlMT_2?W~tEKT=KPjiF_yw-l@y{OE)@{yIT@5JWo8;$rh z^BV*si^g@JTISA9?_@4XFv;2q!OJuK3-C@y`(NOGNx*+V4N~y^7%M!p>R6l*zL0M$ zJM>0AKF)pIdFEL;rH}b94*Q;%$N{0|lD!yRV+$KG%NvLbp~w@_UCLV}a6+oWV4r|* ze|X|#`Jz`dRol?`;sEAG09fsy%|@tTPfzWF-|J~XVPT*4r-CEJ6O2OIvWkid1Oibb zcDVDZb6|jpo&DqNUKJI-DnwN`nK8 zl9!y*QpkHwZ6z1~$fTS$iS{XsN%o1u-w5||_?b5<4A^b%gm2aRMv$}C+Y^b)CV#9# ztSkr*r@zM`dIt2ce=O*=%oHTX%HL|jm>73f@$WnD2kXQgkh|PUO$+%l#kUQe)I!uM zH_{5$%17$5%H>R<-l?F1VPtZpk7%!e&*NFWFrwxe@+klnnqgtjB#5B7kgv;8mxL&y za&N$Cmwn@00%}d`CtVWRnqohm3H)X7z5OXB`*Vi@fw3GD=Z?>O2AvU=hx%Fr;tg#1 zkuesp@@cd!cmR4P{b~az{opg)Yc`G575p+?R3wTp_=?mT2s^>RzqN*J%a=&O!*S%7 z5q?>^K<#7{(wTqXBWL}A0SWpaHUr+*|Kqb%NcVn#_}0WRQWqfRi+}7NMfC^lTB#xW zAN7Flbx=y@ijBn$KL2Pawm}hPqq?-Ur@Q-T zMa!cs!cF#6@01m$Hn@G;_c(D}WI!`glEBaTD*V?ReRD|hTm9Jai#LQd%S&aOnwkO? z-rdz?sonyTa{!`Lt0?|<3O}9j03M>FOL+f`x_OH%kp;URTB;xWt$~eD9twbYczF}U z{^LLQ`y3wwf6FApWt;;@83|cNXt4ISch8yPcT7o~Qq29Rb)weK8pH2W~Qg7ZTiRWY8)cSE`sY0rm`07fRyelHxoGXKkyP|yUFZC+0Znf{W1B4Bcf0YBnK;5i$u z_kUX<-TD2k(6pV-@wZRa-NRp?NcQUwR?PpNyicOgo1>%7ulbTt(#ZXVWf>bt|6@0N zMEZK*^u=x*H@R!vhtOUF@TeluobtEBwbP2#_&3cYqU zRI5e~?y0NeXm>aEqlnk(;Utdg`JN|r74v#{ zct}Vkd#;0ax3?lVGz}K#+sjIuEmktJp1pvbw~3%;a4cWi2QQxMyxEb&UNfA;0z7j` z`z&_1?g0|5N3IXOnFFqjo393m1QHwY^o~27X*s z*ZkAE^PoLrN$38)3Lj#kWX=o@5ceP3_3d*K`FUDIl1;w3`Q*v*`bfJ1v__svOU4f8 zr%yv08zREOS%$S_$|hlTc8cX4z{ZPLWaARcEh|hjDd&WOZAv&izR|77Wki5mA8x zM^b+p>Zuu+6V4|XxM=BlE5UQ*MS-`AB3^b7I@@oiLY5gAhh!#)k_&3|$8Vy_>DXBK zwv#*9I-5|O%q~8>>o9>$0s)}q`>_6Xp8u1KUxpemsSd8=y4;bUm{6M0GG@ibzG2J3Yjj%K?hkuQUh9w=yRk4`yO`(WLSeZGNaN7PFzrOj%?Wt7o zC07~NY$K1|YAx$62v0%faM3pKVFI7PRZg;o4?XC?MZ|A$M~F=~)!fOhcx2zGOfDb( z)A&^5*JZ`)76I#t&QfCy`Z4s3Szv~wdh(|=|AjF)+V}Ry)4AEX@_O(az{j5#Z>7*q zHWX#A$b&{_Zx0K)DHD@IA(?`++&`r0rq`3@=cHnZ*{p|-lj4RYol<9H^#laj8g3Jd zvCnreGHIE&eVSQL_gkfD!a05;AU=JDo^{aJEA9Tjk}m$u|LVeji5S0Ve0e|}F1Oxc zs!rYPbuc5zovwcw&Bw`^2)%^YQIwG(lLv8rG5(7tOspN2v;LF|K#8sV*>PLb{)yTl zZzSg^If{XC@M7Xik_hDt%Gt5H+FDM6A=#gcym#8o2XQPR4VnAd400%b%auT7tm1D` zW1QJqTKt^HmfQWbzxU|-Z+qw5B@k6PJVZGjT$g;0GEbB1PJ!;aww8wT+VWY;2y&`6 zHw_SC?zNPJ)TFqr>uuHd!561bZR*Nz`@@gas3N#V?TuG*CzsOl#y;=ZmG8ae<8=SF zu%H5`b9gMh=ptEJ4OgoKY^@e_r358OX+Ok&!SNCi^$uA?^~-s=0licc#K(B*5(qhl|LD8Gdgn(B6(mWoq;IYN_UQWe zPXN6*6d1+OPLyB?O`$Fe55JfPX~`vl5<{^WprtxNbw4$@f6Nueo}Qi-GKVvjLUSL9 z8hd}m+WNIb_UzZz|Fyh}}MK zz~gvdy6t}VXFfmatZ6V(LY4tLG>hkbTmjM9&w9PzevVo0uehccS$Z2hCEl?lDU5VC zLr>7g4c<5#6HDACV;7+?1TXH7$`8_I0NQ8a)hKLWNT=LJu5w^JopWAx>CBL$k>o_1 zf>muoq*rvB`$Zo5jp5^UOiZSzL+S}ql1I$ zC9|LRRNR`&6sc5Yrh{J(l;v_;3!$}_=oX%MW8;Ew#(Qdg&qxoa?e{M@ER-z2@H)P< z7y;NR5yg+kl9^Ju9gg)vhZ4d2DFp!8IANh0ms_38?T7orAZZ+ig%5aRkx|9&kZ}Xo zLnFtJ_x_$r51H^K-wVx8e}Y{Gz$J*~q-z}hmj|@n1RYY(GzBUaNcdP!KJ|{S`|#;(j=`9WdS(Eb ziORTQv;Ur#L!d-8Zb%E@kWe^!dld0&+^1hJ)4sY^g9Rslb5;1D*4fBwEDYCwGiIPt z{!4g7l0l^W>3hb<3WWwOr{|l?OK9MJqIc#qDcux}LQPtsqg1uEaEeb9q{fg<%IN;W zo9ZO~IUCxVVuY(=h&FjJd;%AjrU;RWuQ8VN<;-=Ec%4BN8~~R$Wg+eTsRWLO4Mo*t z1HaB{ZmBOFoM37~;@APGCOk{h0u%VyA!b+qP~BX@{}h$cKg{UiS9yxN!~Y|sccEqXq~71q5D+KxQi4ziV@HI%@{3H`hIrfwu*x_#keJ) zT!!c$<0AWAgWcrOctI%qe1jB9D*CHeB>sOu{*#n*oN$2mEWIud^e1t|7#N=g;73EC zc&BBCCdPVDt(VL1m^F?0xrZB4HuJGxzKb8(iezZ80eZ_^V8c$w+Fd#jUFEVXwLZYo z!FYOT8p}d~RK#j|E&v3eqxflweSSqZq~A(sHE$_Tq(Uj^Ez?XQ`V=OnkI-uTQc|Z z+VQVxEFKs8L)9in#l<^MP)W1f_afS~TR; z34AX_WISAbB7&`!iY2Ubi~YmnluQCX6+JRo_NrjGjLoU>rB%w)o(NOu=Ofk5_LNmbWDaCkjlabk~W8q3*+qX})Y)6pxmXsrkK z;_{$cv!VBjx4peLLu0$^r@|)M&p&=(kYfloVJ~ho2XFrPDob{HDiPM&N=vk46TdcY zx3K2E=WW3wbuMOQIqB)a&0!HUZXc3%l?@Tv%lRa+IjQu9Zo$Hn4e`!~JR7a^JQk9} zyW{kWdS^946W!+AV{ImBw*7*!FrPE*4aGM^GT47Tp6uSeP()~uQysJOWOf?gKTuyu zDYCYFG*|-O`S?CuS*Lv)*cQs$kwYp^Sh9E9THLGqBL5)(kK!BlQNqT1V&-(wo#Q5| zEGIF+$3%|@$BP~8itiigvV9h$cN#9-Q1w=0?}ef~=+ymZB(HY#Coig|J$qg7e};$7 zqKi~)lO+$3E3QDhP&gna1BR9y{ql-$CiM;@AV6X>iB1zelmP>=UbG9&`;Rk1j0|I3 zSbrz=k@MnwItkUPZ?j>_OdMxh-N#dYZvXejV^GyeHR!HFDWVj{bFw7l*QpaMXnCSLXy2o z5vMrLM?=S2jMb#Bn4i$0v%r9ncmsXBw7mOa>}&OGu8skn^3#WR1_8!97jS5vDkv68 z9(znewjRJ26?Znowous6@v@OfKJRN)QOe-S-)yA?manaN%=fc>s!Glizb3D**Ix`} zKFE&k2_@b@BBtmT_`Lg=?^nUdc3ymq!2`I+zpAGL!x8#XSR!`yaTHhRpk>8XhL=K> zBGLODfDE2j@8w@=HEQn4Sn~qkF5|-eYl8oo=OX#4tHtMv{dA4!FcD5SBS$yTT z8U&w-f+n>6`(_s(i^ju)8UlP$dl3~%jKfircjM)PeS|}M6Rxa|ik}pf?E&;r!c$-S z6d>=fucdxwefQjufHfC2cQMtmqm3Q?jYO6Jpu&#m^RNqVS~(o~fGCMUnII>zEKULQ z{y3K`jas$sW7rvw{fycMNe)0@g>PV`uopctIyTZG3qz8p>L7ac!n==LgE%c!(td}~ zHc4-+3+E_~BQFTj#LmaSBU<7wiD=Nx|GHJY5QzCd=j30HbAb<^BW?lArOOR*%V|uiAYu8pfA~E#8CmTU-tn^o!+@6ke|G z4i0U=$&V5Q*bU!C;r&fJzGY@or8-M~#;g9i{Iv=vyN#^n$Ha*WSe1a`s>5N%%m^W4 z=j{4aw4dVNlHuPgwt+I~PpYf2&u6{;4*B8C_+9!n{ud}m#PP3ND;CJrFa-q3aDi_rtJ!V}h(_$!`ClkvJ zQM(Eiq|mkQsE7I+@JOdwRXt<$bi$$a9rOPz)*IvhZm$Pi1kPA#+XU`lZD-WoS*NIE z$IVOeV+Ll}eSl$GIV2m&nSB&UkpT&s;#~8M9Jkc9J+D~Tr99PWIEUN5Y+4~bJc#BN znn7OgEvHuVzgyhsz)#yK`h+Hovg2b>mDi9IcjS0XBGfKAKvWXdfYbX@2w6`_p}gvj zgZz=Bl&Kvh@8BEUql9u+2f-N$p}zW0#hj@Y{jltV%p5iFuD65=S_U_J=&uQ@Gt)*a z5BOFp_egAOklScH%aVOi$>+I#R_N?>y z7R2%jIVjF%{^cJzT2z?{8{z6=jHOSbF-Nlw)6Z`=aiEkWx3FMXJ+b7iOV(SmW3ew5qVKK1eo@6+l1S+lvz*ne{fYda@_teZsxMO(?4{ty|0*HTO?NFYF>~a)$LTdp zXND?aLejD0Ww!mHuiywhUH7X$^fy*xa}Om)Ut3$J9D7GJ$stQ^+jIAJ9${xix^3hF z{{DxxKW0hl$#>*Lp#D=Lz3>n{Ot1zRxKfH18S*55wx-MyQlu)AlpgI5+u!o6iJA#W zau5OKwcTygta2>*Kx|`V9(;P`wQb}Oii4wGDdYK0IDI_m6|@wJ9e)lJ!-tOVo6h3j zVQ3MeZG3w}X{McNBcotJL3C8xuBbx)UqO85i%8c0Anj7ElKEFo%^m-1UiR%*AHTb=`<#iSymf-3=)UT}_#7RGIRAX4jjD+ku1wK?5ML-n^u=#- zdaoR%Q2IYA?=N3cW8(8hXq2i$BZ$Sf#?5-nvP3#MI-jtS|9Dv^pT487mY_%)ZFTpD zbQ0FfF(=9Pd3^h+!8*7vk1PiVcFbi0z%l?!a@2#xDpbYcYR0C{RVl6-=kwJ^uzhuq zP8PWcH0L}#ZC`B_#hWn#1~Ko}a6k*2fP^%Mr=b8eB5s=%H+n|lSf+2()^}|a4WAZ) zE97pyeij>#F1QE_PSmkPMNoJv_45`%tdB2a{1q*^*MI(l_=g;EE@ z{kbtPB)DtK0UNph_oZebTm`_C*XXG!+mG1oQt8n7fW^yM67HbUva86Y@k3B2iIC%r zM9D8pD{__drd=V!wm@%(gQ{+m0mYxt)*DS1D}FbBUzQ7 zZLN{YO;5_kaX3qv?Ny?B2gFm}S^KaoJJc+zm6RWU9PaOm*_w$B<&xm!hc_82M$9lh6t;k6BL#Zsks#ezoLw^W z-}HF#qf`_hWA$|n)OD1TN0Q1lE@~0{!H@-7cdW8LLDFF-LF zpoyQYdJQmpEP2vu9OK=8)aCpt**C9^E0A7-@d=w=pJs8SQ(EJ~$FXKYu{Lx=mU43^ zo=yRsw3n0Et@tx+asP@f>$99h{}oPHvF80t%T5&~vwU#k;oJ0-DE!O$D#=hu9otIN z1xEJzA#2YTxTpIgNj{7KJnD06>>~z`&nSgGGAo&9ov+=r*}f0!8(nL6ue-L*Qd=ll z78mncQwr*E|A!$G|1!js?Yt!?0RaJ-j%cJ%4IWAajtl*pcp>bvva-}MXyAU!ukye=7n8eP6&o*x5P2oBB1)BS2G#t2@rlVzvdF zq)Fg^YbT?4%oLO)?Sd9CRD~jP*5+lXh4`2MumeP*jdw0RZzLUcdBC+bH9FSqvqGP8 z6MFZ^+aKf%!%NuzD>U&P-oYmt2iviREr7uaJUm+LX-^K7(BkWolELcBJI%{HFhSiO ztE>R(#^Gg;rh`cfc|xleMkn*7vAFzRdRJsESMMWmeFK|bPkZ)@u;sxi)8OrKHt;Ut zqDY=1iH2`~Va|v&wgKQj+$~>#-I~4DVhw3XKxj~!&n8fnh^szi8jN-uwtQRKWLB{i z9G2JdGN3W-2LFK44ttJ~^aG)+PIz}DXIknAH;q}(H@BtBet)0fDCKAR zEF(5Z*9#?RH#L@R-Jmz#g#Hrqcj*=07!ODKUc$nZca2Uzp*G85boY>a2fVxDywF6|h6!7;7z@<&M}*;zWNEhVf!@n3QWFTV9a>Ag~X6jh?fq&PbK=53M(57n^$ z<8yX_Xj!MQ8C<*t1O!e_?DzhdimbwgcqY>`Fyu-jpm){34{2%PXRU3h53u%@z;Ft4 zlh!0sz;C|kBWclezt7g;a<4={RN$-sWm6_A8XBRCC;TKL(q}E5UdHcC>nkE0_g((C z^HDMJEz2Tq*@wvQK1$NIj_WV|Sd01*--mu8uF%OtzPDr;G@rS3%4S;sw)1(s$>|3z zf_18m7fV}-QGpSiFY}APopl`(=vyi79w+_7=m&+;4&a1EqIs+~52*4lw`peQ@$y)s zYK><$(e}jaH6ZtKwLIewrc>YPIEs|Bxoty$f?-P&^CF6lleUX=3!pfz)WC+-u`afx zlJTNtTQd*xmbeUKNM=CugtJbN5UYts%j_u^cYyC<*M6G&BfX}lTKx1Aj_rGV^G6!# z6{vk8wK-V;FnnfsGavR(=lRXQ$eAh!ZM~aOK-KP(qCht@N9;n&XDAJ?Iwmd~@#-Zb4T=&qGk&1|C7ma`b+1Md|mH(=$IeHKHa zs{3v3`m2sFYied@YS7__WULMQt%>w2_lMH%ZbEDE7`0jbUjpG!Xk$ORE{hn_6+N}s zrUm2<(=|Gr2GzcXWE(c@iaBgVJkV)!aV^d{X}>M8!^Q}J`D@+5yd;Z`i~rN2kVHiN z)Q{Y&wYIt%ACEbIDBYTUh|PcxFe;-?^)EGib2GBn%PFCZT5xxE6HUaM!wiRzqSHc! zM_kiz-cz2?WSq%v$)=)J`@LaCMkL}*R%4kO=;r->vRL52JMSR6qv2(kYT?kRMFYeD z8R~?pVXuWdq**&!^&1er7I?wpAxqZwdcG87<1&)0y)EFk&H`JmT|c!qmlzz{5;|`z zi{85Xv>jrE3PiaIs}ZIIxZ+s02j&yG_GsZr9)JLqYtT&R(I}cI#0h&#Ya2@&8>)te zY6<i!A!fX1+9p_2jnB{6ATT+M4fteeU^(T(@<;r?QG__HHzSe% zFBWpZW{8$*bsl8n<3plB^V5^YNAJGVMD+B8DknQHzwRz%aXr#zL54!A13Q3=k&2my zWA$Sa-0gleBdpYstWjB_-^SL8Jez@==)!NTm>+4biBa&V+{>xkYRN3bAA1Bf|TQS;KCm}cqBXP z9<-QPZ@#qZm|(7uF64a@2YRQO;;Kqbp>Y2Ysc*;E(g$9+cD;JkvU<@&B?yuw;z#z^5WcmANBCZ6X;%VHCVYWRK1mBVq;jXNROUb zBr?(U%XE2+KrMP#dfp{oUVI_jWu8vcPHiTlebI}`&ce65gZj!`M<!-e54t=?}-eLi<22#gG0tIr&sH& zXspc-Svwh}&fs0}nrlrgQLEmlLl{3Z=KjG*0e<%^ z*45>!s3<Q}bU#-OR(>`SktfTqWG^T<^>tq%r$!Q(29mB^$#DVm z>LzJv06$?(_3vipP_!pN6;o3;vVXuhIvSvkz0R$Vk{eNBOrUQ8!|Z+C@F}mTuinNM1R$sda!faa87(?<{ zQ>*zt;R7q1*xj6zm|-SkU@2I;5ZmR^NW_$~LSHl(@O*uBoXK zaCd<5c;wbp4P4UCm6i^{k6G(HW#8TZHn`dp@Ved-9LekL4X(my^~Rgr*$pWyG+JM8 zGJi*cT*JwT8X47>m+$CENE!?5O~fASeY=>-%c4*(C|3RTe+8k%j}Z|_b|J&Y}hOurw$*P4UqeI=TJ zoB5o8jLfQ~rHAhAWlUBl%C*rM8~lCq0Z)lmP>gtUkA1$0ni1*hqQ{jXqX}I{+jC-s z%RR>1D5lZTHR^w9pca?^zY*+z#i>{w5TEXGKrP($vw_w$1wUO_5_zzcRwaTY~y`{|(MUJ=Gl20Fh2(Uzbjex9xM% zq@vY--EL@xQAGWQ8p+B3@klHv&b2hal^U_cT)5Ex@lhG7r$lBMkTOyJY0^Lcb>Qz} zSos5?lDZNGrU39LG(XM!&sY3upt05tcp;}biNB#aLFhZL3YAnY%>QJKYf5Nj=eI!5 zJ|gtfHhAc9JAtT6xBl~$r?kIg5nd0eV10o4+!xSyo;lj%r<(s6`J9S4w)b}l{~7SJ2z1iI9h6Zq(7$y7KSLMq zr|eqyfAmpyco(n?5X>&Az;$8mbdg)45IS~wY#ssMQvT`hSB9}&4C-KZ4TyGAFH|HzerXs-G!2(JiTxjl)X%bJo_2u>~ee2^vtnBlbIw=~eS$@(4dByw& z6jMUF?CE#5!CwrOEy-l(2&;1vB#J9k`Yo*Z%o~&aq<(7b_MafFk%)-K&oub2bw#@W7NWjc9=}hVZse;*|eZVq)Vtl~G zB_#ZrtWU2}k|jDa^oQNRz)Q@P;cdZet>CDrflw^5UoypOgw-EpoZ@=Z-oqsn%`6+^ z#$sw3mFDd|oO1el{-veeUpp90RL?ieera=)DmmOjtKxq*>OU6$6{g1tHiJCX{Swbd z^|JoV^DO^e_a2K?)Rqjr5_L$5z=v`=8VFAswN1LKznX*m({F+PRedS(Yp-5+IQ8zr zY-!QHxF6flSklagGptCm$Ag?2zwkWfv7_>0Z(yd0SEp(0y2dDoJqZ0wSZnk&UZh~= z&$m>;V4ciO-=ng8;ODP7oyDQ8q51xEzR3y~`(mt7dRhR0vhS)&VM*^%jGCxW8ZE}W z`NkFcu$MtnaU6fX5me)^f36LOixHM`@usaLL)bko8{>N+D4i~+uDlH_WG{> z@wf?dewH&*uQZ2v!|o(muUL~I`@tu9ILTOUz8}~SovGSC8{ubd<-hfgU4f~k!E@qi zjmP>ZOx=2Sc?XER0;k-r^Bh*nrg&ajAKADX5<2r%N3G&VtqhM13vS$9nMHNhC{E1; zjqZy4&PmUnt#~I*uKs_FnoSm&&3ZMkdo9DmutugGmpdwtD=HJ&O=IW-Xa5tnITwZT zbga?UDq#=l#_-+=2T?^aB=#-O6SP)_+6>B_oWc1I zO4O1jng*3bQ`CdRSu|u|HC*l+@=>YsoKU+YB5uswthb3?@Vi)5c>?l|mlHt@qY)4L z;%ojE=3KoVh46C+blGGPDe@hO=8(M)-txW<@(bEK4m=X>+pbH~enxz;bi3U)?@!r? zuG+;h3!a_I%t}AJ1S>Yx*^GoEE1t0Ikuv^Xf+RxP1tV4-7#2>SBD`Oe3KItpA*ZIk zuOs3@_Bfc2M7EDb-)ukDuim>&VVRXvOw8ujk)ZA$W>|J6UN-nq=d9t;o+IM`f6sI$ z_(lv(nG+QL^4Pbu$V3R1Djzs=MhhbhR-Jd2vQ83vRGRh+QlX>5q=Q~n_yWr3NRk4% zsszx3SnR;@Kep5q2se|bU8twpQ`I+rm8tX&TZ^Z}f)v)hwT>7&niv@CijP(@r2@_l zFH2g{e40|6w4!x3%=O7DTR)!$iM{P1RhwQUBv@Cn`Oc9v>e!RRxFa`}L!VKs5M#x< zM#tc-*UqIM_FzyXlO8?l#(S#Ay0TGeg6J~Z>4|-xj3p`81oQeyh1w4^EqzbKQ!*zI|G*fvM;6nNQ&tv7|SO5C#`Iw!hKwgWuSzqXWFPl zK}t6vd_Zx31q#`KtF*{dO5>zUYSwyaw?@yYNm*EHF)oWv#&j1$bVDO&Bd-q%UK<>F z1Fku)#S{D2be&8$mz}_+T19umCP_?dt^zsCEgK6X^A@|SC5{#F$5KG+2p-SaliXRf zKjGr_13QRe+n0NSEz_0{lHra2{!F@5hvSTNnce^PcnQS)(VogRYsLKXpmTl7`zlD5 zLy;ums5#oR!>DvQCJq)GXzg0Lq)u7XytGkPD4QEJr=EmGh>Nj_m#Q_3T+f4D%&*t! zvNkQW?f3I@#g;2)p9BKJ!{cBfPIwX_T(Qv1S&)Gh;ZR8uD!X@0DM7NHS@P5aXF(9W0()s`iqAfKpHL(+;ML_1Pd(OwEK8Zw%=b z@j)D*oE0A0Np?&~{M?xJCq@D0qU4Ab3$x>f$M9jnHdIMpS@W>$PJ4hQTA_T}h$jis zbnXib44r5HhmTp*v1(v?*@BI=ZSE7e8O;LK^UHXXpr>b8<1_bjM^TvF%0qD9*UoR> z!T|7Esu{Ru-a9=cJlRcA6q)+!Bi7;J^4r5LEe~_c8GU(DFzw6p!c}=4oa|IxJe&nF z$n0<5JK0IMRT$knsW3v3N!Z(^c|K24UW;UsY2=sS#%7T63y^zbnXcta;mim_sX{rf zM>fCbDA)CFT4rSV7(~y>3<<}nIZ&~p!@(MBuEC~13rxe$2__e~o+C(M-8j11(>=YP z51pPqbZ|TC@ic$53qCjfx)GGyG_%UP$d!Dx$CTzz$19sV&@}Fu&TThlyDON`T=lrd zmh%#*hr=Fzi_pLbs2X}mF)T%mSvg=b^nJKU9WH|Qk+6}w=-{H2HMV*pN$=GP+yVti zjkP(rh?^P-JS|pEpe)sWoem664$Tab_=Z?eR5g3E{nCtr_tdsAV7_;m)OvQS0cH=D z`&_=(xO^u<`fBlz(XZu;xn)PjV|J`tZ#RlOTD^nD8t`&d zOA7D*Jn6pf8EC9g1uF7KikL6KZv3%LKDyV}xiRy2epMNQ`k<$LHjdK}*LHgxPTFy^ zD4oZ)z}wn5Q`7tg9L zMIHyOacWn>%M25LdDr!sm9XYH|H@Thycyy{ksv_!rPKu%ui&eRA2`$=+2)7b_LFyl50mDn=cIYYx_e|w|@v9Q8&TeLZ27i@8 zudC}nk*`u-``H13K&uJg*l&P1WFTFX+ifIu+_LpynE;$IcX|KHftQ|=qBL!-d_MiX zsM2k-qC(pRz0vo@OdD9Wo|1OYTU8G^J2hu{S?jP9Tajc~M%%HkTA}-6u$BNvrM8p% zBOINZ^6iGl$A_8euL~94TZPY_^%1TS{#FQ_nJNS#zB6?N@P2CB(XTI%$CF28gzsiw zWcX^OUz;HDYHsEYljF1EAq0bqY;0Us7w#q`s)(slPR~QI2}zF}wb1;a31u!Fzzn$t zD-bs=YT4u3+Q~fRjAU<&-neCQNz~-J-K2(Sh5wI!OgCBTUGW%=51(TZ&_nF@`J0u9 zuj|-b$UKWYQp>@yK0s&R&HA^((K9ic<_FiE(f6TQ?y)j*k*NX~pKS=oYuj=g`H>7 z_MB>*+Qb9HGl^9t^M;#LS|>c`>c0pesr(knf>YKp*S}88rWxSAUZ zuC$z)pQ(b-+~2TED2n|js0q&)uqa zMBkiG3t#lvv!r!w#R5HFbK_}$cCz!~5TpW95`|r{mBRQPA5O%KB5ot>_ZV%D@ZRq= zQ<+6dl&Oqil=kqTy%l2qSzmwJsn;HwsS?e?qI-Rl?v))CRbpmLxE1Wmku6?50`*~E z#Lt2%^^Kj&A}OVyxH^R1UDM)F-=bp3I?wNCiftefkZ*H^#)6pu(y-o|5Yf~$G2XG4 zWiU9CHQF1Yfs1vlKNUubb0NSG=`kb+)oSwHx>%2 z{6O#@u2#>G19%(F0yt^By3InD(4y6_A9c(E9`d5s)B?Kh@89!i?d9yFR<&~D4R|9I zY{3JPIQd3IDA?IQ(dZWqs2jJWB#0ZmO$tVkipm~sZ^Knm>!>w!X&hE1KHa_qRBj^R zFvO}KZXPS-;R>W9jLptqEGOHCi0%^(Bt{c#TZ+XU+M&5*&TtEixVi z~Eia!Wcr5s*Fc4-}LR?#Ho(O)2#ZaCV?h_r-uKrj-I;7 zFW(i7-aXPeKg#LV2ha7NCNG2vRVz-{4Mbb-#B7Cf*SDr-N`Vz!+M8EfZUSiUe>Kiy z5f-To+cpvqRtPBOY@y@Joeycu_;IJ1>3go!~cQs@CXqtchNnDRiLX#BW+(f%Kp&l79v<>bI~B+0ON(jaEA@z#57*O z?j6=HAX`K1mKYa-GbZvd@3QpYf@#1*futufF*DREce^a7#5opWEWSR1ohk^UN zSdOri5J~>`z+nU!z*eRh2WxfOT31g8%`)FqSBDhvY~Kna5~D+spGofApNo7~pWp4H z4h;17HZaGJ`g%x_Won&wuGQ+0vt5(qrZ~DX$V@QzM}!|ncfR0#nu2s@>jgb}I5jE; zrTtugF`t?u7eT$h7|azPRBX>Cu=tx)WRmPqufx*sNLwIsHN~sh<~t?nGc{0VoU~DB&=SS%Oe^3KI~U=)$G=Q|HS{rK@{zY=%X=?_A(L z@P2o6GflWbL90Qq7Z{s+r0S~*_H>F!5fewudM^cz;P>>J)~*9+t-zP=<(V!me%_yb zabM*eHIzn3iUmX=9AEiK6qt~%+&)?MRJtgCIbRlB6+FPyIPiTPT7?f=j4?qwZAts{ z^wqr>Hy}hk5d(YHOgf~&J=P?6olRh9qd0ttsm6Oml88lW6Ni4m{D?H9Qox&omyxqG zS25;2UVmABrDBFVhVb;a^>?nd&pwDxX9%uuF*$E{JK$DMdluQhfbICoN%~=WJD)rz zX3Qd?eRk?Br+fNAy`w*UW5B=sgaIjVi5RF>g*fkG((E)9)vmQM5Z+;(eNP)E55?vx z(Lt4WUF~prRV6C6roW#S8{hFMS2ij-4MhgO$g@=IX;snN@LcqdB;55BZ=Z} zcxzj#%$iwMWq`^&b6$^%BGPKLbQ?NQO+OzPQa7xIrb@IKS5mWK&gs$iqIy)wJ1ZHf zdD<+2IpX=}XTr+jdoEU92M$EL_kOldeWfAIOvX1yie5STo^v1n9dHQfd>62&RNdfs zw{Xfv^B&DC)05$DznwAlRc!Iztd@_*UZ6*0g{V;!BnDxkxfQlKqTtIIWLP-PYIgL( zX0WS76z+Vmw|_Euh@!JUXm39D`P8?nV~=Ri2eLWkZfL-izfwy;Hig|@wcnWbK8sAh zxM#XCiyZKMCg#?pwjD2ty++)HUVADR!pXdbV};c;X9o`;)xKFFCT zX#)NB$oUM6oCPq5`}k8`YB~#gG&l}h^&NJ4#vGJ8n_%}Han`OPhf3y)J|GC_&(bY` zWfsGHRv(6~nH}8F7sE^PEZtxGRKt8^sspvE_?doDGlC3s(Ti}^*7Wt#Q=L+OaParh z3UV^GgC)!VNPPc3xP~%ee~jq`S8X7h#1D*RCY1uoqC~Mwp9soU%Pqx;zLb^@f9xP< z#n7ZQx^G@DJ(?qoB;#PLDGA79Ctee8d1~ud-vc(bD5U9Y+}vN~81FP{@ispKZRb1) zqmg%Wr~UH2k<@c8jPk+g<+G)F4tJD5TjZ+HNnnO+27ziR+VfRw0)3yBJ$O0R#^m)o zhIIPnur2w4GbBP{t;_Uzy!x&BQr%}f(cccwj^@|RS^^NU4bTI$N&pvWDL#=GuZ}HN zF*MWJ2`=mPaSp_`jQsO9GtDBMZ2IApw5;Nbaej zInZ7d^sX(l>mQsOYU?JMSj&)itaUnG9mH#;nm}H~`_puqzu(@<^7sF==^Xd!Y?qni z+crxK}4o*H*h>ds|c*19y-VtvCRzW8`V@u;~wc76XfK5r}J$4-yhCieqR}h_`ng-!aAVcIee+2r}04) zH$aPMtyhzsyRjFB1=4?9_xYV!OKz4~2$Q{kyWw@!u8eTM_YF=P$SL>nv|G?_bw-3-i!s>O2jA2R-&b^H6e5QTWKwK1JIhG^nTAc^__(`sETtzjZyK`Z za6UpuZ=sUDMsl3DJ;T0@B}h{FaEJ|*zK>q{GSg7Dl3>=E)wRO&iYaFt!=~-i5y)>6|_2tn9cUsH`q4XhIajhGu@IRoX8Nnc} z30bt!gUMM2#%T#SO+_i`zcCl3=EC^Qr6fKd#fIunE6TxYu6n`tZu zx8D-I+R~Ftnf&eUYY)#jE@@&@HRI|VeU}#LpoUiNvl#L!Q(HcG5x+*iz3E53`OUR5 zU!hHCGsSDV){4O+Y+%n#_TEWd66oDyxs+0R>-~0`Gbp;)$KG<9zJEk)lIZ6tG)3kY z2sBv9U#^8a9 zh`!Ppm`CsQb)zhtBFWR?PJtE_NICrPVvXh^wC9c`;Q` zvh)mUnxucG%qt#AKF9iW{ak@aO|svfWYGqrPUiS z!xUn077saOysHYeL!mrziXzbG>&61w?w3QICh2Y~beC3WxFJPHyw`)tF6)KS2Z_K{ z*Vi+d+teJ7Q-!~tTU5WA-dIuA+?+d30cc;5yH9hLgj9xHV|4PdBx80uF*D1+K-$!e z6Kk2DJXW>uQzhBH3vId>7O>|`xFmn9|7_a`r2XN{iQhCMegrUaxj zr}F!Rly0Bh%RM_c5by9rb1fiJnz_C?w;ag#am|Q1T3tS;t7<-?hCLY(ua6z3ys1g7 zfa$vwczk?pWMsnL#^OM8?~9zrPViD%b2Al9ZFxrw^FDWv+v36vp1FJlCd$8_&U2`>zyoNzlULq51ed+dl2R5q*az#3fA)|8{44mUIO zJ!*s<)^0m6C!R-L%c*`Y5!24oNYmWzWB9OjIp}1L8%)o{$d6!(g3OZk_nwVaADyyi zskki(DM;8=*(+5uS!xIpBa<+6&Q@H-VCL4h+U|Jz6ucr#j-3T4{ZeW=&M=?^nIO0B z%3#4Wm-aH`b?@HYE-%QF0+>h^jbk1-kktGNm2eL;l`CvxroLWnc9t!4-2{Wm5h)}I zL}BP8$2S=mwdm6$w<+UM$dVOHUE3_3ZyJD#uCfju@8t82OD0iJ6|uUz)C+5>$tHNX z5f)qm^fV6GARHjFNS#6|T-ps@1RR6gn33Ix9SX06&`@bgG;`8Zpo~wZf%(+sHmW}n zw4NWc0Ye!uWY{A~RY~ESy#Wa{P5V*+NjRoElS0KQRm;-6UJhv#oeh@Q&UDeWgzGS- z#b+?`^Y6R_f7nch0Bv+^Oi|-=l62=i!GsxxTC6i_+_%ons4*;2*9}w5T z$mNLt-19z7zcWzlFFU%VpSLcpv8eA(Sw-{n?5C^gc_Q`>a&|OHq46TnC_%vr+(t=% z+@DQRIgCunO}1FSQU)CpYOXd4s(Q+ORX_)qUI@IC)c=3zddKienCo{48Bb~3ST z+fG(&+qNgRZQHhOTN7Iooi*=%_x`?fuIsEnOZ_yftE;Q-s^T}+)YR-?85ks4QfxcV z?iSRVRw+o3zP*DkFjbjz>&hT|nE%>AF$jZb@wN{kmfRI>bzxq1nuQ+2y!nZtN3B$e z1(q-7^VZOU84Q&jigt8Eff1^8DlQc2kvy zOz)y`!I$mQfdIeO8lfC_=sJ>L6sa5ARyFWLvO{9;Qgn0^W;*p77?iU+uF^x8@13jbyuY8@AW6==4@dY% zxMZCZoO`~3gi|0IqmcecZvmu1$`p z`|BIhgHOkYLfOIIw(RBYH5~HMs^jzf{9iWy*G-`j9|(Nt`R$kw_zO?4A)>QIIkUJy z;EM#}ApXPbfp5CHe*qD!k8$!Wz*`RbUnm~V3N6M0$A68ifBpQle*xPC&j;d9{D3sv z9Si(Mdyl}E3It~S{rjKV&{zH!T!8xGFzk*Gj`w{nHF(6$`xE08;9xGKz!6=m|M+WpuZnTjTy0yk+|n#(cUZDvkJ}cTFUg`%Ka_xcIR9|sIf0lFpT13s z6%Ry~;8Y>Im=8)eK)))viRf(pv8b91=AM*?D@7O|>Uv=qCP$@MsthUK+>F;`Fuv$z z?nd`w(M%d&t~_^|@e3SlX)@J--D8TP*h9GPOE(3XlDUHS!AZGHt@k5~%RaKXW_^Gw zsR|J`hweO$(!;01bviyDHMQF=snuqfqJPvXn*)luTvc9E-(-1nang)CkE5@Yu|j>b z<%+rp3OODiky|<7REhk|LEqU*+eFXE%)Ik{V$)Q6yFH)M=#x_#ij}JVLt@4L=2Hrj)^5HoccD)(q5tY)w5nAwA;?6_~%7d8kVk&4Kai=b%{9E0y-PGvxoF z;T_?>T(V3~0t?Oap?_#x#x6E?`N;~CL(kf*ov#uiyaao}PhpkVrKbx5k<}Bena58) zAwBDx{F0Q)$W0aZvw}0u#a-G-7EC=uK1Ht=M9jtT=Z7_NEf@y2Ns4c-}vw7GrTXyE}ye>)rswrVb^wF8e+KB+N zkQcXhk=FiX?Ot_tLw>}$f+;mx_33t0&^QeKY+uMi>D^zVL;>1N%t4Vh5!+*Q-dcpH zsZa_xPZm&(gTX!Ydk*KR#$%VKOXl@)>!7$50n6^)s`oFtB_~$&}?_9{G&U!8AeZY zIZE|u?2SZWWGtg1!4bUa{Ym47^9C{WJ+LMy^Y)==x4q*Cqa8-xgqo8>ql zE27fUNV*^j3ftn>G=Vu@=4TLws4CCD&#skV`+ar9dv*?6X&Ae$8xzSBDxEV&N)^f1 zpGzAXA2Y{V5BjMsvy+H7Boj4OVVYmxUMYh}2B6y=CC*q&tE;=k#1w_QManwG2W2uB zmvnGabAt>W^NoNBYN#wk{n4nfDv_u#U?8%<_4U1h9io97L;0#lfM9!NV6^q)?Mo zWG*0zQ`7YhVypF)wzNbGg$z8c3u`luMRr+3zFQSiD3_a4A$;=4e$#80Xe_$jiqs=K zLW#F!RnY>mD3YSGB>t}EN#Bz^s{GS_%M&TwJZa){;k30q1E}5lRb2`NfZ&NZR|;2K zD66ZJ5Fo_E);3~zw(T(;rZpu@M7SdV1q!b3K^n5jUuIz%U&^FU(ppzhQI;$25p<&- zc)<=BLRH3Au3EOshx{T$(R-4phwEP&e>NirZ@D?y;Y zoZL@bX>@bFbPATC;t)K%A}=yZA<;RV(%nZa(aW^Q+T0|`FV4tir+Q9A~oV%Buc@VI_~#V1_*hk zz^&qn0-;^0&wM>WXf_?zzP>R+kekcC=$*G(mbnzn8>zGX6E#SOm(6RKK!0R@OXLODkrQ`7mZXbL2>hRXAEq z-fQTL21w&ZA)LymuFLTvN%LrvjA?YERCO5}+SuGSw>eL|uS1?)PD1hyY5N5AttLAL z8UE|;-opz0W{gMa>CxD{?;R?ja4F{%(JXKJV@Cn$$G89?&y-lUKL0UaYsGa0La*JLuM~O69vLlE}8=XlybLp;qKHH%)Juqvdl}XVq5d zo+n+e(|**s9{H~(&67;3H${&mStL+vxuikCjbpkiH{47F>9QTg+_I)kGo@NFZ`m5l zd0TXSF2)LWJ@1{-VIZHqVsY_Q}rTG;7FQez>h5HhIwd<7Dc5o zEYBJKZou%{VXpv4a-2QU(ql4urLDfXg`-Y0Gi=ISoT0#pxX~XU)jsI>+-~KF7p=>z z+#;*0rRCRt7{bEdWfw`c{D^@DOVUK?)`Ye4zu9Pzn7c5r_;|YU=qE|PczYmGsW_KE z<6i!5^*_KSt#EDMII@6@OjG>)=HugV7y!&qPDN!{m(DS&_j-H*imu5&WfA3ern=89 zx^c9O63o*-ENjMS5kgSV!h$k!>VhZdsD5R};G4rq@}j zo#S3a#VMdkt|$hk^=GO=-&Ib)8R}(%5J7WeEQS^i_-`m^qP0{mB~2)e(q30739Qs$ zS!2-mpP=K%4G#k-q8jTX`s`VP6AMdyKJ-X*+AM#;@YfX(+J*X!xLmU=KZ`qqetQ@n zkDO4ZKbH*!YGnJ|X1=~tpd+rP96k4w^-n-yKC9Z7DgzXUGZ88KYaUSq;WOsane&WUVdUplP%m`KW)C1 zwSLW30nf`hXUUA?!(+B*bDqw9tU|_mzn4~T15!~oev8FyEFuyfvyRUChWFX} zE%S>37pFy@s2B6krYyp|r9TkC^KNrrHUVGyG?c31aN0eo=laf$?)z2Os0(RX8UN0T#M*OspZ0v86}^I| zr^+eSbtl9R@;D97dOfel1D}J|-MlP`OnF<(Foy=5zl_J6NUl)WS{=!+O4S^g*ZRL_ zzKlm;G|B6dDhGVA@!c%VUjFQGTJDvo6QeL(T3WDs@iev=96RCF3iopShSC3I1~ zxgy5_a5jD06T-Fba6jROg8UX>PP^nYYdGd4QT}GGJd- zZ=tfpA#(MXj$rvN3sRi@4!BIo5;eb=*i5!QV1eL<$8Z5Ql6K}RXHt4ZgRJZI8hqe7 zQ0)279Zk3An`>wo%9e$$fRmjaP>mLJ5duyIz>Ivf<$|*&j(3W3en%YRq>O+Q8Dy3C zgV$5z|JB1GzVztGffRvN)nOn$ygSb=Bw|wKVx!*d@MryKKYRrXQ%%p4N<5b1rs1t) zJqF{Xvt|yHawRj~-G>iPx;4u-V`~}JdEDsjCMTK{u8n<5fFM`bpncM!Wj_5rAk#6n z$CqAy@eMCRkzCGWy-l968GE(a`=%pxKRCsjZHatdX`mew;qyFuJ0iuTvnz079JbY3 z-*;KR?IA*}+#b)GF^nH5ktNIg)h8z%@_N6V%zTe8l2L1W7qjR7U@8x-#o_is0{8ha z74X)oKT2Tz3Oa*TgXiS5-cjj7AJGOxA6Ph3=Kr)DXx^^a?(~_y+f z6AsOa#9!Yd#^)K4qfR~=>a5Z3yn6fMP%Qg4rk_lWERSRzKnd`~4gl1uXZg{Ggd9t^ zqk``VP!Aqg9$u!ip6RQyR}(wmsvIS9T`Mb>5Jlh^8*JQ-p!<3+4AgH%zJY&^Ex=4= zvF}$8F8Qi@*)8RArXb z<0}vE6?#|x;zNzUhsJs|)^;^Kx#FSwdAaHFc&>RjnN!M+%>P!I$*7_OmSt`$+;v4~ z=HHu^&q7=vlY$3rZYE)u(RmJ{7}h^_&qY7(dB|cx7(S`uMgdnv+JONBJ{`gO9`war zzA)De#UhArv@EhYgU4)kNGDHHH@GTmHzP;&Mf3vlXyvMAxkULWjAo0Ii1#HNqJ zH6`%W*v0c@&uu(;0)ypuzho?(b%RE&J`m07-I{8r3IF9SL*o{#_(WE{>($iQs~sxa zK{c3i>HX67&t_O7=)A7I_P+7HyxRK?4f_7%VjvGsJoalVbj(I|dOKo~V zPNY@-%E=;yS9>hOfzF7E>8Y13;Rp5`n`1>ljhNrSc!HoNflfmycYAc22M;4l+V_P~ z*wY=yk1X*Q#^mY{lE|h_f?gcO9c?j5Kt8gF_WfkBY2|z`ph*g*n1eczjqrI{=Yj(S-f0T;)y&p!s25`F($g8XaV3SEDY78IF07185b!F zC}^;0Z8@ZhwgC)-qF2Ko5J2c^q?3d59t|WZAl$gR8GI4m3hFE07aeM3 zl1D%EAZ$f(VA5T-%OnKtQgf;J-!0pYhZxTlAmEa_?uo*hX~rM0NHhUZXO?9h?&i}i z;*0`eBhbq}Sxhd_7vjcnpVz|%) zJFSsNq#KO75M;l5rJ@b$QE?3G)B!ShO$Rxg8%Qx-_&X~7$|icQq#N8EgD@Gn+Vq`^ z4{ehZqkp>Hjdt=w8@-U=i$a^$D{P#QnTRsbm{8(fs!|#0%K!;pE}ug2Rw&e&`t--w zae6Gd%wEWp0Ax#px;J!{AW8d1sQreeZ7v_fz5;UA#-lY+G5}e&=!QPa`8+|<2{oND z0Ljt(=0F%LKtS-u;R&%NIc|KfxhkD&in|$p{&Z^%;`Jn+RemwLp*E@kI^vs!3XPv;3M@npzh$u z#mKuw7YUJwh)&Ri^|DOs!YD7J&|{+XllvSg4FINgJ#oB-fl{>G2I*JVLxXDQqmuHH z46wo_m^Ca@dl$5Bg4`;mX4O0w&)BzHF+60Jt7w0KuNK~=mG~-u45(^`_N58k%z6oi zW!>ydEsCgQd zC|6WULSY0dF*HKchftG*0CByXgWh)$l`1!4iNDvZ%gta}IfY3dc)w`j-v4zC>qZ2X z15LMHNW-CI<_4&5)3_%|=vLXIJ0jxE=vso6q;GxMi*LGa^-qSIdm14Yh}KFLEmkF^ z=79mmZ<3KdnF3R_#eNA>$o;mEj65$? zcH~&h-%m1}+$>3?V6W&!DA`AafXOsf<2w@1ucYEDigwj| zQw!-?dyU7gSG!AU-^G2EY+Q>PE>?BK#?qWd{0^Jf)g4lUz&OS^6_I(>8zrnHvgXD8 z*7FDKLK94fzciJ1P^c+k)!W;AMK+eHK&TQRZHL3WgC5S<5GfC?i|IE0xr8@jiP<29NEE4`ci7-x+xZXa*$Ys$@MN$;r+yC{S&UPvf+By zmD^R;6Yy|;+2TIlsDI)Hq6IoVuBhpdiWW=i>J4B_>Q<6-meHT)a69N_HgM{<_ zDTWZ|pc$IzusC)WsSX=c0E~pQV&Lr=u3m3krn6X<_K9gQ#oq6{nOfZ7Z>z{yyxeG6 z12HB%k@u!QGh}D7+-B7Zm7MCIu(YUhLxk^X)V@K@swG2`g3;uB2`L3evdBQTq_vcV zrMD2)@{xF0gV&@f9;nIg@=h|zyOFCp+2!Cx8ONAjZia2{D!H(G7^OX&11U0~iTckfEU5C(9*&YTiB=q)z`J5Z7qmoB#CT& ztb1KqS>V%&E;QkoGuhfYYkG_0uT{?sgY*DJ(SJXtzUvmXI$5B-+|1zY@725EsS=>| ze$~yW43V!j4!mg6k&YDb7eb7#s>!JDuJ9v3WtwzAxBsl{DA#ZNMV0q9fUl{2)!SuZq%D5lx2y9;u#Uz(+o@p=y*AWWsQ=gwoOluK(%pWgunBq?>0|yE4_3|2pKe0v`~R9 z7iUbuD?#(MCD@z3$mDXYXskaoOlGIW6yh+OH+Gqy-JhW4bzQu~mmpsP&#K}CkMA8D zsvgxeGhy#t*qWLe(i;j}R@SUkuMC7vK%Jz+&@(o%U^JK)hl*}BseKrcPns{LT5DPH zI*JP4fuk%uq^85;ne98`cYY`@Z{H}!1s%^68Dpy-^LVQXMTkN`ow8m=3g0t+^R}UgKgRBEhc+%ayXI^Mdxe32YiYeSFTKB2Mv72uK+sQIBnSCgD7FG;i?*YTBhc9OEa?Yz(DqTp+p% znKt$Xzd=?ZiKb|@Ox<2@o-z)4feV(0fG%EvMW8KF?8#Q+{YmBz_d*O+Zp--Xu(U5C zV{Z@4BX72trJE~)H4Ci{EnPNCfs3udd|%y7we6+!)du;`=2=XXq94}ZJqAj2jYxQ{ zhD_;t*X5n*d??ZL<$&T|y*C9`BoY`%YNmLI*?I@5DH7DOy7R%($&A$n4$}ZDT@l_H zv=T6BT~=zTdpm}Qh{wUw^$VICRAHuLWz|u|l|{a)N9(B8h#0cB&y-n#>g0|_KXp?thI_da`oH})gXl`q4{C3SSQKEbQk-`l2apx~#LXN8{ciY6 z-nY9TW>!;6d2!;%@2ONFcWJb&Sf-{cdA?%4WQT+VsbNW3MR}PeT#x_9n5wwZ_nGt( zDos_M1bYM$VeFJBgd^$GnokO3h~&|o>%rhdx<>QTn(s&DtK2B63@K^aS2%agmvt32Gv!kmzt{ zh464`+K#C`UKl*cSV~-P*-Y4yW8zh1d!V4GmVwHQBL4Pl=e}o-g`tn`E)fhBagV;F zetu>m*bqc&z=V+}vea)(p&ddcLTU6j}psG3~eqCzc-+^vo2@VaZ zqEtysYcPa3wneJy*TQHiCG{gUq38BFq-frbim*|zQK(^GFjSdsu8<5)u|Kk{s_oZc z7P(}m0oaxMBY>ZtkzQepu!UaDuDjo87F&Y|+v5-_f~rUEpzb&TS3!bCcsO<{(RFCdmI^E=3(AFphSI90 z*dgD0rS!kl;tLT2^5eI}PCXgG)pmGzxHtc^A4k^Jp8t^UQ^BYhL?^cxB6vBAruU-I zEL$j}zL|j$&xFBjZbY1A>ibCo#?xV>!4l!{s@qgeQ=Mkl6UBrD=zOpxT|E!ZvavxV zCx_2rt!ZUi`;g!x34U zN5v(YRZ|O2mZp@t+O~IHvwN7V_q&1;XDo{zj^a(dgh-Sm#G1Dg8=3hd<3wbu`>fxV zB}Vz%DA3I9kWj!8bwT-6m#FG(N(GFad!hv;%IXY9DET|XaLKwZ?1qL*ep)*udiq)M1Nm;d@TrYQ}6G-4YrwFJ>XYbZ{S_dIB3 z$+rpvK2}c219yg8BERX zOx^yuV@53tK9u7H*SajkFC!W0KfQ_sZ!(RJesJie;b1UDGtEf(Y>Mj_lb39Y7tm`T zPh2z<@w*@;KlLz_GrLf#m>8S$NZhYiky012zZDNn;J9%{&D-9tBY>(Kb>MQ)7?3q~ zV&H^4UF!Rek@W==g0{1?lic+jx#%5#lvDrkS6^I=8CvnR&RCboIkgJ0aU5mo} zB>0yC=zcs?g`v0?(oGacdnwjfI>UO6mc-bWQBsVJQ>wr0Mcp$PJE*Cd;lyv^<{!@d z2E$-JhK8syswwT|bmwUmdUn(8R z@0pz>L=DF5<){*vSSd~zkWr09PHsBKuk=|WXb?iyR9e^~v)*L&GP z7yzTfPpZjD8j1kD&^2d+xvRrb|KXR1uRDp452^;z{~im`1iruoI!+a}B!5W_#Lv4- zbvFtDcBn`7mrVWdH-pPt)iYLEkm?4T59EDN;4pk4ytg6bYQ6n%nNUL^VtSSdXM9l3 zu3mTjMWg@jzJGO=E9jWUj0;w z0OS|G$`-*&?aG%GgBLb19Tv2Sv~qC$Nf_YYvq4}Tv@(`A2R&Mk%@^ML0!IdZK>KZQi5rNx>c`^GRYqvPJ=LF(ecy6L&{{xV7Q%Cek51idZgNc1+|zmt@H^=Dgc(b)dl@_ z^#8Ls)xyBV;kzg@Xb1M4?%T6E&|`uG>j?gJcbafmiiIA7Q$O#j@C!`hQL`8to$1(n z(fUQ}jYRSC;~{RfQfWF&b_l5vMb51ZfBt+?&^b1v+tS6W_@rm-Eh{uxkUBxNI>+SW z(wT8*m)p|zu(lM%@>yHLc4?VkL+R`ZWtR3^v(NkfWo_ zVc)bFfVTH`+=koopk5pT=yn)}EG=9%i$FvwlrSJe>If-~d<`*Se+}*lo(*4)fl8>p z9Qd(ZIE+-{I@bB1g({c`Lnd1ez#5kqlu>?lPUiwIg^vt~9(w7YsOGB9QD|b#nN(J- z^lt$@q^p>*ApVftpeB({*>wn=Ior;iX}B?SwbyUi_oSZzt#A0z;ZG3SORFx;{zm~- zl`ZswwDNsbX2g)8REdHxA#O+tjdFcJA!;e6iyQRgKl}dgCg`F9?g=FocyJ(PgD3SY zD_0n^ZZ8CwlxowiAL?J>r4E;hwHI5wo(Wk>KLG_krh( z1yZzoLORNp`TgVzQb^@%bmHs))Rj(hv=>+F3}vvZJke@omUQW%APNzt04|kwLIr zIepjoPx3=gcWvqw$q0}K%sBiU6LYFNt^49-KVp2pYMGrg3cwvFq!gPFbF<;v!RQn0 zO5^mD*XMi+q(djm1x%h@nF?E)D~%?aBeCoFo|>T4F&dqrd*$^x1tMef30k%GMwi!O z4Db8HNT+6}cFo4-si7r3TeW{GlWl47*&FP2fF(^>BQsW)<7?F&sm%FfdrWG4*O1q< z?y&H(jVNoBYSSO7dqRhq6na|C?1CM$@E7CRIu6xbkLo;vWxBVH`#a) zlR~k)#S1enmDI$X#2A_6b#$DMYXP9x$IdGKUBD+%yLEZoeEMQpmu0DZ z)uLF2A@jV&^`)&mch;oI^<$atP7#zfJbh()$|}XOJ$%99=c9+lB==XA)%EscH%nN2 zC17G*!Unt~1wn?p3u?OogP+v1E&L@y#{KG=fum5IigUn1zO-;4>s-~tkI5^nx z2*RUsb#@GM2HRAbp~v7ou#)Xlm)e+I91N;E=&)&-trWJMe5kVL%fWUZqW$= z*-XG(yz+Y`8M$k5{8%%cQ;XKjhgzZ+p1am5Zjcs9B=XsVU3HoIR3te~f~nRU(O2``&9vz?>a1qgtcIdPL@`_S zv}uGlDollAb_2K;HBs zCdr0dhH1QspW%G&ieV39;pW_{Op6;#*KR08?LLMl_tgC6nNidGzjiY>dtArJ@6EfS zH%D+-6?e_zkXy_u?pVfNRr)t~xSXf5G>~CByN+gWW@*9$gFXJt!)jn_KJ_aKk(Ok$ z4({%Z?kgTsRqtEu1o`?78)5nLL0n;$?k}GY}AT#_LRSAma1QMWl8Gayk^q`7Ry?H(qt+vW8I3v?sE@z0!S@o>BK_cDGQGaIWXZ5JlYEik_^zt}Qp zw5F*IbcF=RS+6x`0_HK41QIv>u2;Wx8?r%;@t3}Mh5&tK9UV@Sp0pZY z?z2!P$C9!V`0W5W-brgIRStNr)Wf$Q)pkqMn1jH$<9gT@3;flk>s5Z_c7E{}ot9}b z;0i7A5|>I|ycY3Szer9SY9AP@)9!Z+AE(TXGr6s zqGc=hUhjCjKCck{ceS@!{A86XYPtmV^#z0ma8lCp^3!4Nlr}oCKyPZA34gEvgGvJt zetI}P)_x-Sy;W1>+g9OaRudwdl!2F9?K#T!S;8bWUsI~(Gv3%*Q&*847_ZkVivvYy z3lp`we|)>8Q`0X=-B}pm8E3+ja-#5_+Z1)gsIZ<{$yJ5cla#t|qy$Zl-;#5Pt|_l~ zp=x;5%NsAuIdkG-zqm+GxR)>(wD%S~$jqSx<-aK<&PgQ@V`rAt*KTG?4$^^b`GGqe zi>bYVrHI8dU0t8joGw-|A?c+h!g^`Ee84L{5_IHXewS^O ztZYo82`dQ}&HOvH%N-T<+c(=+{<4LfCE`kDmz)SvDVv#?%4L+= zazAqSo^f2{@{{vTdlWdUMQ-*+{W(wG{_4azp=1_mHTNSkLGzr@!32{1a$0zcuF%ll zAqw>~W4GVdU7)o`D_o@0C@PKayA!W$8u?C&J29g^G?J)zDYU6>nq3Q!;l3`LwN*w}-uOZ3X`L z&@+?Zd(dC!MK;;#rrjpVIYKt)1omw)o7^HaJl}G)X|*}$N1u&BkS$K$1qn0Ipi9^b z?{UJEWv?Hrv$;JUrP?9W*&rrtiP5fCD}#GNZm@|;mCjm-?cy(tmG2TWkGe6x>DI*l z=L!WB!XUx2OH_AT5s~!`4EXXexjiE7nMp{X_DZe!i$PcbsNsv`c~(euwrm;Jw@p^r zGDvR5FS7d^Rl{9SLFRB2dPR%f=|qf)?pBq<)BPsZkDDI4(cz1(=_hxr723@fPu3>$ zI;fd}U13>?7z`77k@B79M8Yhe7Mco-Kz}6oFckGXmJIIV-71Xn21hTIC*ZVBYh3U$1ps zUT3{CT8XIAa+6rtYJA>eRubAAE99kr_S+qxH^A*6)P8JW|JI(0q8pxySRlft`ln#`3c5-Jayi z!{zPrcsPIle2!la!*B695W>Ua7`T@blX`nEsrPGEU;Gp3F#gG7_b0!=5K{w;MYdlt zq^|bQWMgLMF>Xe#|LbrFT)OQUf8T|oXt2-IXCZ(6G4%}oUQfQgSY&> zsDO)ZM6MthYrFa3XgIMd(3)!z$xl)`fpJBFfTaG8t7X5pEpu*v;0yQbhK)NvWmu4oHE9xO@*iUeW+2OPbA< zwEgUasWJLjM*xrX>^KPH1@2nG>xu4gwZ#n;fC~)Lk8}s}i{G;uq^dhdRqvxPEG(?) zVFmtELbCL5b$o0rf;-Z>HRt($g-^Eq26YNH9zIwsk!<*db3B=jZbH9qsfRe|_3sJL7mv3O|mO{4npv+N;FfiUqLZ&#?L| z-O!%YgJnvw_l!L4@#7en0n0QShf3zs2G$#wjJx(Jsb9LxnKs7y;>(jKQdxZtox3;G zQCGwh&Ebo?bg$aj9XgLQ+^*?v-$qN4jWAOZRYqXg8k`?9*xReLquX4MEOp}qz!f1W zVGXP+)7U*O-(HFh6pYm2SJj;?6PuqXvh9OM=v3$yz@bB5liz5CjQQ9pTCXo(wCYW! zxxLxBPTM{o27X40*l&J{31|~GstmBX>1x=MV-P4P}T;I165kW%)^V77-fEk z!h9@Rr`_6w8xNuD&JWCLFkItUW>XAc<}-iWo(bD)^gUF}!M z`kSmqy~8IC4{mp^ssqFRX|z6f4qcJDpFc_f~{cmo+K6 zO}1AzYXG%lR;e*sfbinM{)Pum- z`=?*FXt35V+kzYA8gZI+Rm+RO)k2mOn`lGISX<)IRqg_3W39-Y68!4D*p(oe*_P0E zNa2+!B3<&Q7-{7j{dz3xy}LBdm0|m}^dxLoV1*lK3(ZaI?K)8fBZH;;Jy^!{B`Y^z z&W142Ea6vwUv?&|cLtT^%nK9-SHHjZ^OcS(l);2ysfznqal){XoVpV9U^Y^i!)vkG zA>*Z3LnBPHCAwEz&zR%CT6eB}M1pCA{CjcVNhiE+MW*Ds_mh^9VM&oIMc}u|Eh-8g zHSCK~o+)e90>T?rpNpEMGWQ$8H$=0gOlRU>u8_}!mX&}4^g=g7eZxeNd|I+j;*LHK z8Zsp-sbj+-ObUD)Odr=>cS@&ebFD}+>`ArY!~`k>9L~f@Cym2f$qP}vU~`H~ZLKzB z{VC>pEoq!NT%m8c)jTp*dZ0ObGu?*%FV+PCNV7X^jrs$Tvb%W;S3AAhRM~I*(>3T8 ziNiK$u`pqM!|YXHRv2|&Q+fHa5g0m9R`oU8kRh>1UDMW!ZUzUHR`Vw3t?yNa@IHn8 zF$T3SR3rg1CN-*+p5p03hfK?m=P9gae=ZUH;9H5{SDV*SD7$Ofxc2zLn=KT@wGD+# zxwlKAw-*C6#Bx57p2NZ((mg=X5x#EdtimVC{-o7NS>>iZ#)N!mb}MmJ`mfj%(*r_u zje&Aj{b;FILy0#AwToT@#lnijl_?MjVLa${udKC~a>aI~*khar(k zwEietL{1l_tjK5-A!tu%WT3oI7{$muX_<>mS$$jEIV$z7N5a|fFbB_h+f^wc9;w0L zyXNIW_&O-GuRA+XSZt+)eTl@7cP;L`2(CK~OV}8~y6G5{d==A}n0{{V7a%?4XA_9;Y+Q7#TJBNOb$F zyK*mTU6{e%p5!+$cJpbxUoYI_E}(jm`1W*=FM%Uz+s_ zI}XlA(||~5Fi;_?Om(TT8pO<7wAnxP1f+jv5rp&bUdFZ8$5&S7_d8bK#g@_~(nCZ> zco__Hpqa=fW7JP=iN|48roqVX>@Kp%Ou;%|b~`;(TrF9|H0`O448_Y2l;(ruIpm2Cau zn4}5@)W-fRJEcN<8Vz!M0|r7i#=?Tl+6?s)rp8<){H?UN!kIhRpl!LqJ*N-x7cX zWTTNSVgEN5z7RZ&z^c72Pz^YM&;23(d!cNvP_0m)_~Xo3WN)@O)U81Oc*Wq2+XC|g&|A1xM5ugW zB3Wo&E?;~q&`78~&)g;XGj73r3uVy?&0F~2^`P7N3hY<1m#rl-uwP!p*Nw>K3gY(G zcuyMLy~8G(ZTZF3tapa$${_`A+nqY43U$?)oYzFn{P`a_L#DJKLNQ7dvEMJYd3SkB zlv|}J-l>ed1Q`Da0vgk(CPUFqTn(R)O7IgQUT#rZNdq?=lP8Sh z)Y;5n6ljR1g=4S?7y>t(vJ1k=xWDcxP`w@m1lSt_5&31;ow0`bzXDybY)h4s*y3p7&=iTyhBGds*0t8^pKZ|oCl~4=Pap98+8Fz&I;>dK_6#Zvc{J1z=+(8UYh4&2F;+nnhc>RF;&v z+?%YA-Sw^Y>nxs-vnomgxNU;CZIXXPV=dlCyDdp9_;H)~Av)~Ed2uB@U#Ny!%brDJx<8@!CM+mH*V!NJ3C7(uy zAq(;p7l-kw7bCPBlbVo_=L2 z_sE_MPk?!9nbBp-jmdtv6K0SQ$rn3vS4j6U7Se-t1F~n)lPcBIP;Gw4)j|7RCE57re(-Ou>#vI@zTID ztx|IbqTqNaW7(>hguX@rxokNVEm(4r5=>@>x%rR?8+lN2gooJn zNqDJZ77jAOr)^)zG6damNSn8bD&Iy9NR%ozp?6*WL#^mJKz{Vts1b4Um-8e;bXDmy zx5vsC&zn8CpCGt|Fy0hKo-A`GU9z1r8vRZQdI+q;-yNcowa~!wh_8(bsqRiFcfvH9 zSC@QR$7rm%u8q-Zv6^L~bQu56f_jWwP|l3`OgRmc%{@7=F|_76_eM${y7fr-ac%QS zKRdRo>DY!EOsK$LIv*-qsoi$=|FHFzVR0@=!*D_%Xn^2OAh^4G@Zj$58r%j5kOa5j z?hn_D%NeIlJGx&jml2q3^!Cq|3Ui>i1pEXG^WLl$2rZ=UQ#p6kS;Z zhLjT{Q%7r|j=Swal?;QM?PV~&?ahhyA;CwK|dxLZSvkIa7MtB)nTN{jbPq$)kgR;yg%C4sV7geH#x>tc=I~>HbVH znQhD~=R9aWar}yRE=eFwv^rD+pEf?GhjJ5yX=XtGYw{;HZ+@3drGyx*VN96O8Q?jP zs3rAr#a236)l4Yv{7{fkVcNVlMc^^;L;hxESN9fm_uhS(MVT-||`ehj;qh^B(q=e6 zElGbWQ+`pdZb0%dCZpCiQDLSKjEWg0R0g{n2O0jQb&OV_=kW8)5392@6sJueUj-g- z1Zn_vomz*yJX$BAb?Gf=zdn57jrrQQ!O6+Vnj%-B(7BYhtEyBIvOgCzBsNhZa%sN8 zFKm(fnM76j;Ifpz^Gbzs`*e0IF(cU; zgZ`ye`-XkbZ{pvIjq@qe$IY&nbhJbkY+HAf51p2M8`r5n&o&@x^7X zLh+e9e`Tyl*U$@cY^-Vj!WF9>r_5Q6W|rTanti{QAg6T zK)Iud^MNzba48PsxXr`}N)4{^a!`W5AG> zq>vO*@pr*icI1^d2rTTM#%M!>b`V!AzZra@Nf7_^CRR`G%QtaRE@N;S4K_O8yVzuD zo?}WW2g;}d3>(fX&2$O5MUnZ#kJN|M&}1}x6!!$o4sL`Uqd;nMH43Lgx;3ZJVlzy6 zMsaseG7OCC&mj^y;>2l2T$qz}nEa{;f}#wIQg#4obxmb?t+EewR2T$|({$eh$AF;+ zb)kEji0@^lwKQq7d_st-5|Vv_R(~UABzj_fikKBIYm_EJp&a6l_4$dAfI-2PGo#|q zcS=zxOO7Qg)zWMJL%u<0JKx4!@BneI*oz}7H5Tx@tKsKIaQzIbH?iqV#5u-`sF zkGq_68&VPmFWZZHjBFUaT)n%+9S77^%cJ=l&E_HWbS)&!syX;KsEp@&{_?;gFEmzU z1>4nx-e`QjM`@AW;e#2+mBxJz_wJ73$Ib9TeMknEg>s1+U1Vm0uVX}l3;t&~lKi71 zayRb|67D8qQRZx|1f5ZO9~S4KDH9Sh3k^5}fok{VMQf(KU^!<;@=NO(U-@)yBscGR z!IIeo2T0zD8QbORSCHC)XhcoYZRAr@{}$i(N9W{4i(tUgn-od<9gg2e?!R5?)dX&5 z3A6)8%x3!SJ^I5$8Zn)DE-(TZ9#a4tybU++?_wCw84C5}2kv0J*gqTSk$WuAw#7g% z8f}sXG>4Konhda4Zf-#O^XSaIGaeP{0R!?43iP-Wn+gkC<;l(&KTAFr#C(R@8xowm3B;-{phuXRn^R#Z>aPqN1=VS04xLfZoXYhPab90!SmjfkG zoS_K3c_n|Iv9oDF=B&buh8Bk=NRBIN(9#SRc^-W0)u41;EAGdsOp;C$-5(de7V?3b zRi4m5nED6w_;{>On%8fhoMsos#+0KS){k=_+bg;TQi6I(nlGwzOMWuND1A~**w3BC#4Nl=LP(GWHiONtC!W@1 zTi*7jm$>cEvL6&Zd^NGp31FX?{*^4A4G(UR!L5eC?Z6FMUpEMxIJVkKwDU?nPeo=juHZ#T zemjY3+_vDVK;?mWLMJ7jg$RwaS(8qkw!jIE(qJY(|L1)PMLzDEf)U(&eD#U-I+dc9 z30DGa+9Xwf?+gxdH9kgZI%R3ZhIoo%MIL2%Md>;U>71~Ix_ng!>1jIJQpx=absf6I zPKHtR4=FK>SF=?8_6af0B@^*QKlhR-%_T63d?#@5TIdo=rYA0N^B2|&=xX9;$n^ng zjbtjF39{G~Xn~;8cVCpQdQ_^0Cu|xnts`7zL(~es9*EOfCCr0j&0HwUCkhtC@)thk z<6=+(=tv_>66tgc%HRuR+*A_IR^Lgi!~fe^faV0e;*;n#ir;;b0@v17mKnSo_$~b+ z;z1&Zes^~_cSJ@{e{i%=2UR80)6=JjmTPak_>XJ^>%ICvZCui3m^{?}qV>NptG!ZW zzy5Ze+PZ13cR0vas&Gvw#K6JFCd5UztD>Z!4>SM{$VPY@%+A^}MTo<+!NU+cV{_yw z7X?;-BjtqslD>PIVU_hzTmE9)nNd)*!goC6J{zmAM?GdU4`5kNA}uimYeA2UPcaaQ zf_hBA#fqYIm09x9Q*bU0T{zN;e>dasBemdFi z@JFoRUrQE&t_V!LwtaE0mq?9)Uc%V}Aj)^L!@4uFwtE$Kw{RJ@#D8enq2UrTy07hq`?;%jp_g?-=y z3sHIkhtK1(HF_{o;;$i)%cO&Hf{tF<@&QFa$}lee{F1>j_k|%jCb&fW`l0*%FY>gE zb=TAoqwvMru;~1-DWq6Eh7!{-Sd;f;SoY_*8U0wa=2JO?l+aEN;z{RgjKMSy1y;A- zXN0N636v)1;z(loSYZIvu-fiWto-8dRO<-hvSQr@p+t~yCiBos+3`q1@}>{58ni#1 z#o6n^J%q7;$-F0fKg}+Yt`WO|G#)xbPDxAtJr~@SXr7YAnD0!^I*iMg z()sEkk4z!gRAH9{ELmX)DbiH$on~|27KX%!Pkr0%5F9u2f1iZTnHV}$7h=FxT(UwD z)=P{r4y)#Eh5gu3yi|LjMNW=Sjw|dWRui&YAK0409tj`#m;2QA24;*q=#{$+LiUMB z<27jyQVS1@7c9Kt(^sqSWmGhf^K<82dk8*^oye<()U-6@Oh3cMg_rZFwuZ>Gx}xcb zCl7B(0XpRSN)%3DaF)j6qWC193I{gT_eI&<=I7h1I)|kVbW%3*8wO0%nD#o+b{b9o z!xG6-8?|3Yd7QLKz1Q3}ZEM1d{fX&A9R2%MRS#i~#$zPsWkWbZV;2SooGA#vf_=0-+feHtPtNBqcOF{Hb?xsRBrs2SJVd*JfRYSl!{-mt%hIT7mYlp)%x#8vz zE?huga<)P9tVZz-mlnfiuysI=AlvI<$IL6{j|`}9m%{lQE>|Qy)hfhug`g4k_BdT@B3f6x*l_gkwlzOnnmKD$IL9Sg<9FEL z&sx{4y37AY>XamG^U?pB^||w+IYsw&VdKu3WW`O;e-r0<>J0!*xOKzec@Gz0K1lt>LSaGQ;kZ>bM&+#t#wxdJDJfN zvGmfrQ7HwddE7LY6M))- z1W}IxX4I4@8+P(@pj-V&vS~Yd<6sdUy)*0hxoRObQL`fu97;NZhTT#9`E(t5*|oz; z18)FHq0<*3ri^k!Th>c3a?pOTbImyoxKe1gS?64@1QR@n>BI}ioGC6LNtJI7{w_w6 z#B9fy&Sx=EvxyNK!;E!K?=ndO{qK^Zr|zc>QC=dt&&O4L%F4=!DGjbPfuoLqG<;&> z1brPu$2F998({^E3x)MWD88vs|AvmPvA9u!X4Qp90JZ9DuWL8CZ2bBBWL*B3 z$2pse#6Fx=yAG0brwrnA#)-^GA9Sz)FN~6=^;xtHn5`&U&eT+}uc$QCyShuF^3x?` zB==Z2%>tOx_|6#BQqb=zLxy+iM6tfi&NUpu?;U!-)%|T*rv~0oox547pvSY*U|+B| zJ-7&i?Cc!|JI=~8ln@nTr^aixM*;eotSm?cdY4_Z!emCxSNx~1aj$-681&;*%u)8J z$qmuF%OfZJKq9LBIyk~nU0R%20={WCc1by`7ISBON)woCA?4`(*FEl-cJ5EF_$<(~ zkzp^)2ny(TAHgg4lO=O;r5mVntWGazI4vnnR_462M3AEY$(B0 zjHt=HDyb0o$VpojRWQRX|KJDk^aC0&>j^m&A9X(MHFzl}`Mz9cy;w$#u0^Cjo(5gO z^WP&(wMbi-u8lI4AIXDlCP{-Pn=!eh6?(6H#oi zsRnkQ;7(C4hO9~uG&=?AVm`+B+kS1Dhk4Bxbq1bDLb!FdFHUmn3XFP z@)RSb*Gj@C^PKfrhD5N{TOf98Wpd0i9-f}x5)N9Q;7lf>1mO1~I9$O}L}Sblr3Ak; zCZod)tebL#Z#^bJ)0ohc&;Fsc^f9Vs1s;2#OMVjmMMUR-(_v zf{teQICy+wespAW6=R^VEn==DI`d};G3_aBgpzR zy$oR?C~Z#dT^rjZC&){+XiRiJri>iS-T%H{>|U!vSo&jfgrl}O;rf`4rFwQ&XJ6%B zgoOii;C3G{Rge59B>*a3M1;v-5wj-~V!r(n@D_d#DdW>F<@Ki?B)>Kzi&7x)XfF6f z31mbb&;jDj5OZ)~La~KITZ57sLjg6#3ODH)Cov>Du*~@SLwM77J0%dk{1CH1X(4$J zXYB^O#HO-_4&_C`+)dPXlRQo4nkwC0eXvcxlt++D+KP;Y%5P61w~xo_IVHZGl)Vd< zYoQHGvR&oUt7+6mY9Qi2Qf2j(U3GEi0((7ihdiQ#{Fk7>g(=5(*+k7~`8p32+NFx# z3A&R5_X%P~hc?#Mw2J~pFx<0W`xn~h#dEie82&c58vnr@P@*! z6u1_c`zH5>vy@ORo(N!9150Rub^6LTyY~7n8%+ep53Bur9&PtmMF*vjnbBq?LuJDk zbEa00gms+1Eztl8=pI>xfycmZ{Elw)Qx3iKNgf<{ih|M%qxfj>a4Ok@M66#CEfS20 z;encMyq4`fSUd#q?CNo^yaJh9tFNLgE|zKC(I4r3blz3N@k;r)pdw!sV*YRjli2^wQ#+Ba-rhTo_+o6EH#q+nL)UMqom=Mvk& zq*UTCh6tyzU(*zol*Srdc1G|ztv~TxDR-Epo_y&W?jquHT=BU)OqnEQdSk=yx;wVq z;`8+QXtXhli_{lQy3jV*)jQDPwj66(q(JnNZ=;ZYX}Bh6d9}SnEdXPMRcMdXD)gZYLc0y6KFbpvH6Uc{pez$_}dHf!4LoumG zG6j+IL_5Y_xko)^_qWd1rX(f7|47U9^-HK7 z?!~X2TyUGiZfTtc4)-F3O>Zf1MGkb9JA+AZHBsUu_Y<1MqN9~S-flJk@wWvbS326Z zQyHgp8aKUD7Tw})3^^rT(7Y5y*oZJ}zcv=YG>s-yOKuCzLvfSF?dbiS=x;kzH5Ji~ zJGGKFbZFr4PB83^f5gy`-0in9p{rZm+pIX%+iQDeWzmrx8veFy7GdTam-uhyfOjz8 z@8$$YH5?q=jYY2)0_|oWw9CTEohY>aaaH_bU++@30`4%X=|Uh-m^;RC(*uM*Xyyc= zJsIHLzEueNpn%K=hrW6Wy<09CNWv$Q^xnt&?z~1S>Q>&RS^)no)drl+1 zjZ6x`oX!m?#mQR?8u_I6{@kTgMiWOV_x-HV;k4jH^nn-^t}k$-?wjV~{SG@?c7$m>Ux4)-_u>jqWf+UDG1 zOr3TL%mzDz7}5rd;lQoVuc{LB8{Y_OBf``WFiCni&VTXx)=+TMQiTGizKTJ_G}DlD zX01pgONtT-sJGPc!}ia~^00q=TS~IML}G1XVWwZOYI$v|;1~LBs-)mDMArjvBFdz3 zvj^IOZWNicsW&SU#uO6M-*534e`t+Y{At)Onz&t-c%gD&| zCJ^68n>>ZQxvSLv{lg^hIWS2$FvRmX-ZXn&a-QE=dA7ElOM(5^AZ zeL0=RDHX&XFVu?r+=i&xxU9@GBa~?d|Q)pFj8ap~d`GM!b4Hio!ho6tV2F zA?lv@=%Gv4;^K5P2ERebl>;6L-MP03=>6+3?C&^UOnVW=s~!SeT=>aMHFfnIlP9%Q zXf>Y?0caSvSUq%cxDf8L5?ie)v4xN5LMp$sG73c=j7sbOm3sE~QjFT6(O^C!MPe=@ zL=*v-tXwUb%EpvhVBrYzvq)oY?OU3Jim&J8(KMu<$rU~Sy!j8BkDvzvwQ=n)&@TZ_ zf1bpqZWp^ewHC$g>sn`3v8co5L*WaSlyxXjFTF;SHoX(0;=YbehwQiqYM8&|I&- znd3FZt3iTgly^^OJ6V&H?0l1}7jV~63gL+i-$Uj3`feH{!)i1c@ctytC4D#@4^s!K zv*VRtoO4fef_~+RA3*Pw!!cZAM@pWrxoZfwovys>WnGJJUZ*m!+r7lY^)>w(g>+iM zhr?Z#;qMzRxn)&i}|0Rw8!b-q0;vy2-%ic~$`GN$!p-BHU zvq|73#8(2Ucc@C}?&(kk9k9jEoQ6%7%n0^-q-tfHGN|DBe z@GP`7$i&_S?r2q7W9Z7l*O|vADGLUnIe9SB;JO|Nhn+78_>oJFd&vpdj#m`-xj@!! z=czvOzwvVV8m7)cHTO#1qEMQF>-V&|Z#+GAV>(i2b6F%347aguu^Za>{LTJBEq5$0%}!6> zf^q4K>y1u1wk1lKdWQQBC=S@N1G?)|Bz3Jagvf)BFKfT7xW|pT(Hf4F34;9-g8QI7 z#OI2-4CAH@?%;%19_H*nvZ<64ulSIon#4I~T_ZQUSlvZ55C)Q|>p!>jARjI1EhX9s zQ0L}X-!2!ls1h&Q75Xeo({fGacoO?T2IsoQ`*|4(Am~B=gv6n&f!7Y(c_JQx&pl#N zxs~d65bs-6Mi7mIeeg=?VAhp_Wenj_qeu4VV{V;h*NIlT&_gh0(eudzngF)!z&kvM zX~KZ>Z2AF)b)wG4sXb9wckH;gY`;2$u7S+$+g+brEFYE%p@EtTK^JOy{Zx&Ztb`N} zmrli~a3-;l$K;KVeLB6(BXl&sFRyBe*xaq_oIsDgn<-JI)cnKYa3oD_F{drqc5`FTw)5o5LO>;;T#Enp)rf zw&5*gAXtnRvZtP( zt4t$ny|rky_3a!kI=>Q-oFr4Ey4Sd?apnztk9-4PR8%BfUwKqyJc*xeYc8+KNF`8W zO}O!LKd&$fS0vI$a#}e{_|7{HskFhvZU~1p(vZ)e&NJGPq!ynSP&*12DmglU#9fy) zXw$Zk&`ZL4u~;w-tQ~S{idVBA!fkQ98%pS?AojJ29q!!d{p~`}sHx{Su3V97c+BH> zn^HV=czBqe4yrjWL{@A?Pwa^zVCUi>`!p4c%Kcx0g~bT5eO4V_s;EZjz;O|USl}{g zW^d0|IDWKD3mZuS0MPr?RgMQ|#i0W&A{<55E~&1LZN~Ao=HB;BcXtxnVdS6>_iJa3 zsk^utOj@5{4Y*28>(e9PNK=c$0wPP-R4W1tacwg4vpcAo6UaS{Mm@=%1F_LTe54Xb1@TNn;vL;8k^H7-l_|#0EhZFS zgv{4|N+AEL@Z1|9WR^8@>;cZEjJU@wTK0J~?m^4czWXmRih>0L69ZHj`JBY4Nk>bD z<9z^83|f)zz6460&0*8yX;oFSl^eV(DKCE!IfBNbKd8Oqg3GfTre*oo+}wNv)E|MN zuZlY>AnsXS5w;w-4?k7c$Xs1-NjF!@6|j(jYO%3MkUVNShYdbFU*5!a_cu#GiKWb; z!LhesBt*IMf$O%0c7%#6m|5QkgA~{MxO=#-;pj*F%~rc7beVn`?T+f8galP9zcA~?>Vh!&|>RomDvC{tu!q--|a=r7rao|68TO$zM_z{2332<(*% z4zPOi`Z9kYq^%>|WWrfXMorC6LsOBn){=`IQG(viiihQ~qok{ge0GvEs=saJdoAPD zw;UXdJ2H=vPt}9(@dz(p?XRvif)hue(z~OI6HgFi^4+0GL*kr=yi}p9c-W1dwECs@ zVxEd12=akqKC*#Nojrp|vsJZVuj7E4L14})J@x`qoZr+<_{{bC?J^_YuJ4|8_MnA zrwc2j01nh^!ML1>pDHaq6!>WuKY(->*vH_GP^_i|lGAt<>?1|-`i*Uix~vYlT|btBxfrvAmu)0< zGG3L?hX|Z^jja)S9L+cQ#tmnaWzq+du`N1254}N)hT3#CvYL$f6{xB0x;VIYrm zwJ@^?fUl+q#*sKO!GILCyU+g=>=M4zXzR0xsj%w z?yiIB$*T1U$9(6;$e_jVOplYVPbF2o&h^;fIRA8I;N;+diCo6^iSEYp#b_Cvn48zV zn`WOA#KFPhBk@O9YseHcqEtvq7M&7sopngyjCU=5u?~3x5bx-dKVcz-h^w+NkXNVjcpPWrn z7qzq>s7pDXwyzFezkW@|iim>OGfzU7*{9!t;mOGMgK5w6A)g+AkNIUwR?orM-q=Fc zn=-$Y+54$-cj=w>utR7I4gx;r3IuP^=J#jB00GTTgQT%+K_umimea58?d{$1a?Qlm zUA=#-_YeOHJGHleR8moqMbCIJJ3(E89@(8NTrrK~8Z2$EbtMyWmv!w8|dgzXc^;jGgJ?r+73|FpMNG*=o9*i5uw}Gv@8;8xu@oEL% zLuFS4cz6e#ne@x(BQC4-oqCg#bkD}tgRQf*wi3>SpLPtRmb~R@)Q~JjD5_Ywvox*T z_{4r&Aa6A&OHmDJS?=;2Oa^kzf%t$L=YrIacQ$S)vvWXfCT#*wZ!9hp=N#KVn`CXaS!8xsqbLWm;r1L7v0(5A5 zvuX5*JZ)nUTdA+fot>S_+lDYbHZDT3Y+87q(qGo+-uBGjQ$?tN;?KlanoOR+pTJS5 zat`?u*1X}2k_J9q6ZC183w#u6D#w7Opwt_VA^)N1E`>g<{maDyjqNkeBX=U=;lV|& zR#`Q`ixO_nJ+~})V1P7&L>M?SmYHki4_|(iQOE$v&@;1HXPfa@fH3OTg7i08Jh6aY#e4@vAVh0v)j85m+=YA_$g{xNSTcl;vbAEWwwC0 zjZKLxSsx0u$_rJNo6$23=6F0jJnzC^-P}F+aH|piRPTXi2-PZ(TaKjhtQm9G;80+5 z46~PJ{3HyiQ}*K;oNo?0)$L zL_|a@XN-PuInh4zrf0}w#?FDn6h zH33?5h+gA#TV6&65vBQ@WO@$L4!yU)0uE%X>8hUhdV^zqbR}W{S59amL|ODJfug_g z9GB{&q!g|ayLTmf*E836Lt@dCRke|64S3$-5~&uiVTvV+bN=y!J;I>Fz`c#gM++vy z2u}}aV$rzZ!*K3;Vpqor83fVN7FHpO<;2Kj5#N9f-1YJ%-`Y8+HQypg6{^u<_t&9C zf(>Eg%BR}u1{B8^NLBT05De^@Gke%{ABwMEq5RqFhLglJWJ zXI^f(86NB%;Iqjl4tO!DUoj-;%nv~?yN@Fv+dXr!eqXjn$N(!tE&8_t0V^e1@Q<%I zUjMb_|LqS02m+wisqvJJSZCY~?;8&e9Gs4T_=;ZnomW3J#~NZZ3-&i97GmMK5JvVG zU3yXPtUJFUe(KXYLQ`OG9J3LBXG`20$^Gy$$B$M)Q|WrrbaQ;z(&W=7U)5Aq#m?Wv zz7b(OlnjR{8E6FKf*N81(~S~{(UT6x8lx8Tp&0t}K_(P~awk2t(8d2{Kd=_tZ0t2k z^VO}<)h|r{qLPEh2f-b|4gD^aIDw-=#U;d^)T`CU{IvsM{=@*qXy_9TOPD&{dCla> z%gM9tjg||33k_eZ>ox)xp4*|!Qhml?g9 z_n)ZcGWg7MuXBAyli#sX$eTaR{CZ+>y&dA^ON+duy3|dkylCdINEB5M|Cp#XS~VGr zs-9WK>QK2ooX_^F{`m4*LiUZM{B*jIio`JOX@iy$h}&^?la?TkI%?V2<(INtnTFYt zh`$goOum+I15?4<_pixCU_)NL{{SPNZHwsIXd>apN^>+JJClgh!Ktb}P5(pM$@Cq} zffvA7^^>^-vCW4~C%OUKY>6Hi2MKHS!E+^J89xJMFG}5>3*G6&v=y7YS`1@(b8Q0y z2L~saI1^in&dTQW^hZEhZg0YA_=R$gGgwVayBCY%z4IVFIBR4u0*({Afp<=Vvxaex zLcQmXcaC?9bNC{baDUJlJ*U`y<9sk;Hv$1L1S<{p8)}hqqlOXxih%yFg}3{B7{d;` z66Dy(2;0Pwk|SWbH&zH|FYp-nh5-d_%n1r7|45h##(%*4ittrLQ61E&D^>f#;d!bM zECTn5X6Ze2N>|3$Dj@yAB`B;?6Qk^5Idvi&C(7)IEFC>3Oph4&Pvih~I&O=O0Oh-q@Pv{Z8 zW&CiLSXro_M`M}-a=cBr0niJwEMbHaWl1jEw#TXzpiq`v|GGuel)9XTl} z__PoQl4?~a@$5c~4?VKwB>xZ;IyN8*^Fz

GwCB=?KlZFh?|yr-vJE4vy11z~Pi! zAGKokuV08u^6-D?|L>{isoU4S3=I$W_ud=)I98*1zZ(EMuM8?IEQATjhG*MLk?+ko z?FTyBeE8>~(?P`cbYo*<(XblJD7;_O;@J;~)#X}ekyB6Vxfm!t zUoENTNWPXek=Vc47D|tA&_U6*5mGgjFr@rY+K~F7xobShfZaEV<(>Xw?mxh(fHFAm za{&xl7)>-{pn=eVyLw&{hE5A?HzrJb%L$0!8L^pPFj| zlzT=fp@ebq@@sC0{ogeSFQ1W2ry~F&{>&QD0>O5p`d>V`_ee*aQ^1I?%0oxBhRP`- zQ~&RpuB(;FYmyL}4WTGlVSYg?a)naIOG=gKpQS^Q8O-jNmx>;cDe@J|R&jSLsyY^n zK2qc#>IOvpL2_&?_8&53SN&lXhM%N9LjMPJ(Y{ksSJW`1xPS0u zrCqM_d;`&lH?y$V#=_#}YRQ%J5^&HqCSk%(P$yfqWi}@<%np%Zz-Yz^5c-cW%aDK~ zV=&~$GgM+_^Z$Vvb-+>khmu-GVtH(`AOo+Znn8*artk(MyJym_K1j=pT=Z!e^I>LV z)`%!kgB+uljE+)ed?_!@{~~SWGOaeZUYX95R*qG^@%k$SPfw>}ROUl0U8@V67;9Z) z`^mtbzBggaQ{fW)9By~(Sp5<$FBsN37Uyo0QmQuJ>AeuI}YlhE(pFR<7=cSD4;fN>hq8 zx8%scEzW;kz%2!VM)+5nY#P=y8N<{mFr4UI^vdUTwdcGS(UuBWD{D*=z5uy_zCow2 zCsAy)M=)56%A)88wWp?%@%%=Ymj@;W_S5?^0tX~Rr9W)Cd^~Q<<01h+NOCqeV%=a8lqjkGg_LY5s5-m9{>U#Y z3LDbi^ykCzVI!M4Beha(R6-4y%|EVE%ESpMqO~m1^|Vmv6j3`!>SsIW-QbI2Cc9PgeY%XWE`M%MFC(3{(c(D!ubZxOdP{#vJYPUZ`t( ziDZC(yc_4Q5z~rmGhZ&==DXRyYBRhP@?h3pR0EsNoxTJtCla?23e{C8v^#E5lt`gD z6`%bjwNGXK2tSD+)`3Lm*5g8qF|o%S^g*-Rq!bz@j&=hfSwNIWU8p-Fzu-=n9$*2* z$*%<)pJ!UU-(|KC(s9o9oxk`^A1DeJQ)+U^bWiX^2aUzQJQa~LFNMoTBTwU9a(+an zX8wgE%X~7?eU}u(NkX|LaOQq{e6g~=0Sv1Ng-5p6z7~SU2JI8WvaYg9HZbGf$)XXz z%VGC_aimOItK?piBWV2MpJ&%%JvL`w0lv`UTmn~b)U=A`;xM9zoL|HvaOTAfJ4wk^khD>at@ zL`~yBcUfil6s3mr(n~JwAi6g{UeR@ZzhT&RyOU)2ym6w`)Z%wF(|RLD5^+y2yL;91 zHD>{hJ3WP5GMZuW43?f(&Uo-r9)w(W=}e#=a!q^_L^( zYT0^pz#!5*Ee`|KB+e9nvn@{mKpyPOd*#JD*NoFAeWPG+8ByByJTG|N(7?5!tJOD! zucAd0YXO(}4SZSE_DiU|jK5?Qi_gAChNQCW^W?2Y=+Amr%D1NNGf-M#}R1A0w^QLEZ>OEPbW&S~GYPJ*E172d2foNKS|?efKTejXk87m8FW}<(R6G~+?WEK5hIk7` z0LMYjAh&;@`L(~U1>7gFU+vAgX{Z``qV#dr;)uUNWRrxE*x$VhuCC1IteFRlf`%hc39ge2yN+#6vdFOOz(;nYB-=^{f2s4;JZh)` z6#mvU{*M=84|Oc&sSE($JaEQEL!ywGZ%ntK`I``Bf$qE?E_tAOui zZ7azwQSXq}{Gaxl{DhwgOYRh3@SNEhkootzJ#}706ITsaZuTyGPgb5%Szo6$_LvYK zgpCi`Ol3roVf@1Li@4)#IIv(Lzt?Pg`rP*X)OP0_Z|E)Ip6}Dv#=`k<4kW$5Ik~u6 zd9FNK%xh-pb9_FnBfWo_dU<#eiS~a!no3@OYkXnAL;AG(jX>nz&WMP@S1cev`V%!& zF1x;gZn>pb4M7r{*aAXMwRL+r2HTLA(qgSxqQrrH?~`i4@Km!kxsyCRI{;{&F~<(QK(VtV&wx>N!1u>M8uGp{neLC%ByB{wOTl#USRgM&+)%GQuDfyN5l~lm z`;}wPtBKN&x8~)LlDglGIEiZLEZF3N$;Re#Dph-)M1^JCiP^TaprM!&=vsoT0rEIS zDYnNzx|N?*uHoZ8x+Dv-R#lHzSA!f@MuY~>5$Nupc0V@i7J-W7GCAB5kKettx7fO> zsTUdgCR5>PE;#C!l<*Co83cxe&UND=@nYXW<>OEahp4u;SC0lX+r3PjZa@xUsmft% z^NbFYT0yU=i$SQaxs_sE%dsJ>vU1=yG|hcpu)p1a*UTuv11sY%%e%ek6Vz1JeFvst zgK}M43{*-PB2-lUq%NpmAbv?pF=s|jTdCFn+0o9<7v}GaV+vPQA*l})(PPnHIBXlg zrg1?q)E@8097O3cd7p=5{v0u@g`pP28y8|O@9BcrfzTVbIfuvoe~ zIz-5M?6?jd^o;CWL)=4$H9f^~o1~Ftv-%^vyCy^TqD%#pHS&<6^B@0~<&WSx#*1+N z2%X#)M!!glot^#Y=#Yp>?<>Hz4fSyPAs~P&F_A2qWX;siVEH{izXvzBS~(azezxAz zRa>jgyn4UA6Fn4xqHB8qz43?yJIqynThH?i79Or9F1hpw{81`ueu4g(-@?)V`A|DL zjXN(>;1>{ROAUXmVr~7aFOslHUXBZ-Tj#mf33stmuGQ$eusvL)m?mN>6YD)mPg8{jzv%IR+7U_eL0Z-6;`zI{& zmp4wRtIPmJCttA03@xOjgs!;uJ0G8;icQ$N)h|2?wRW*m3bJz0D1GMMa*J3j0_V4w zbV1w1$%4;eGRv;0k)YCFUOoMxksmNZ5AE!>ZEOi0mXOXK3e}*eaI-~bd$|(yNsPKV zr;c;$J>hO{PS$IWcfZ%7va&)lYUed1jiX5&rzSsbUfDvlbhPxGzkF%+zWTk^rTY%W zb!S+&)eJG;0|ocI%myE;s=?^{0f zHq4w{J)xAu_uAQ|I^4mrCP%;dQ`i6FUqY1+zS215A9ypM@M@@G!@N6x=kH%TuSiag z!>m=|e_{Y(xfkH|n#+~IfkzbqlOIjaYUy##*Ounw2I=bC5Psi`fm z!mw>MO9PD}0Oy_L>!#zLRvzpwid5qNJ;(V8L4`#vx7Hkat^VB(>F zCC~QCARqL1N_q=mhms_~gKDfG47(&fp zo*~{bt)CyNyl~?D$inPFpv}yTihZ1m0A&Yj8JV<{!8c&j!A$)orFUA^TLI2J-tGIE z8rS|IpRG(A%9PZ!SMXGHe6c=jlj)mhCNbFZ-mJ#TWR5Zm{2#%!=T1>v%0C`_DS~T_ z$?(8Hpp4Vxk9XME_O|q%l9jd9dii-5JQU&v7mzUQbM$aIGCOd-8p%;jT)WQ=)->x+ zA~tTRJ-ABs0=Jr)xA*r8b#?(sMKc)drhVA9GBTm9nad^SgU&9_(BukUzFfU}EQbvH z19WLmh98_<9Pye#>Y!vqJO6Xw5Xh%A?)N7O456eXJ?$MmXq;1l`}|aam+XIoSndO3 z;cS0mPeHJaI$*IF5`izT2qKqi3*AbQkdbFVI&dB`S4cj6Q2izCxzGpSJG>zkT{{9x zhOU~w>Tgjfy`k)AVVfl8plVDF69v9|2umWIjFs{KXu|Ba#9?nHIaa6^U8JoVUg z7_pd@kGRJnkqGUG6B8jC6@1YNosor=W*1Dq(XL4$!*S>Ra)x zbEZrHof@iGr0MM5y(z_YeQ7l@yM9b8+Ii%4(aXq~i=KT4G1`!<*Y7kl@-h?3YV){p zKv;Ne7V!GubFL9~H8)vwTIKq?6ULf#3lBAeWv;%p^|?l1;W0#`J%Lr+yS-%_vEA+J zyS)6gz2xzT$p3-4|5_vrTj9Bw^>Unip1N6PW+rL_(m35p#E+KwLX)0?u^4o@gBl@M zgmYO|Qt9y}eveMhxJCGrr*@HIF$s8RUcIoP;kTxy23o4VJ6&4HR6Ld%;X1BMrfR0J zVLmW?*dx2uaPUH&bZrceN{3l-lv~1+c?}xxhW(E{@UM9H4V&7Z(0MfFm|z$u8#xTU zpm97vKE-My{>7A z*E%B-5)!99X3f4$i)Zf%*PwdMLh|il34dhdS>!t(s9`l?XghDaMdv5r^~i%oUtMLg z>LX13zj5By8)HKCuLzekFiUw_YwFeykWyeG^QiJHYE5icN2Tg-#XByL^SPr6DCE_N z40^+Jo#Wugjm`Z8dIXeupQLx<=ak_%+Q}(7ZeioIE_eXrVqc8+Ii7o^SoE-LYWuA? zga;=2`qgC$9WL=EBc-EjC`g#eTL)UYQl89YP>aY5APU3@1&^aekM_}@)ZDa+eE1n6 zQ4ZI7Aw{D2)#vMrH<>)kKpm3Lj+mdZn9=G>Cxai;-2zsJPug!`&C_6~jNk_J%4ffD zA;*jD?xTTa9>8M3EPaDd+Kfd4UmcP_YsJIDbc4K+3nqeum@)T_B6VG!OB*78gL6Fb z`*y&psMXe;%)jo~i-T)Bp^X&BY((TdX|`xTVUz4-!;l|k8n zo_<8O;mNK~3Xi{r_(Ewb&(ZH>_`?#eGR+EEV)4onS8eb}QU(SG=ZKin`GaMCAD5NQ zCf8g}=Yc>&C)f5gki6^bFz;(9f~J_}JH5$91_y&$uorH!iM*Z`csr5=0LWdhvCJI# z#~WW%aA`GuPv2J(DS9MNmNzywG&R((ZWfMqy;bg#Y2kEdGA8f;>^p#aTBX``ED*AN z3fBgx4}I_F=9PMK-@C+p1)E8T@4{?~qP8>2nV^imhkFk3g**_G zN%COLDc_HVGQ}>$Z4+SuG!AmM+I|y)dUPnR;vtOJ-Do7J%ttXGtV9forzcodjuE1i zlqrF~to{m_ue0htdy9p5r?mZlV=v_fI1TMQgZvaspsVulQz2DH@732k6tIzsYRJC| zD^amxxM(gV+5ra;0VN{5?VI&OGW4%S0ZCqj3;E@rRFc3AS@`1+Pi?pCm=LT^JeVx!?5?FmE9V_^trw^tv$&o_$h$Ytb-4- zHo&!82`K{ddkvV)z3Ibg+w3nptoNSo&xfnG{j9kP{yS1n^}5^o1&cxDX`iU`#qgyU zrnS6jDJ2Z|PA0AT_JP0VrZDVVcrbED1;+`GCMEj&RS(t)-Q!`CI$$wX=~3x-&(X3< z0*~JT*Lpod&4$lcGY=>smp7E-*Sy2IR49c^cjJeYnQg0#Al-Zo!>?oEtz|b21J|6d z2;EqYk;-&Pn&jvlTczHF?pE&hU^@Sw7m{B`CSSI=suTkU+d|1PQ<6Dd4k~-G&_Q-- zt(&Flc5;ABWIkg+%OWc4=j_ipS{=aJ%msKi%R#1mDMYV&mGMk6^68YW3uii8uNniD z-JQV=`OtMU5!LL78|4nB6j z{M+|f-{SGwnkhesL2H(A3U08z41lS=Bjpb9SY&{8im%dbAq7=rB~Ybx zu9+J3?mDi7&%dTXs%KjrR5=*lQySwNtAWWyb7yIlR^AlYM8MkN6{c^$T4YI=c8e$& zFcoICEkf>D0Qt3=#<>`{BmgPN! z!*iL;PKJ8ilyUzQa19%eapHnnN-#z8JjB_=!A3Q7=F~^sP$mjYh!#a8)^>GUX3Gp_ zvi5wbP;nR#mPc-O%hW`ES5_8$-uJjU)tiX87|Qth?~jPM6)%8P!yL!=u~c7a1P2OQ zB%JE;jV5QMgu?dIvASRG#_-YTPT-iP=8WSg zb82kW)S3gu??>*!T{DplGRQ2|lohtW`Dt3x9?jCGGZu>GH%P*w^lrq;!+UKhEn=F) zbdoQob_R{Izk!WV{0wbNOM1zi6@_9Y@f+RkIGC04ddh%Q-esL{fgSLI-|}cp#?3c| z&OAa0!fK2NP%fNEw6$-bq$=w(ODmxGIcmwwSEdQ1S4t6MD4BEzjP z>@gVggmwgZU@s&$V^+aJTqOw{diYuQV!O^DLNZB zg~x!L`}|oJoy()?1koz8LHi%#dcY17;_z*cgVb3ui>%%GX0_KySJMDb)`nD&h9H($ zbLq6PX!`OGM`L_2ROkb86n%Sv$Pp(qAL)M6SaU=BN00G+IpL>(9$YPMuDOsMS`8WF zADA>~Q@JB%ONr!R3QC2ha4Ze0^Eorfr)91sr~f|lE}BaVsa}{8D)Rh0CTDDhjy6~ivF=QfiSO!muA04_($1fp z*vRw;7h(`5)6miy3I|gb4v2pxPrHP7X8sD03=g;68~a=|l=+&Djb}_xk2mcA#3jOl z1tY3U+l2r06(s%;k3%AO%z#~A6G@AEc6rQw~q5NwiT9k(!5V`o%{MezGy15 zn`O7)!PglmOTMU)&1hE+7Ddh6NK@_2?GOrDFj*(h-%#_Y#rWUym1=0X-EG9s_E1NW z!_?D$trw?)LE(HTd;NNAS?7!xlg)2wWT8zFw%Gd(kLT6Xg>4c`q5%Z?8`ecLBO))Gwwk>(XE`!w-aeclWV z({qzb3pz#yX{jI~B;mLcVtRw?&+IO;h*`VOwbqw-a@()R68rLL%(~L!Bd-EGxj)7t zuiM&nltpZhRIC>UC($((-|+UWEM+n}Uz;V+PAuu&==&92gtmIJ%Guc)%w_bw2UrmV zF?YlsEn@I4LIwSJt*6p2>i6^v$YHBU4)&%VBh4q$1)M;Vv0B)hFnS%XJ2{@UGdCsH8&Bj}Bk7k@J`F{TX zTRIWD__wWZcL0d^g}u1Uk{cY32^ai9B?ZsCJ|?em_@z4gNk#hprx*}HS0@|G(2CD~ zk4|=cDI~~c*R|Io?8?*g|nSI1KX^(CdY;8NC#`%{L1_nfA%RV_Ztu&)) zgs!}*kb%)4W7|*k_7q(9lzHuzy~lUC*gTKP>7cl!8wh;X0n1gT=5uR$)qoP5NtE)N z6RQzN>)9Ljv9)@yI?Sc^Jrkbi`5vM09@vdyY3q_fydYFM!^t3Huh-6wz7s;i%y zRoD9>Gtfa_HoDvb=Az3-?Nz3$>@sLR1*iiwR^2BYLEP#o4O*;UPwk`&0x0k0CKgmx za|EnIvuJJJ`Q+AyJ3gmd;g(4!KMd{)qd`DVcFSj(6_xBBjb~tU)00@Shw%XQEFXjD zdHuJC$AfUzSg>~#CEMX;1-o>^Wzd9XE7^_*w+Jtpd;XNr`6%pQ>E zQ-=;;%e#Wo{WJ3LjIzq?MP9GQqVyvhw6^2I+XqaPkQV%vnthtk#}=WBo6WgGR`yx; zB3l8EhCKLkoKM2ON%u(|xOK&#RIdA%3fP5{rq_o#qD~^n55iTMJM*=Czgc*2LAnJd zA0f-2&Xhb{45?3^bpB^50FRcI#V;5Y--zhz6aP}Q(N3d1h|pao0GNPym}?{^?4&#cbG>KC4B+!yjI8;C zId16`QpblYH$5}`!yrGK5Oa|x#1C#Co80A%R+eN?Euvw~dw%iUSBZcBnd!)XVOHo} z%YKx&qs4D-b}3l_f%2=0`N7hF_+az%>IhAhHBR6qdGElCwt4J~7U2!~%NoHxwNGbe z=Mg%p-cpyS7pLoXQg;cO)AnSTKdnF4@zkT?`j{_CqFND5)bV|OOTMfS)SL1HZJ1&me zBo$o@_S7?;EEsXYZf%%$m}8c(qQOwz-F+6CXyLLi@lFpuw05P4b!qlH?s#xei{;3V zzy`>f3Gla&appNc95S*_Rp|}5yAY^kIaPP;<9q+O1-?V>BdyY^eA{5IdL>oHB7)!f`ns-`k%NTj=jeO>*Y7qXNsSg&t%zY>g@w!caj9;R zwWwSb>zrn=xkl#*G1+=YV*~R=X^b^EwFUhjnB-Dl8;89*M2_La6drr zCyc2!yM*Q8Iecu)#V>B2jcZ0327v(fhrgFE%tw*XWW9k`IE0~PqMQjZDnt zX3F|fysPmi2g_kML;u|{c-h2@=1K|?N=8p?ob!DrGB)~HAGu3!c88;xUi+`l4q@Y_@2l}; zQG@CsHw5li%clpFam8b|&rv!z?OF;MJC}Va`t+K%2YWag3Si=kp109*{f!>1v)7MaK zkMBPVO-EH>sY}NMoNmQ+JV`MBju5&T8t=})=e(;r9s0ii8Tytpn*VfHckjipyFWoV z<93n=gQa6vxQmI;nab{am%j0b($K%jTpqu{po#X3`CR0fvOL3($Nfko6oqEH$~~li zfKMmC)X4erqpySmPrc1-y;wFfA&iF?_y&1cGFF>ocTmhsJwa*a7%yW-aJyPp#4r3m zEu~K+QL80LqPhFu4&;ClnhKEas!>e(fYp_1U;g>}PD_c@I}BP+SiCKR@6>#B1dR>4 zohISlcxnK%;NQ2MF309x-&}r-8PmU|@ch-dd_8eKL>((l#>A}B%<*aOU>?UVT7!&d z;lx19@-=w(MUSu?wvV_b>}%7lnLo|SYoBOcn#Xr{KDB}N3LDqPJf3s0ad1@e>sGZ{eMOh{}jm>ms$56a61$^r(Uh{ongFrtwhff^@5j!w7@tv(? zyN17r#Mh+`2@CA))WC|@EYPdT=^92l+&R5((^%nI1x@E$tEw-t3gh*;lonW>Mop<@ zhqH&QKpE9Bggg8

mwS5Qg#xX?0nA z=kG;2I+)x~q5gKve=?@0Q}OZ##=R%lu-M}%9<`7%{;m_-v1#(n^zw(O0U`= zPzs#*dR&oA^4uYzkOpA3>JNmPsJ4KVQ z7bNIUjMyT~d3Wd!P$E1Z+tXy_VA3T^a{Ss5a^680$y$UO&1e=)u#2j?7Vg5lVy@bgS+z@l$qod(&V7w(>EMw3<6da21vu^0eJ^axQas|)5(C?l7srhVmgjJ z{?xhs^XgXlmVLC6nslj%627-n*5SZDVHmDnNwiXHk`6HBX$jAQ&d~1n1}iUx{1QaR z9bU|4;QLWIw_bqK^ ziRT}4G3(@XvR<#&5b(T{bM5FEj#e%{(j27h9~6kZ=Wg15o}!f^8MTr=f7ESQGOcyK z8_GK>FYBK!Gba?G9Fr**bv$HcY^xDj_yL)rqEC2dKWDOsl!Q>OJR9=0BV$~;-NRxQ z5dD>;sN}C{{(!D~YGsMWdCtMs_>85n>PoA;PnZ&k`Y#5>% zoTF zuKG|NHZwP&k-;X}aC(MOM?-NRH4Vm9YDm{y4ip+>D!bPw30ETXR=iMWdw2l^$x-RF}Dl z0~8q3DGXj%*9p71@T z5io)!vevvJ5Es8d4E`ol`<$Cx>)K`nH2n4`t5&`x}|m_>t;dL*vJ>SU_K zSR!2ZLTW$Dtf5`u#y7n2-(di0zBxIO4aVY~rD#N3jn3CtQOvS4V=jfDcBF|^4XA`k z_YOp>`o)h9%@*)d7R!NuUoxOmeBKVOsT`rPE)zrK#RS#2KSFvufosW6xICdS(6#y+~rS&^|`C+R0N{hAItzQka}C|6LzI4>{YW9ErP z;n5i6X?&l?w?Tr6l_>=6%U}~Ik>50F-i^n;I^!se)%?mDp6NX@E5~H*X*0?QS(bbk z72o{QKgW1h5~Zgz+0WnN^M?D(dw^o7{1(Byy=tIuoJ>XP`xtV_iZ2o$TdA_Xeen&2 z3DEm8QZ#~o>hDKb#c!Wi3BU%!z*c>NE7+uu5j1PI=nlyJwsWM139AeTk+mbq#mU@_FCelG-RbpFgFI^}lV33?4> zd;(u0>0t)Vko{*wkO%VSQ9X*r&^>L z-m;Mr29V&!zRj9G$G%#b$$Yn2_eN?BQB5MX)U1F0b2*bBHOa_`VJ+7@lS<^wQjLjoZBt(BOcC>S%reN-V{O?uEcCV( z)uE-QUvi(QT?H`acsx+1Z4MBR3CNqHYRL4Oac(&)s_PCu%9BE7I8oB~Q0X02$zkae ztkE$uu2|>wiIAz`z&(@`+=w93CSN^DeC!)o8!rQtm+@QYvin-nf)?{;v*}GX0_{Q zR8>$)`4o>eg`jN!?g0yk1eD5v@6zUF*&R7CrpNS}EP`^sM*hx*T8m?lvD95~yreHh z0q2bj*D{Bdb#gYE*eoTlPN}7|EOpEtX^ti}Ps4JqIPuciNKy1?SO85p!O ze=<1jf-Hbr$`%8h>}U}#hrPjjW@f1$R?YBLo)@R`|8%56d*3681IIRZ58-2F6tV!r zA&55nj2!d+119`jLN&ea2=WTmE4A+%BM&>5+hNdc)!}g{bS>3?jTjunJv}o#jKnJD zdID3K08M7m3Hip(D(GfHSeTf-LJ5&|bv*;oRHGKpkdv!O<5UZ>-d+W#lg=44J226M z0D5`Z=I{r#nl-`F;t~x!=HA4|ow)g8Yb1QfF+s^91VdvCc65GKf``|JpUHUl-7oMn z>MX82_t2x147I(f-$I*q(>tY(H{8YSjBmM{4KgdoaA7)bZuXk|a6JWMm2nUGlsv?> z>NI7SXB8H@ZFeqG^V(FD+FS+}WhGvS9kunI_)1IOx)DxwIR^L2jt7!hpf+#JZYA+z zs)Hk}re_DDn^6^YQoQT@a!NtOpP7y?Tl8~4uwW?~UrXa)qw#QTe$T}YXK|7d^m0!= zZy45y2KU#mX-4!&eSf$(x(KtiMo@i1k??&fgX5aG?1*OYnoe>>N7h;Gp?G6)1w3q$ z<+AF(&28XW-!1TBb`P|>nHZmc95pl#Fd}%Za774J1+;vjXjB3?tU(XTgqizF81ggH zPYgWqiPuno2nC-EJE@jtZ2D(`7&g3lW5-t9jFf~>>PdM=*d2WWHZA_J8&d${<>O&-zmI7YAK9wAT20BvH1aB=-YC#+h)_-VtQ zk>AXB7RtOFSeAgv42Cqx4kBu+e_iK=0&qvqrsksRQo~p4b9ly}^s~eqiRP^N5uRjd zEgKmJg9;-MjYG6Ozi(T~j(|5`-<;lq6^b;9CDf}U8XOazmObd>e<fHJ5rdY{iEk>!l~KQG(5`SEup;^J_GgW|*7pKH-*BS+Q^%Oe3jlwTs#>%C^z~lO zXsjr{w%K9*hTj{nL$g-JxU8>_?nQ6*D!PYiejsA8^queky(dh0cE9_o<_q##Jc6US ze1oMJmy{<_{%ky z_X^^(kW*S;B(^I9^O%>^uwI|EPm_D79t6QTb9_{*@$~Qj4h|L<6;1mB=#i;k3oMxA*;{3#nNhu_pN2pJ+3CB!8FJ^7CGo3Os$jKLs;+WNIlOywq3MX>5WL z_6bP=Prb*JA->n@K^7xq?)CN;)f)*_o1plmq?S`ETv(CRoeFihCkv+QfY zi=v}*l_ALY-u2}<4omf!Gd{8mcpt9vd*;m2mBWTM7BX^iO--IAj()@&N5W675YW?p z|Jm?^)P_oj)A(XC?Rrdhe7-96`PSUWo}yC)5XHNYj`c<5Nj9TyU{_95F@ApoL@8*k z1`wmAxM@LGWne&1#N}p>NOOhrpELGzo7V>j_|#wFzR)BRd3a2&o0D_W&f}jd3bych zanm-#7Zq+TQU`YBw5@jYvN6*i+-&%PfM*<0M)Z=I+(wffGBIJ=Cao4%{b|>3MD?=^ z+D6sSD%|>Kv`LBpUUrov3VG(`M*HHmvNz}fU7tjM|2nYvIL4hD4l@lp7T<05qTlZc z1QFI5pBYt&DvplN=R=vb{oiS%X4n@_I0dUc#~Vvn?4EoE9iU+WtIg(Jj}tIF((O5z zdz25Ax-|!X3g>Dc6V&Ym^)+s6MzwTjpy!{g%`6!gb!VyWKLD}5l*b-eA`=z+udTK# zxs_b4PRf{Z*thTJ5lJvGDpuF@KK_b-AMWh%vghPBXtx6A61_J<4^TSAlv4m4ob0pO4HgG(`QFMq5g2VP2>3Gf&$P14VB0)S?dJ?% z!Oz_T>ASo;^4nyinh+_FtK<4i>{Y?b2>1&{Y<8usRjcvw0ES4{W6$d8F2Df$*CS#} z2Kzv13B#(fg9SJO@uMcj8RqD0bmm3aCPTUBrTf`||8gWpx@H=^KEQe(f5du7pX;p+ z=bAI)bdg`(WAVc+1_l&0C!@DcmpxYHk}D+({o1J6&z!=CBr@Kctz1TSC5Rz$rQD9c z<)yNk1=Q{LN-pcL2$|T|>h02|??nhiAYEgdzsnEjgR`vX?RnjQE!@-?6Py)<1r4BWuGxJlzx~o6E~A^KF#SPLSpA;i!FMRt2Qu|DW8v<7Mtub*bTj&M9c5~(kuFCg z28MZ%4guJFW7>uH{)}na#I;PR-({`IC}_l_&nG!V{5Yk8Ww>M?R)<};!|pmy#LGMe z&u8&F?%QDc;I;w)Lw@j9)iHb|kPt8)o6c)hy_|_yw6}N7Mrl#mP}-{#WX>QMJw0Jt zsBzgQp_sXl3wjr@%hTld{SW}t#p83D7^iFoShP2U2T9T_Ydal1pehD}TT6WgJ7 zalc4}BN}*NZB#J-F$P-oYt+&f35uP`93 zCeQd1jx4?Fi8~Fy`h#XRb_&Qd4H5{NvEG|T)VrJ)NQYQA{rY8{tYxx!GYUT?~YFfZn#A=Y!AixHc z8SNYA@E&4r=F#!tO`~P5XMw=h=JX_n7g&%&Oiar>^u}~0fRCC02fnM-$%OBh6*DCB z!#*I?CO2%FMF~H?X3>c?7!Wlz$0yC~hSg?d{5( z5UrpQe=)Q+Fu=>!Sh*FP5vUFi%Nu<45Z!QZ&rOLj_r>bEYW;$t%+3UJ$q?_0?@Zmw z!YRZOMjcN*g`P=+9Vz90U2~P$Lp=rIerTdDDu&FK2+_l-dn9e`oX$f6-~E#vMOuXZ z_~l*`PKg|r6O918EahFdKK8{TCx{gTbnaM>CzEt=QhV;1T@6Wr-sVe`w(qc zQ?ehI$5QtC0>;MXZaY!O*X=Yqb|lb{grcjwUG4RIJ?WZ5&6VV`Oi-HNDZ-*)bMTwws`WgQNsRH|12jw zm#W8vT;mkRw%Z;( zhD#6C64a)m#qDXu)$DuJ`24dc0S~?ID;vv@Kl-|uzYf2qd#@ea!N~u?#W{H9(!2{} z9xHc5W8B`WuWQ@ztSU&4oI@+rtm*a|IP~FDeoH{y<(}BLv56%_mXFoqwfeioqqYH(^>Ds{dzxT) zki>^9Ny2g~X$}xj7qc90>B?;T8JTMDR3BTIvfOz&IL1b4cQiR#x8vR`C`-kz+6vhO zuK4T%^9P|4Y851yy9CDeaS1jNHkP9Nrt6$MR~{ zk*6*bw=`H(xafTi;y+_fX^TC&ltd4kdJ|%LsbNl;S!v^$H!9 z=b+Uv4%*8qfh*1rMb6>MQBw)sSMR32zrB&6PxPU`sP>3vf;eC~VmJ?z8HI!N3R}acU+>!jbq)Zma}-B;x4rIC7!36D^*zOq_A; z2+qQvS|dK3iTtCLe8~StD|yg_0#|AHHAXq0!B1Z>^;)h{fb>mx{6)o3Is=> zQ^2|c!lFerku)xzkML4fx(&_aw|GFm)(#3e7LfuSoL4hHeP%Sn`f0X~_MjZx5J1pr zctH*Nrpd`@m{1{a18-iBQTIv3m8n z0FM1%s^h{=>j{){BL|EXJK?U4pa!D+&aknQQ>u(Kz#q7?^wiZ~^#DTCD@%%?ET)Ym z@y2($P-r~0>rKNJ=b?5VCevP(pxorv2K2l|HnU*51SGr|Dpce0DZURWwm8FPuU1aj zz*bqG0Yx)@MkhA=l<*^FIYYfWf_f-Ce@hqXL+lq$_2yv0SxG0hd8+}rX|sUp&p5;G zS41_-*eS;3APO~u7>wF0Nc)|}o?mjdjTiB(<~%gLt%)76>Hl{TGhaL{l0}R<}}{ ztXx3+82!D}9(~9B;v%sV8nA#S*5$GBy}%rZU!=V&A)Q~1hIC~HSJxyYjZSQ^EkaO( zC~T?_y~nS!^SB|}NKcIh+cq-tqTj%GX}JGQ}|aD>BmaX&5tXJm(t}NwDTU zUAej)^pb73+`c2Xju|(j)2}+Hr&~OnKQwOLz*)&`?h=+9hj#BybdEyRji?iii4v$A zx(qnKOY6_t-{wt5p|m~yJ&IB-5KJ5NT84ilKo9Z{n0@yX*0eP2o_Bvv<_N_7EP^_hvI_Yi~d=^KoE)l|J>78wjk znKIy*VX_xltS5Zkyzyu_Fk@SEqB~`#ryG9G?NzKz3qR$+IscQ&*HXs3{S!p}Be}Su z9Uyn6+9?3CE^GS%1~KJ@!&ZR=`xA@D^pgjib~t8B9XD;$IHvUz&?2iLFFVG`&nk(7 zdhIzyR0vuUzWOAodf3NqzK4Hr2PX(P*)=ZveoIcoI_-49J8M?tB8_mC$<<#*nR?UR zK0qsfD7)&rbx9%M^4#g~(KSv=ygBhUM72iAC!!@D(9uY0H@=+Ko#!aFm8Fcut8BZr z3RLJEo*G=P-1F?C)-=5H+t~$GIKZY;Za)EpH z+)S`)3n*Y?W-1g0$#aY?vsZn@&<^4o$sfaE!1QG@PEd9P(u|IBc1mn=z)SetTRR zTlL@_Md0*ihMpl&;Bn#}*z<4Vs|+TzTPb>rw8oOAGNP-P5v*Do>^rB-cnC6fqpxTr z_)MJHn281c6}yf8nXB=*8oDrXaE!BtG_0Z{`aVGJ><`yY2@%2j_56;EhtLo%=06EA z1JhGdLK^^%2&$?{C@7l`*qC?Ct?9Qd)Ln}MlD4*|Nst*Ydd(ZZE_*$`_67!^ll743 z`4BkG{$tM7pkp2PUKHxym=zC33=3Xd$BNZ#?b78i!xhliowUAXjZe@g^12^BL9OQn zrJxa~NoF(dYjldJ*(U_0AumM(J7nk9Y9a^qpx3Q^Q5J0vx&zWkCm;kE!gF| z%_!8}_DDwWDhhl?y>C-kk9g|@60uMY$RST5cp*ff8Vl!b^zR{#&d=S>PH;SkzEe$7;77@47%=kJufG{7fT^L#E4zC;-IRJVY#a{>M#p&W zCIQ7;cne^Re4m1SB8YUe;GW*}|4IYfzd&Mv=+GdxO!kw25>!sA5h7f(+iq<_JLB}s zjO#f|j|5r%=^~>HVN615s)at0J+`EMcZYc-nLgEghnFj@xG_k--90WV%V(?Kp_y^7 zyG>R^#KGb6?sO%aFT6X30|R4GBAELdtlghD$_W&t_r8`EZn#9bv>^F!m$h0_| z*|Qp=rvrgz=F-kjyvK)!OA888Pj~C)bN zdU7r^ zD7c}IR?8z-3Pbr4HV#Cy`VdN%eZLSr#s46*E*Soqt02Nv_7&w}=byP4bsi~ndd^4a zvzzcQ!8%|=n=m<>3JsL7ju)mW^_s|;nVE(LHbZHCk1fc=kU;}QV7tDtv54!!?05#E zR7B+Y>gE0AoiI%SFkn726aULKbW&2%fOyDa>W9t65Dd?|GcYg5yxPOV$r9^{3*5v? zqpi=~4Yf?Y27S540j#4OgjTe+^bcM1pEvpg^bckPjnl5k9`*y7}a{QCCx@~ZWgm}~KInLUVDKGnjZcY=1UrcYyv6Fp-`g{>O;5 zU`WbAHrx?+A%@})?WQc!AfepcRbTUgNEj)2qb?j)8tE-9Ew6t0|4>l)bH4G*A^$C; zw^!J8m%36|`i#kJYZO^S5%DWW&os@qixfufh{wKPXFI1ZUr@%zkWT zs+c2@PXf08q5$Z;s+~=E#V|TH#^-wiCm_sf#7`|+7`J)X;dP(M@3q$(e4UzG-X(;5 zth9Ss!;M6NfoHcKlKKk(fnFg9`1&?+;@JcU&5zj#n!O7^=E7|D!TyBm@jA$b5oPA+ z?HSzIfNb;z8ad`u7`RDQEiA&SVv&3G+lNFo-7_?`HPh45&(^z;*V1;r`*Km1IDx!& zl_LKyoT`Ze;?F*uE=Ad}AM(Tyf2z@OnPMf>`oOl4WD;-UuLG+SgzdL}e@FK!LonHV z7=iidA+e1=pumBPm(Zc5tPFu53?afsboWpgLNAeigOY|QN#VUDKlN3NSu({Bk)dN@ z2HP!1;sA2(=Rj*0h9d4_5XU`xA;o5MZ&m-<6ER zyS*ULj@u5mo=^pdbNFol|Gzt){fjra!2bvRRt!PEt-m(NQvdH;x?zH((iI%#{}HA` zzCJmLCuXz7@dymya4g|neDU7@vd10{;5WY8L1<-XXM_+1F&0)zPEJln#&gkStefZ~ z`L>2?f<{}0ebjYP{XYcW2b2#GlbrE>f6(Ix*TrDSgn{Z$aPo$u$N z4E-G;ll2tFbSPPMd*4DByQ(w;0gUZ#bxOjMUT6Ye%w!H7p z2wpfw_rEBF5?+@Thx~sQhC$B3UJWFiRhUUHBq6tXl5{;@;V3J|pxvQq?7O|YYIS^c zga~OB6;Y&iesM9fu%1IE9$oeZhlRm0q)7k0B_r%qnEUU$$sL3MmYy1+=_4T3=59s^ z9qu+|^4VnCwbUqSc#dXK6`~x%#lzDUp{1d7c5Fq*$Dgen|HA+mG6&4&kf*F5X;6v3+SQOn;bjdQ_86ogeZSC!A>_0w9N0Tvi=xMVNw z*?b@@xV3VN-Af>;;yuW1?@N#oNu9}qIkFvDac5p$mW6PfM#+c9tW@Xl|7IJ{e@sMs zT#y3SKSLlZA8U1m*MqAQ9v}itdRp2hq&qB=t|4~U!|^JKHs$#ESRqY){eiDJgr~vb zi7M;$mUQ@d!5zw1WbZ|YtdbI4NV9=lG(H5Jj&`Sq6r9)ay?W(+|1!f$sy9beZB|6x zP5+R|D#e^K`e8kZ*$^gE&R75+L48cAK8zIRkN#Yx)Hd0)y zXn=5B(uGSP9qH)Ep9saWALJpMs0q=9sNyi!S~R%^kYVhmm)}uQ(DX?w!W@*-IjTM= z=K-UDbrs|h`QNht3=>E=f!+Cbdhk&loa#KhgTi3EiyGmQGm<>K^XNnoyr|?>k;fL+ z_M^}QkX7U1U}OYpJsazP_SVC_>ZvQIYb(qy21NyzaCizO#|HWLq5XtmFK0`f_{92* z!gOojiJ;Id^FQRy8wE(jn4U{LLDnfhI8h)mBbzIDV$>>E!@=&LtE=l&TgMAU%tb{- zz7wZFrGo$Q2b4f_wXWB7obhKZY5GbLk4>6S>D76?@5#r7(kb~{Wa=d{@ziR0qLbK< z-3wDwmx?x-OQg{Y5IJt{&&6*TTY+#BoaZUCSH!#Sx28fuv5wa)mHMtRqcjO1QgM@- z5(bu8=s0jY;XIqeOir+v04M_f=BgbJOpeMqhw<2=2b`On#jp7Z_c&-<;*RYOJ(FP% z3v}$?YK;fXc&Yn<-BMqdXyd41QuRi~P_Z=Q>vbr?xBMUbwg!SM^kHXD#SJWVp>LRr zdDibSUubwAL&+UszLmYCPmg_AuYP%Pj^(cGOG< z`E?38+)MVBtqGeVX{<>_2n+W29$H$0`uE{Jxx^B=|DDM8HEi%6a_)IIKd0L$Tb_Oc zjXnDrx1NphUa#@qPuN!7FGtHR>MnwfXd|3yNg^~76Ms9yhy70c>E?ESc=lcg3fXR% z$Noj0{dyVr4Ct8m%)SLbS1-hxi&>lcDk*(br#dNP^nIafDy^J6&u)_!B~2Q~x=N)s ztYjVVZQX9S)$qoZAUCT|ghf;HmcsR9J}UFRzrg>;M`tR7%Qf=J&_mo4g8FHY3%MYm zitlU9?okHlFu=OjBQJP#ui*>+l#fX32R1e1o*a&e#J9> z&ydq(vYV!CzCfqLu5aL41#y}h(`4Bq}_DdXxWeCD8zp!+&%mmG$nEDK{qG6VJ;ym@p&4rl>p z z2iLi{=B##jV6Nt$Kiqdv4;~`7vmw3c6=WP7cRF_LGN7luOE3+ zV0C2;#B|N?OLa3imqSgZzK=9X9>#1^qyB(`@Xn*0p-ME?i_`->AYgZFD#!(F#7=dk zITHpgmKyxofrTHQz~SLxEYTn>t(w2ad>k5^Q-~QnK{B-6O8u|p=tY_m8qRKuv4DzG z9iuV24hp-Gci9NQ->K2R1M;cK8f5B&C(AC|zAX2nDpYE5Dr(q90%m7LpNqUdQo*0@ zXx$ofqM2q&RWQHvdGLQOL%okhz?3uz?lCiFYh+UhA0rjWVBGN3UFI^IxWiO%V@Zp> z#5~N6}89QoRd`6ws_l0xT(PwDi#j;@82P%2a=zcqu z{#jlT$#b1W$MDoTTrmZk7=)Rq@HCT-S2H+vp8SDs!D*V3x<9`NH7tdgKZyR*|~ z+nh+C_(>O8{kXt3Zbq0n(mnF?JjU=D;tz<2HX0z~#Zb?M`5>1H7#~#tWu*KCr(d&; zg44-*`|9dy*NR^ANJtCN0*7RS`W*(OzcxPOFzpE9DeK7IVb8m9ryg!ox z_P|+0bF`N^D7GXIBXoscyBKy_tvj$KQ>UDMG)V%c??zrQ@Dz6Z{y=oW@aJAXUmwq z)&^^}D$P0-WuN)hNH!9|oq_MCb9l%n%cXVRFDJ5;KX^DO+oJWGi(*LQBoB&HexOvm zk=D&F=pUd&3^)8OU^#@|w#AF#j_d64RxHiEp6p%9#*rmv_28>sD{s>{Uhcc9jEAl3 z)Y1o{g&W8)=DQsCT7C>xA*q-+*cdoG`oajlJ2ylyQ7X+?i%@;KhjXE`SZ^}%_Yq}2 zFu)GM-?pplukYfyOD9@!E_Jy3#A1R_aq2xjuQC+t(q<&>)ZhnJ1iA-g9@#u}Q2^ zXjHj5An9e}T~&Z_6I+(1qHIe=v~Uhnp}ijF3nE$=fsllc2(54d)ar z_K~$qM|lAAw-LrdcyHd^HAj|P;ECOSN)_`BNj!|Lm%8bUT(+zZxe%U#&>Fcp#!{r8VQkg(fI`0(koe2wt<*U#Yp!`52{<*_w?fPvud z5`q)l3BetLySux)ySsbv;2zxF9fG?prKKM@qA^ zH{gqONo;IvWIUep_U#e}{B2uztHKVO{fflTaIcV7x}DcZ`nKds$cDX2P1 z+7%u3W*Ob@*AAKl!tR^(B~t*mHSs9J{!N7WQH9f9CYMyt{Q zoNsjC;^OY?Y1%}=u#Yk}q$fiPzZx2Ch{Pb7mnfdJS!2n1j}XA{o)*TkI8@Ca^k5Mi zV3%*XDo6{Qlzs+TsC<qmr<-aqzjA- zj2T95UGTAeHeVQjQj1mEeQFDLAhV9qQ17b zppVE(zCLSCRt-`f@TC-VE~$G7ec^QuPWTii$G!xX)@((4#Y;HO^R?g7GdK^jw8#>n zbhp!4t!rH4jmp(J?oJ(#xQruB$3ERg7HFb$66n>V;jOkYb$oQ{ zN8W>AAI+k_$*%SkH6k}P968Mklx!Owr)u1U{?{LY0H#WNF{?|E#0Lx_^aJG_A1Fs_ z39dpP%$b#pa$lcNMFp*NTpT%C*iY{O`UOif3m6!<>#LUa#YO0)+g(g96Qgm3| zIiba=#nqc%JUxj~wE)xs=iwo2f)Ix zw>G;T(4b(Q0;&mMird^iYwa@HVjz>ELeeDvU*jK~=*72wKm&_Dxzy|@f9M>-6!L9{|;NVC?b z7G>4cV9c3!_V$#Nm1j)8BGtsaPOWzw{KFEk(2+(lMz{~|N;XaVR z7JaXdqvM+_r=L_H@mnk<`dwl@!_dk3UwfS(G{8pU=Qjj>kL@h_;uGfhd(CY(s8p^R zq1c(0-5>0)=ko!&l?r%oID?!M=su)V1#pOK(cP2lrBHVE_^bcp zu0v$S-w7PU>*d9C#=NsOxb{hrJqTd&mc458zfZ0uexOuRbef)gZ@OKia)JE;_`iN* z82Rvbx&XIY4fv({E(9#bhZ101@yiLJIV}27(TSr@&wuayBc4FLaBvi%FS6+ScU#NP zmdO7v@y?c}y59x%fO;P}e(T-@p+0sqciaV=zmv%edM5Tx;1EPlAf~g50AO5YrJ&16 zE-?(<0vZf7=h+AK{=R}vY&80Rqw66Eun5_rA9OAyCwoH*#@uPD9E($@8+0=k49GwWa4G%insFp_QD1{Z8WFF1P?4gu1BDkN#la zzX9?QKfSga9zm!B4d*OPita8i;b7s*OUt*b7Juq1P}UK2Y^-rb7Zr)HXGG=R6+>kMLqt8!Al*mmpR{0Y)duXNAl=<^EtPowpE3+%Z ze|&dBqVo1V+8IO01FIE_^L;3zPv}crWzeaDdk~<`rNt#ZKO(ufK0ZGFEG*>v3TP$@ zZGvOV%1YD20LL_~Mwk)Si^Y@ipn{1{?n3;1itQ?+2oALfQsqeD+OnIliEvxOzA~hV z?K?L(@ZA>LR`ZsqGr!YlFU*CSb0N5+#Vd=c&vJbilu5S!)tm&rd?bMQ@S2}m1Avab zJ^+908zRB_f&PUme7;EuXixVp0zYrY4AMT~tgfz-qrtFVT{V>PlInh{zgD}FKFU(hZdfwUMYmKu7ePuJjmFq>+DWS%_{yF$HsdX1N`43d-n zVWZgzLcOf|3=zCRZ=C|ZGAquC(_~?Zl*!!$hU6<5hgUOxvnQOX5@KKf>=F&rcxq{^ zv^$TtKCwyK^$jNvO8S+*R(E*FdWUgBj9`lQdGc#bc~EQ?s&JEkYE2T`8S|(78JC<` z<70wlEAj}&*uleMfEoLc!yf2?f#Qe?77&Bj?>ds$4OEeD#mS!7@-=PIJa;nVhyegM9nJ6a-_um9k626&we+ z9BzZ7T@4vzxxe=g{+K-P)>Jy$&x@n4{G0%?4-%FDpOa8 zz_&eY$R2YoZ)2Xx^fJ=cUEbC)Z4|fXyK{t7C5D#R>Cxh`dmQY9W|!GPXJdpfiObVS zf9CKwk2%{WLaqfv{Hx}$g5GV8WF^EE0f3{)yz4x)AzjT09ZIFB;9!nKF;^V!#l^+y zY6c$pGNfIDZx$L~2Z+l9WwDv8E0p-i4)Bm$T3oKmvg$LLuA9!hl$7_gysAO*pGT!no~)*uBaD(F)EaH3 zRZjBFC$N`(CO3rbOz{qm<|~|Oav7eqqvCOE?YWUQ(V$sx(`ZL1@_1bT2=_KK@6$g4 zkDtI{b9Y@+`Q}|9V1gHbORQN&tLX#>6tQOHT;rMb$~rbhlbv1AmPaI2 zS{X7k{lHWiw95w;e_V(puUw1{`IWSMp6kqhUEplzcH-?>K!*>f=!(OL-9G8;^7@*A zyF=c-%?d|a4aJZ}e>_Yb?^W5N97Sw7u=dA;cP5(FEHUaWmQ`A1n`6hkr?ma{_S^Fq z*<9BHBlbM6`_jJrzRJ4IU7I2EY5Ihv08HjGy4V4HvG{D^A%{z|R*T)fZnMPjO=Ba_ zfASNiGrlrp`p|a4G8pfS;B9lM^Wp$64q?eB=aZk0D>WLa$Dv0}-p}S+z8{58tiL-r zCRwVp>(0d1;H_nnx)liF{YHZEs&To3KyyuNzrZ_Za0N`(>WDn~}{ob+bhL$ zz699@6wcC8i#ACs#KONb@-A+!L(J5i>)-CNm-^avOg2wH))=R(dKbu)(I+qi_Z#gn zlO1^K>+~rj&@NdalWr@SMm`J^5kQtGM!*D(np%;ORq%|FKvs)=sq%{3?}L|D`k^?+Nn&!mPM6u{Pv0(V5j@27t$lrRmL;WhjRp2|DxykIc7YCEp3L`pjiak^A?}I*X z16=GFlxbIKct>ZUQGNub7hg+-G@{1j(I0`2sl6m=q%+5p!Qp79z!vzdf@Z`l`-CJ( z(rW88({&Ur()W13=L&D>Swzxzv%(Uu#4>0tP`15xxEx7%E%8~dI%USwZ1p;xHuN(> z_=w>ecZ1iVD&2RXQR(e=#0kq}W8maqPgQad?O%=kLE_`)6%93YcTZ1vSQv2ESbBOo z4i3(4$FlYXF=w9p=7YulJ|zH~kZ zxk1YXCUY-A_;P}s;Y-Iy2kf$Ou;Ebs;u;B8yix_yi}tFU5Z4c~VFUgQ&DB!-O1L&j zb|ZDO%z5D)`4bJ@zGH@h4N+xmruZO#l-5{$53500UM$(aziyN(2y(RDFP{~Tsnv?8 z%ZrQacdaN2TO4vW_Y{v^%^x!`Gq817Z)#+qW~-#fulCbv$P32~lGMtFcgUitHtLN3 zAx?G&_q>wsV&U<}U6yJdQU@!xgxsfbky%lUcR5Obdok#W`=XYXrnZz;V`S={uN;mQ z`Hk!@BK7O;fnl;!TFi1fY#4JzYU;LilUY22{F+B`gyAo%P)CA6oAG=hHMa&7J`4U` zXqIRTPWwpW6Zx{qW&Ep@%?2#H3 zS8N#qEO_x@%on%dl1+M&&F)sO2sjU;x@(EJ;@6s;VQ4nU=EAbW^RL$fLs!gobs_q% zpKk=df$&4~%)MN$48dF{(LUc%5$bm!{dHo4hyY(EuX#QsQW%sHm}149A|9FBO3Z%==& z)x@&O$!l8=f-AUGpDB3N7jwXEqnxu1drUJ@*@HrmT`mZXPtY%;|G_-BIXOC(JUB>g zLRBbVTCXlVtYWzINgS1ud^ijp%_w_l?%~N|N1V=AFiFf1RN4Q8!=jt7uIo}riNhGz z0WR26MpXhMz0bhL1vg)|rhS$+Za#CT7RY|-B1KC|Yj{no%nuWO{r^XBkp=T>Isb7$(GPp&5x+`-`Kvy4~{5a~2A10km6=ua49 zla3L{cHvNcOTCogjf{qC{Z4k(fzOkb5C$;|PNP(-y<9$d+t zMkdMrT4tAbl;QOb_Z5hZd?^hAh9TUzMJrh6h=pfD$o^mxL;v#@?cRdG`0u~osX`zx_E z#6REHU9f<(wl;L$=)aBMPLCUw-n8_|DU|Je z^x^p@D(?vgmI8}|={X7zvV4>RLTVpk+$eN}61_m*E=x;G0DGz$fTzZKV#Fu)M@GZK z!o!1D!r+u`t<#-dGGVc|Z%_%*<3C16AWhFeb~wq+qw57P%@o32s`Gm4`goT`vdUaD zY;hduspR$tHX_=3wO;?oF-CO$L_m&82SigSkiR-bP2S_?{tFBI$QmHFkGK@Tz)Rs<}mjt38@7(>?-6ag@h!tkoiud{|xB zAFl!=Nng=Oek`;a?arH5!Dkvb&F8;rF|&tvXs}qWesPkxfA|8Rx;Wj1uX9PNl8B6Eh$_=8*MI3B<>Y}tvvF3ZNK*^; zK{Z?cvK>i^cbexN)~bj0sq+)Zds1os-t(t>5-L`3MeF>x%S4prvhxr7OEY~~Ln5oN zj;l3E8;)BnjhiE#b8JhY$HH%C3r$zYLRU+)X(B#;b#J%Z|8)NL)fEEfdL#o|;ytf@ z{+`$7tnVq><5Mg5fU=v!>&dyd+r(B59!HD>bSa6f| z!`2q}E>9JufvH1Z@_QD3uN*EZVi8dhIe~vcP}zg@igQo&TZ=11My1_ktQI($h~CFW zJE9)CqgTX;4nqkW6X=3cAX$&TdAO`6>fRNR*ePu z;jy@NwJP2xxIBpAt8#3P{F_``T_qMCYWRkWAy#29ow5jJc;rssQws+f?2Kb@ zgTexBIqSoRhbp;&tNPXz55vm8H2^+fL5zUC3!BHu1R|MiP#~yR{NQ?!j%CpYQ>lqm z?!XQ-oq|$qox9wL^kQ)~`&#xlyY>#tA--cd2hJyx0wnZt@3SN7eATv`3)%-` z{k@6^B{W6CNZnmsK;UCp!20Yg_~Edzy{pcchstqDujj~R3H`5AUPh+3VZ34=tsOA->SL)S{VSv z<;@48zLK)wpdi2(e1{A#7~cOYJ{Ab;{|yj;v1I~iEw}?YDBi^Px8rVO>H@DRQpNs%D`i{{@)khK4V?ujq0F3a^ zz7gtqR-scBP5JqGC?~fRQ&2d3lBA4{frrFiUYh=d^7;7a=wr3={rzdBB(?AVP}-sd zVB`s>y#-ieI?3O6LBh%b3yVDfGagKa4TrTjpZ!*tZ=Hq@6^u(rIoRHo%$L)`G(`{1 zXAHe}Jkvja+g+>@+!`saEY%y#zxpDVDsuk{?=tdUlUJ;8`DzsOnZ*I>?*u|*d}!69 z{(l8Dx^Rm6`rB067cp4>-z2G~s>DCI`Uha`++%$Jak+7Dc18^9^k+YG0Lu7{ZDnIY z9f9+Ya&mItzkgR2hD?RZWu~S^3xeqYCQv9htu-K6Ivku|kF@NvYabTuxFB5JsbASK zG)sF|JpVYo5OFPRG+9Jw_(9QQ9FmXR4H1&pOdbU<2xHb67W^!S6kN`EG$CO@SK|$r!<8kP2eAl+BaGfz|nHW{mFo3RpbL;_UOELkEHJ@T_0vL zvZpTp50gJT`8xN3o*0J*2W1*{Fs~=;9X#8I@^RA6$_?qI=ElbR;kk))370KVqkt>a zUuL}}qAjE3(Js?jvdE~&Rn(|SBzK_t4wI^t8g6CKCboTJ;Gdgx(Lh`aC!{2xJNR*Cl+V81!o8S34O)T;OI+q!ac>*ClAztt0v?(%G+ z>mo=Xm7{c!zY%J{4%whdD-S74o)nLOx z9Df5f-`LsNNl8iJH4ssZ%Fc)u)dAv%eF}KhIrA$mH-H}GBVqjn}*anUi z;PXU5V&^@NgFkGSSJu3l89Ybohu<#qU87Zmk;DZV%ciqXt2dcF2yqNV)?frA)%Ns^ znozU4+syn@9EnO>z|*Q8oTd{{t?s6+8p|KrkFwILv%87gb$>yj44^kjk#l|h(e?37 zUEGN7Qnc95`lBf>W5YaY23Nh_;V^nB%a4iz27NBt^wnGDwHl`?4 zk=iIN$3lBuYgJiKua&7Dpl_Q-t6>(OE@n$1r(yhF!1~M0Tdi`LeKgI7iy~EZ3)4aD9$N^aeRNRW6(Ub6n&P2{g%V zGI`RSF{5mtp>rE+we5IW=1DGFLOQQ4VO`qYIplzg>D1%1YF)3Op;DsLuJ&qpoq>UQ zHp;Fy_`L42#?iT3H4an$g=#gINjIQAZUz*g{IGs42Yp0|cFnB{iGPF9R@1BXbnOO- zPrLn(j)@xX3Wb(&?CC|g;R!WzL@b8$@+pTKPm>zaVI9Noj{URzynNTbw^WJ#D0q7H zIw}3oezU8VSOWjl1iO)K_ar*)iZ)|!HN`?fk{!mSRd`2bTJ`)oQ)ed{foJ|k%}tDV z7wR4TCwMp33>=mwIM5N?@7sHw%UdWMQnA|2rLiYY?B}dF1Oy%V96FV9P*6~ua?P(_ zdiwfa1uEcrvd%-(;59wsSaDGjk!&^bM~g@Y$K{egIBq%Ql{=1C;EYFl-tJ!(iiWkI z3vDO5p_geF4EBt;&ubrU5#OrZV#;O8vXe|ADXzR9PjmB(rJSrnjuIJoMq#P`#7&8R z?e{EhGK*H0aZnVeVqa`NO z(Umc`&v&ihCFNbb;v1b+=!Tg(+UmbuH5|c}O=lVfuW~*u&et%d@lxJKwg+LE_&oHp zrC-}!g>@4N40B+wqF2{=-LL(T(y?-?c$2I-^ZG4Tlpnf0V?3%Bx7ZnAQ>n1j{8VWA ztt)%I<<3Mph12-Na(!d^aePv}Sst_O#ycV96Xp^A=K)=(11s5e$El|5?9O#j>(j88 zvCpCr+oy-6jy0EIL_`8G>CFVW+=E<4h}Y96luW zLgpzOpS~tjJh@e!{cTB&lwfSD2Tx0$J_cT1*iVB5bTo6@##v`nV~8*NHC-tRlsgI) zZ*B#~5Y(j$P1cui%T@eE{7dre-j~X!rsX!{79L+;m?B5coX;|tPNl@S;J%W&)5lD$d-UAryU$R4+6ghp zNm@?MbReRPnYVkn4?Ef0oL)P2y;3$}jti?h>D*bY&zbyj%5_DSW$($a@^iji0skm| zRp>_#ZsL#`-Z~zKqpX6Nl#-Iu>r9oZKH2JoRkZUGi<13F{Fa*D0z*Wymm>>|{J+H| zlYnm#sEq!eq$r92)<|Lw-f+;nmo&)uI+YhN5IwZlL)k@di!ON7d~>w99;R4IJvz2I z2BWMSNs4cMR#GCitEp-z*X6h>^oGTws4gxbAn!i6GeK%i@8jErXZ#Jf8UgeIii!@p z>4u|lBD^SP7jl_e-98p!D+W@Pbq~kuTQs^}w$?riKI0Xc*o8~BgZ_{43T_YeVG8Z9 z&axJ!EFsyi&Ybw)l5Dwa9}@)72FZwG5gC;?+)S4-Y6xr;iE=ZvE>B3t@|Wwad=-B> ze6!}ZJQB!jIH*vq(wZmz3bY(_xZ=dsoGd2D9r%Ai)~P@96?VcfZyuHgdO_t(`6`y| zjmOm+IEsIYQx+X6mz_LeP&X%o>dL2P=eb<8iX!qZihdcrE!&Mx;yl{2FWEL4U00bI z3C1c_`CWDMqzcn#hWdlf=-aU-xAh2iOVwbJ`r}d3=X$UVwW~qbA4yVF{xV*6eI*l# z7vt2K`)Kl%+QrbHMi}Q*bx)JWtRj`)97LTE)v1v}ni5b;N!KM!WyqCO3R5SJudA8T zSS_c48+%Ae-)^Vs3M;RcHWBTh$6&uVle% z!{-v3O}cYAVem=GK3LdL&8%OSdcT-&-{Pi2x3jC?{qT@mrP!4PtyGst<#v7Gd%65Z zDrI=$t#RS~Zkc>a-WNwQ=KpVT_&t}Em;3s5NsuC&1mulbL)!Oa!8GL@Ryh7w4 z8%oIsP;ZoB_e3-*L?xN97B7Pp7|jySpOnZKu0)yXUAMU3HU+U{h?<=g%!32*RgnWU zR6l#E+a6q3)|P~Blpp(EEMc1?3izkTY4ne3&xi>!b!} z6v$*uT~@_C!Hwcqo#}7Kq9Rwaj5Z(wg9~$=}U*z_**{XW0|R5%yf@+0m+MHG8#!66tAlKJWWy;b`6b;JW)!;)Ov;C1B`b z0Y!54NYDrM)eX@7BA!bC1nVUKo}lDkLW1R7QJi0;*HT}boYSh~^96ZPWF zPtZ>x*W2c^H?8&twa;wp($ExtNJ~yBQ?B~%oHqNAIM(XqmcJk;Gz#*TYcg#^meONU zN_^Jv&E{TpfBca@>lqypG4QhnN}V7dgyf|+S9PGWuXFvg!m5yf`#;Vv2?3DRNOZy9 z1maSk_ah*R=!b-s#_tIEV)o_1K~YGEjmoYPABfV8ud(z+bz#fE*jQa-qX+<%Z_&Np z4w;Tf`B{))P;3e8B$&6^Z#rE;dYJVEEuwyHIQ_=VZf|n7hyUuVVPcvrA;Pd!z%1aN zA3N2AI^ZPzx}`qzG$9O*I;A3Ym8y8PXJXN8VpM0neW^Nvpe*Auz1GUcv_Ft|OHQSv z0u?G;%H3*G(4UJ7VPy2=gfDKI)2z8t+l8iAh5}{el*pyUb~50&ERCi5$!ccNh4^c* zaJd%CRXDo36ix&deB3A@KP+S2A0M%%vM`15D1x)!F5ipF{J z=S&NlLB~%aUvhsVwX{7UE^2&JZ*j>?RBu+1Yj{4}UJY?Avs^YFwG}M`Rr0&-Zj$e~ z@|@!%pPP#aT%V9W(-8+(m^rccR;ya+9lSsArO|%AOQs!i>`hs&mLJ96xo8Pbl78_x z8MhB2o1o3QIB9*ssA89sKJ0xu;Wf7WGfc6qY{3aps$Z_g zZrn4316N(vKx(&zzt4R{gl;~;H^wk78YbK2Q5~|Y>wLW-%Y_;*pH_nb!DEhIb@Y^@ zdaBW2aJn=D57(|R+U{mBbXW5=GBQH?^Wx1-B~Qm^i1aI`1CudZFNH7hosVN%KM0l zRd+@%M<(WtA3Q-_1bVrxhM>D`JbOXdyE=x z#wqiT?vTO4Liudbxmk(K0$;+MnJk1Tvu`+WoAr&)Hc+sphPFaC7;Ct`vX-?U^~n}g z$s%+#5HIyDj<2n~=n_d1P`iG@Oji_Af~)pB(C6c)gF2vi)KgWET@M)X4NOX0J6+)vJ` z--%ICEsoxrR6>5CQOJqG!~d){z3@>jQ~C@JzFB)}_ya!c^_)#d6$U%x9J$f$*N2v+ zWo>7va_Y!Ccv`b#beL4=S6@|h%MC^Q#hD`~r#nBrlJFU#I7YTfdf*B*Zlu=XVslFh zDMV;VeLkA1V;lLzbw@~d_owV$xOylI6%X8mA9>xtKkaL+QA2rICE6!HoOD)LHudlDQYtq2_ zpdl-JLC^jvx3o%(-rysPmdiehdg!e+i-1;~b&nWzHSAW10c;7XU)|4h5Jy1>D_thE z1-3eCdD&etUF*qqb$*L#vCo&)1(je;t_(nIjlx>xDS7=*`H4H!e;sD}w@QxTnDKxk=|G*x4+N`^`l^f!KVq}Q7!7+I5Fc!P5T z-?}2Ci1zD(|A##)0;@c;2UsXruJ7n-tNSA!jh}L~nO@o1ol&pcUrY5KOiuzh z=?&MTnhF>IHX7m(q32Xsj2dx3R_E1JP;0gHn52v9_LasLU5 zC|iwi(GJoa{2z>d03hcje(l(4@5m1yfG2|XnQ-&#ejO^ z!Lc!B1_op(uu3YwL*n=Rd(I3z@4GqpE1WIpS)oe#ih&>#=!Fk@g;tx^U&qre1) z2_9g@vu#39pyd1>sn7QM1#PVlYesA?QxCpxU6mhkeBu3qPZt_dG~p75VGc?MXe)1#Y8&YWq`K!(QULj1a2U>zt2Z{>Bd4 z?())7NolFPoLodiL_#PT}*3&54aGpu;J(D=efDL z!^6XSdwc!;{qr|be>az=g^bI+U2b$?dTHrVo^W)wxfmW2`NjFU4FI)6LHVq4q(Zf+ zdV70|bU2^kMSee;po)x)4BVonrM6&IH$;h(^^^P~BY zD*~3fadHA*@8RLW3qd*E)7{;PWDs;7L&U(wmRhT*q*NeMR#fysJ?0fihzRK^D`O@E ze&XlnM+>##@bL8P!l*@HzY4o9Dk2|*W3H{OB}d8P_7wX@NJb_ct0FHiuoM;@txO_E zj#h+f!R2zkk=Ou%7C^+b@~H|uvGsC})BRQ=wk9VBNy2WjD8GqUyRjbUVLt;93PCqLoi{baa<0x_x&b00jWm0Vq$h{%4#Hzrt18XJ=Vd}recNfl+aGV91b%n5$~&TmwN&8c7j zF)>8StBw{r`F$FrRxP%uk+~C+Jod0N@_FvVkn-9mg32O7CZ_mWaI!GLM&}r)sIFB7 z5`M*#mwutvLP5*2Z0uV#cvm6y>x*h%q z#X+*%)X8Oq3i!vYoeOK!90KgGVDLqQWl)4&p+vICgq~#T!?TnY6w0Ml`5NWj7`6PH zAAVf39098$46*u#uu*G~7Ff_G^Ehee{QBs#lnvs(;;EZdmTlOW3vv~=WA$1lpbwqo zWbKO>fVk(kjS5cYomBTV5YJ%X@Sm_>9X@)Kf7FEYK4GAz@5DNSlF7540Rv8ORARlK z;P^-B`hczR0{Y=-*M~h-0m@LDHM;@0j_&Zq6m(aR)thbKorM3(M=cuF9LBGK(0_jl zCi8NEw?3N36yfa)gB z%QrX3D>!G+bMP+NuHlYf|7EU$adW{1Ir!FkRHPG9jS{9vTtCMnYfoK40;_aEem_8h;w#sQw!UAc^e(gw@p^^tp&h>b^qy z-}^y$b-*csRO}()Ks_AAyLv5w@+@@9(ZiKn7juC4PgTp1o^OWLwY9xuuu}?fGL>nE zg*lS*R39wc03n)V$Qyz}q&uLvpD?js{`*)qBS202ATvi#aj_;i=sOu;E$E)du`}>x ztE0v%dFns?NBp1cH@~y34jPsAhh?!X&IRu$UKk;w9GxmwzTK*r>y8@`rlJ%;U1NIf zM~p`UR{Ob4!2Z9f4Wa{?rBXu3V>p%7V2bB(dHD(*9QXN+o}n#-&eNFq?57Gi|D?KD zTF6B3mQTXiF$G<@k&Ua^{Mre&Z<}}asWTOmP16HtjtVsdGX>ZzWV3a)(3hb|x{a?1 z$t+GX_tvsJ$3cRPx#yxJ9`^PR9#R5AuI7liRs-qwTZ-uK2>N(O;&(kjJj&O#9JY7c z^S)1dk~=qmqvw?mvW_>4EcnWCn&so&B9@LfmW3IDjH-i)l4fRRYC&55^RU~qUp#NM z&AcB>?OS#-B3tY9c45IIOe)2D|`vF{#0-nG-aa7HA!-zdI zv(igfEJ%Xf=I1AeLT-W=oSB5>H8#A`-M#esXZ3iRy(?XiN}J@TyIQ)??rdn^+xKP4 z#V&cp_f-CWf|lI>%7On+P-4G#L33{QQVGN-?)LyW`*&L2@(OOt`=O&Glrs0~4Hl<4 zhWh>yw9w;8xNf50^pA%#j&-Gq5a<#Y)zv~S1aKKYh$u!)OYX0*arCJrI~vI!>MEQ> zG7laWl-Rys^a3vjsXa(4V8A`A6^Jg$KiGcPI6JW@E3w=<-$^EL5I0bWa=gulyN^*T zN}f_X)iK*SMoy4dQBzV&NV&epCPdsV`EjiRX1mi~m=!@W5+5k|DNC9a#&@s%$dhls zU8TGgDc;}qRugGUg>s!$r;FQ^Tm{f-Yq*PHWd8v}guK4DIRyoruX;5% z1xo@_{haL;2Vefxq`ri_Ix%QRiMUt4e|zQN|0WY(&T@U_lRO#dGge{5E^R0WeBg|3 zQVv~EF>@~fSrw&F$3sN7H)&E;HFPZ3a5Izf62<&%K7Ol_yQ!+Gk+=@7U#9m; zR*akSEvCY^gVsf>-8Adn6jnqim13kUNb{SjBZ-k@m+i_&t(fJD9TUIwp(mn!OODC^ z{9QnwDMPB5vh?8RFE9@bsD|9!xc+*)ka-xFmD{9#E6TRI`jYm#@VVv1rMZueetziZ zDRtN(eu(+=MJVeYJv9OgL|Ce?^$ zN)ehfT{|eras37s=DX=dlp*^*nCV!_BT707+TyZYJC}(s4AEpL;o+#BH)B?gb^&tG z7i1qAjmy8-Cey0UUthY6u08HKLDZGDmW2)Pg#|v>_kYS>np^c+-()OS;p<{_TOJ7u z7b`VSMS{aTXOk%@P82-}l0(BV{s4`z(uP&doTK-}J38_*3s9}cET3`yS16MW4OgPK z>bck<%-mbh16X+V8tDTpx%ed6M6OufZeqc*)!IuC_qUd;7=RJtEP7_3QZ|TOkxfLRgh=w0xbi#Orm(Z*J{5+E}AN zjr7|wV?k18=ExVkAE(C*-Vc4o>!Vt^Y&u;bb_PY=&c>xF%tibDcJ6JKNPWu+-Yzo*0D&B$!dbnl~)Z z(`webDb2)TJHP$PJCP*g(2pO%PCQ|R=Gdrc%bStJAr@Fq865@k^YcBdaum_Cr)Rpx zrbg~JX?3yX@`fDpM0#H!n$*-5*tDvfE(kKmaH_s!5_F0I&9)hsOOJz6N`6Ir6djX* zv8}19YTb0`=^p$&&RmlGQLcVFsj1J>`HaK)2OOPdvm+h(=)lV(voNtI*Tg-iugLHp znz|{bn{zM(mP!?@bk^nuS#T8FyK-^TsU~{L6rp~VFN~(r;;+o=_D@t%O3D%^nja~S z_pkg3qdpy5Y-XuZD8k|G!IB)G9w{a3T`s4` zXZkCC#8#@u0lhC#(T&W}Z=i8EZ!cyeLg}67U}naBv#^f{w6sz6LECSyrP^MM43)}q z`1+8k-WM$$8Fr2680oT4zwbuYi(dA%2hv!Z!QI@~uSBxz4WI8Dw4X1%U+)4EgA-5x zXqOaAE_f?;TTI?Rcf4*}*NCM|r`cR-7ZqDJKzTBBQ^tG7=#`5y%-WNnnG1L2_YCOQ zr1t_9ax?bxYU@|FsR^?tuCD>^_e_~0L>u-k>`R9d5alhz!>fBG+PMmpP|c=B24}NF zXkv!BWg>{``!=07)Xx=>?mu}?O`LjHsWPKo629BN$V(p)h|m~T9e$>D&c;LX6$?A|+S@KrVafd}E>m6M+cUPWT(ak% zNv)IwnANHJ++gFI&uWWA2zA}ZMOGW}VzGjhyECoF@YcfJytc!*#5KXL@Sb7J`4W@{ zZdwgcE^?%2!*_x5@Gt zOQ7-p)Lh?0hNrpZ;28-QKNMft3XP#i6<(p&99Ynk#Y4MgSW0Y%bFh{#x(!GN6e_~> zeJr?x{6iaY?*?4J61`Q#17HsK*6iQ@E&7k?p!V1qVD5S82*Nsw z+Yh@b6LvH2Z{y+raD9c}V6phiY03d9rv`ylhBE8<@U8g8an7iuRhZ1snH3vf>z9VQ z`D@w4>!o=e&?8H+%QVd1XEfePEjFVaDUasdcKt?B2p?&$+UriZu0wiBBzDL|2*g`i z{z-3FNakzieo|@iOQEpc?Ci&y+1IO)-tQ?&Pg@KGVTo%==DId*seS$;IVzNH7>B1W zbbNzWRgAwz&2#-OQ7%>4L^tOv*w{I=>b`RGQOZ6*Qn>8Dln4He_VN{}@tv;!1T(~FjC#GW+1%o7*Ix7a{=X)~2exK*AMBhs_ z0$XoGeHJ80TmAocvVSgb;<3Djo zQ$5uI*W;m^K(|97a$eVlPLUvcMoBT3{Tl`$zyJA1MUN#5i!vsQvoe+b%Q7K7b*e@b zG#b_FQ<$lWl4VB_co$`ioxAm7djD=i&8MtCI2?6V_bA0bk439qPIRCou3SB;Q(V{A zNGlox=Ms!<9`7A7os}_*R{9RQ13MGMl+2TSeKk@28~G-dLyallA*4QS_Pk3bARp?n zJPGxmn-I&ZGl@pU5Iswf5Ro?2T+_z)qnlGnDB>o<-OadSUHkX%*ZXac0S?+st+h;N ztM3Jg48wZfcd&J~RMA}#%B<5|bbg;!4Kar|9kNvK+m^h&F*FwoRg|@DzHqq7Dsd1@ zsCjB%5)bl4dF-_k~#Ou?DA=zMRjR8g9 zgtKDV#TQBXCX~JJFhW~VukZOO@ok)P3oLcmDOp>)$+2|b36fEEDyo*SZxCQwEoPai z*b8W1Wp!ojqylRE&xk{+AJ^;Q#Y^MD0*RXR0e4%SXk&fs!FO8 ziIK$m{FbIY&X#YLq+Z*8_{wVruv^r#w4=o@LkSe-$oBHfY(z<1UU$(5X97aqvdkwV0KSMgBT6$yUM6Fs%RX^i!|RLPuSEJ2bG9FAjV6 z&p|4AtZbrwnQt*Vr&(KFSJPnaiXW$mp%tI5t-f%jo>zqk^>9R^x!VZ{tlq>1vNl1I zi2EN#$r~DT9o4-hg&r!aHfj+x%>Re6w~UK=Yxjo*DMdg~L`oW@m2Pk&T}lp(gmibK zhzLqcrvef)bT>-L&@psLcXvLE`|N%H&t}ex$2Z%L`!_4D{9duvVmQ_oJo#xIP(wv{ zFvZPzy9I8>_KdsN_p9cJhWm4Q%(s23sU+G0uAF~%DDF(p{y|`X&gN;J^b<6~we8cf z?-l?qArJMWIEcGvU z#;oehVG~6%)zI#f$jNEHg5AflVpM$ATLN2s`&uhESwV5^gx=5apvIYwt4zO0vQppv z?(KlM2Xk-i5p`v;KNkzfR^jlqG2$=XtEU+Bfx~)dgUb^OS^^sG$=~t3VhkvTcK3Vt zh(ZiGgFQVxJUwfe@9xR2jd_rAu&?UM{)QSoi?=Qdh>`pl7OZVDt7z(&SnAVaVe&Tn z6-?uv^@}2hoQ1_|hAoM#*XFRpQv$~e?C0nT9Ohfr;sa(U*#@?jpE27_l<}DxM}N`L zMzqd8(<~k9|8;8f@vlICv&C1d1zNgx`yn9R1!0A>o3Kqe{n1>?^HDPTDCO62(~3mBYXc!HHfe?z zjads9!5{(f$F+O;{8RA3MZE~*?muDkQ`%?J!HAV*xRS^TRMQN9l-SaJ@eIL`+Bs#0 z7JLx2b^`v#%PYORIu>lQe5G$M85%ATSLZ#`+(TYQqEF3yV5(qfM!O*r9GyqSw_smJA6_+JS#_(u~+bq9I521^_&)p~mwW3ZT0 zTLm8zCqf_z<0Fz;g!VyP&aXH1oYL)#8w zX@Pk;ncv>o8+bjzl)Y24r8(Y8#3PdlYqZ3DvqET?^8ul`<~`Z^d!(~@Vm7zKzf}+7 zvEr^R7TRC4dV5okw~uphn3t>A>5Clunw^9B7>0akX&;)BeDjw5z(e`VtU zt19zKWhQ+`^RlTrqG7Dff|Wc%X8SqKLMMWeX%9Ur7p(_Lw`GjH=S% zTewX|MUNle4ftvBQ$6El$~zGQ!N(%8thOW}U37>3DA>=0;^f9m91T7bSEbQv(;g9P zwHfSJ;dPllbN8ZxR?HVic$0@TeSd9!?=1zU8_(ljo9_>~A}f`Qncd0UnO6$2^Qaqh z&4`~1X*QbnVr9iy*)-g~72S1f*ZbtthW_Y1k^tGc-4il(3Z=)i@?4l$$}2jLPww$z zY(7-+$$E&f{Zb9&-VU#di4j-nhH3~R+zn9j`AyAY3B`q32R8@oU=n?S71tS4A|E{& z3TEoSNLzLS)oK+{D7NT55s<4YIPc;dBlu%EJ~?^uE7o;8RiyOq#1-&8_e4>9-xL-W zV&UPjGco1DU{==`mwixk)${&f>clRT3U#MCau6f`AoPvJcyrk;@6^+om4cCbJQmWt z5_NU4nCm-4s^d39ZfEf9@ksP(W0qz2uNI3Y{&bB zL<61YPySONTU(CEv^8U7Qg7i!{clBw@*VGkV^E=-n$mmy`n8%Gx_vv9kE)u+@X*kE zvx|yuaKTX12NlY_w@z*c)>zxo8m~MOQR7TAu9JEgaVEbK-X#eELq-PLlQ%Xf+7(*S# zSE+SpezwrsBhi%0t-8s&jg5@nN3!OOCJ)|@zT<#fean1Uy_wk?Q(jss29co@qeLkt zvt_WKZSHm<>)|Vp;tM(g?^+vbPnFNtS+~Ql7?_`9%8A4nw+|gJXKL_?Eb%W1^_)Ex z?in_f7X3lNLn2wbEBy9x#L$l9EtD+m$C82jH2yT=7rSvw^(o!?xOw?`RXH!BqTQYP z)(YDFbJPrRKi5k7HCxoly=6niqV&6kiUq;q3y1jbI!s|xEySB_th71T;)~rvsX@af zGRGq<&s?a;nCGE4MbJHgh6?KxF=X=bIb4-oL8J{266ABI?h`;IY&-9v-3PxxyI;sQ zBW8H9VtL})5Lq!JF(B^mP6H-!xjWC|_T2L)wr0iE*@$&d*7RwZIPaD4LTdx9&R$KI ztI)3NNv`2RMw9Z^eaa%Ot;qP#U}@LudxYvjH#8|VW^-!Vt@LQ|dZTD$gum%HKCz^pSGj21~nM9}IgW8iGgU zD!k@8dy;l{3e4b_j=FGlPiUvduXt&Q5F9D}sjsngvCsrFjmZ+-f#;`Q9qQ8#nU|!v zK15!a`qpE{zPo7m<1A6;7}I~I#TJN=JYL?~SlJvbFMBw@#HLvscLrHH?d|Nplz}~} zd(QbkWD;wvz7^yg(`veofr8eG{zYipXS%Ts6?GFm`A|b3EMsNQk6o4+y;D>=Bd)aKLPuRo^54LYFC#^<7 z@?6_HC9XLQ$cYWUaQvumg^q$|^?l=#He@S9_- zP23wEVMeWI0<$1!u8LfuZmwgkP4e|QkOj6!V35ZnoIFZM^hkMLn;wJZ_8HJaJ9Pfb z*bBq5<&gMye+$tNx-^WF#JPwA6>Ef1%&_c1r{L$Cd%+}t-s9^M&knk?MfSRn3GKe! zJ1`h}c1nfJ4G}lcFa%F-!SeI-^YVnXut|cUA(>mag>G))ffXI_z#`@0{r-k=;`R#JXaTOFVD%f37}tI zEj$dmC%Ew>w-^-_>$nzBr0CO{tKC)j#SOOTVUQ&{tS0(|q?vVeibKboR z-n7;_i6=s>XXxd*jHDgu?WMeu<@rj?W*Dw3e-OzffKW_KNHAtS36Fqj30~&rJok1> z;N4iOJ@S8iEAg>KfaIY*+BEyXnc`lOYCCT z*#tk4=+4cQ|G%W*+@&u7+eViZxdamV8Q{g}~cW8lOc;o;$~ zQ|=6Wd~QdZlLWV>J-3A0KZ0X?ilPHdL5Q9nc1lVy)Z&R(20j~;HM~qrvP_gkPPxq< z`^$YM9WmD6m6@ItVL_Mmr%#`Tuhv~0ju+JK%D#Nre0gzJ#WYf)yd-Y{lyZ!Q^T9vf z3Oy+t;#zmr;=adLc@^Mt0r5oiC9)sS*D85in@T#%IvvBKed5v4Bi4qkl;9Ryt1u|j zNbPfZ$-Xt}@3I!8CJ?A)?qnIi*+O;r_g|5DFD{uiFtUi$S7{x~EykTgFkP)8f4z$k z>>y2`%YGsnLn+!y(Jebp^rTRPi2O+Oo>>7g^Q=W#(a7t@+vvq!40P%7)D7-qqmwW}YQUF`p%HI7;Mr#@~5u zNKI9>w4$P;rDE|G^Uqf?pusm1G7QtCsj39$cn?i{oLyYt*68K5>Q(k?>JeY_`pjtS z>d!W72=eFV=AxpaWMG`_eko;aL5O0(x48eHFhVL~!9nCA-DN}Z7 zVZDP+;U67N>@~9vCrA0dXc|P#Q!afK#$)HFQ))-xol6|<*a`2^*rl$sFlP4pL7^*4 zjS1&USSGY=H7|?e{CuT2weq}AG`ixT*=zf2=kZiatj|fqqW9&5CHtkB(O$~I_|fG6 zZ%4m`b}>c`$?)Y_5c@^jN;G@z+Rg;V#?Q2XCGS52i<+WdGbhzU-J0%vA&&m2+TsSX zC{_Hb`FCT+|H}OEauE2sGRt9EpRcsb-#b-y}^zq;&pU-DVOCU|(Y z_ibs&#hL5k|dq2@6~(HiRZ+}GwZoQ-d-}h z4Sx?ThzU8LRr)-joK7=)G{kM`E;R7gqDZ ziVe{SuTbZYL2BKoa`iDVCM7*t8JUQ+4^?5#^eDY^L%o2O@rz`2}lm(wX%Wj`?yj)uJ zz9^nXlzkQT>f*hcCMuAI_T%7${Xi39M%nw;0K@g3lZiiGt?;!&5XSl*S>0*gyIdrS zeYmX@UwKC1Q|EnqgFJa7Vb1l!Zi=DaZmmyXL)vvsTWOMBPGh|*%>7D=ay$xMqtoskik1XvtkZx+1tYY`SJ^ zI`6i4-Q;dk1}^{b02CqQ*g!j^l&|n~&BAt=hunAmOh4{u)KQ$^ZlEOQ08ia%i&AIJ zb{6}x7W0$p!zB0Alf@tNQ8Z1~9amfGcjA=tM(UQtRaR@)-(?-r(r$!>eZGg&CERzv zX0d={Z}>A$sjEwec#;-$ck}#Rl2P5cm_&MgR#y^adR#k>KfqaqjE&Cn*s(hBuyq zQ3w&2bb{rU?WbeR%v%KCi~W(;&@35Lv70gN{fONit57FLP;DlwI~R znX0RoWt}Pwo3uWVySf}XKN+^=SMB>|85Jc=KGqtiKr!VzjEL27XxA0JQhW8Qulz87 z>f$_H#^*YgdcBORA^It@MNqgk~?Z9xwe znyGkE-^;%mCaVt>i9fbgWPrHtvcd*s1PW~?aWa1l>`CWXTXW>=8fuN<%EA-i;rQyy!qcfP?HI21m$ zchX3ON~35>Tt;{JO}ov7(84C^nEL7Vz|#5HJd*d_qgILM+I>PuaJ$M(oy zV&LR?*;+`|%=+}HSDlm^%_8!nm^~GO4#r9rI&_W*%MYBx*oF5@a*E4~OO4+!vRhh~ zQRu?5En{_mLv_dLv7I?g>P6LD@*u-bi>|dCb0!NIkk7e__j2RK8q?8fzr#n>+&A-+ zE|SWrlp#-iX_t%_6#He^;~Dk01rU#Du{$(!;Nnp%`VM2NJSNJxLHIhw|KTKymJd$rcd7i}RgkkLmM*p0mMWR}*eKqm_Nb zuVMxQDE!ZXS}HnwIQ5T3Qmg4j-DURudW~{%nBqm2bQizqZAbNm*<}g!g_42mR?K4e+my^x#jp7t5xMg6u01< zv9$Rh$b-`)&UdSWaQv-OTdu+lbhXG)6pHuTBk? zfQ-1kW&wA;jvg$^83c$=Z11MvRs`HIu^`eBAnWBrn@|LSn;m@b2QW4RJ(tlWGQlSZAnTpV`+q=5J~uUZ-bgo*o_o z0s`|}2K@Z|pNZJ%i@LjC$L-aDDE9Do=gYqxvm6S+T2k0V_AOKqIuO6*GzqI2A(6{D z7lm*SU#yW3P(vfzV}eHprtbN_B0NCQIY|%I#~_9GTcRpGJza5nJp%_0-haqbf9s&O zO-oF1e$&!}u+a`C9fco9o7QLc_78?~l}TAnj*N?fVeiM@j?4zBjfEg<`(7qChzU0d)1% z^W9<+kUIJoT?wGEA-BzOQE{=tA>?94(edEF{~iNm40c*c!BcQO?d|+N=WgEKbwd6o zx%Qu~Gan8NTHx6!Rg<`|Td0mtDJ#<9y5A4g8;hDPw(<&g=jk?$%8q*7EZuL!UzANB zfw6iiAz||N?W2befgn43N!NJ25WD>GH8IiBHvAzmU^oDtAY-#pNUJ`cS6feeL-&D1 zU;GZoIQ`_8R4-&VBL?C%y@HC0_E0+YDkd5#s&C)F|1#qmE0!XFvdW4mf4t#<0b7i{ zq&e%f)5^hs>>rMvfhrl0@9(q9GOU~~=%g89>0)lNW z0fF3lY)x6i1Fi-q1h5WNz7vB0YLaJWUS$1W)=rt&@U` z;V)nA9eh+uge=VGHY+o0i*m}v$g;)sJcuTbfT-DdS>q#7>vT*k;?N`BPp7~W+{hJ_ zX<9rtf7Sh$VRTd;xZn_hK&*x_(ieG*ZcWK2h$Ah6lh~<<4Rw=}Qsz~+t>OoD_shs# zx;s3~%yM-pPNVw$%ke@E4-AZaVYA(9b~ zbv>J!9c2D4Fpshgo!1uidZ<@Ggmj-jHcG^DivdHHgvJsL#x&=ye~Q1(pkIGO2!25H z<-!aVl@p}4yYGi1HV7$^)-v_((E|Rq2sjqOxxqWrS&ML>fC@d9EvHfX6v;r^5g6u$ zAJ)0Pyc{0L*Iu#5qF3woDEIl^$HIVkU^b%3pAY8vf>K8 z8JSX-6G5yv6$3A&C$Pn=WT>_htNGz?A0Z2tcZ7p(j*q&@V!%Zr1KafSdz)p0L}^n~ zw^lu1{z3X|f%gR<3)2P1=f8cG7)bV-?0>q2S_1x(tYBR+Nfe|uiq9GH_Z&>XAXkw0 zy?%~Xt0wjr^ru01APdgDd;5pryrl~~q!dJ|LhkL_VU;E|H^#m8J>X7B5s8%T_UqJM zp+;IwO$`((mx9MaL9_d&e`MsT5>tM5HXk^50xhVAm%L|fO4jJ6Dq<2e{PDM=6q5iZ zjY(gEYFhc{{|q8VZ6Pl1?{(V>TnhBdUMxWkbG+zwR z*H1bfZGVr^-3~WbuOg@fHsi6Y31_yz}199y`5geoLYaoUBFF_)chB>@f!$_JE z2>q@LfPn?drjc`5;hAk}b8bI-3D(~d_Z86Uh+^!uI9jcYpDDWMK;M7-{tdY&e_~%m zjf%xbDQ4(=tLsdi3Q3^OKE5x-LUFxLymsLj~Nl6(#i6Br1e9EF-OG+>+$PP*T zBSk<`IXqt8dN+D4vOmhlH$NlE^myoU_G45%!0`iklZ9>i4bA~4!8`5c2(n-3=;$UU zCV!{givqzLH4kUTt$*yk5kbY0X9fZj^P320(Z7Tk{2DwbDJdmIL`WDN8yf`_9VShR zA14lQ0NHF!Prl4e`EyRCBIAD1NOWDavHO~3O>qa9|C=30COdOTB%B;MxvQx zpw}Q7iW1nf$LwoIk7OAbJT%VyA58~@67Z&N#lRO6lkjWKeLpAo`Np0BDUzwEStT0D z!74WG9xm46BI(hR*SsY9{cT2|c1q-%IV5s7wQ)`LHq#=FA4nvEdeN(cO6$mflLkyu z8S#Wr50PInX_!9?(1LJmT@`&p1F95O>(74TW_}R}EP|JI-QptiFiJmfZPkjJBiv?fk z!q*r{8Ib6yg5fs!0Oh*W=+S1M^oCjbCOy0DCR$d(I%C0#*w}1Y(66_Mq;;vLz+i^dwj^eJyIYb)}b{7@%t z(|8EVEm^=(CI4DpAw{+c1DHO86evA_=^jHn4zI0|V z5nM|2t_pat?!1P?^<*ScBz~FB#y}MTZs;K5cHWdc5<3uqYAm3%xda%JyteX9o8~4* zSHH~(=Z5$Nf)9lE8~H27kQgH1`nYxIzL=OUP{YkR8abqWoc=xze@i_>53Cw5|9wYo z9Hdn&xs0?<;cs&TH7p>vhi=f_T!n=*R}09M+C>0TNED`&X(A0FY#AqIjlb;#hRC9L zku?bH_zkzOBB^hM2CB9|w8pR&CR>or#pWBTJn_cKYjff9axp^$NiLR*OIsE3w>7~e zJx@GLnvmo|4flH-fEw4)gD&ej{y4+UjjZCQ3o-@y4Fj>LTYS}>L4`!lZsXpTYM_M$ zV5@r13s?X^xM3}rrTn?>qErB`S8sfa?+OMe^@g=b^yfMR1w>kPA55SoHweL;E(PLc z1t8m27Bw67NMvvx$0sKgZ)66tt>+HeuzrXn+n$(OU!`unyN+*6zb|pGAuAX+jTp&$ zKPm8m@a(gy>PRF?>Iy$II>Vw&1I%FJ_Hs!;^17+y&-?Ar7O%COVSTe)<%a(TEaC}I z7r}C?0A#8OD+tM>K{9x)JA^F&BQXLxWIgjZl|(Xl9;c0Ar!+H~;Da6kJ+@rdAhk^W zxA=b}+NXd3Y$RbtngHm4GJP3*){+xhwyz@r>SqmIG%p}yt2CHeb@51)&&7j6f^VUA z1630k9uTjPMAAj^HcwSj6g=>O$MQQzn=&Me;Jo?lOCFVeCm|( zMpCElcC(OV>X{NSNviNZ{3DVs0;y?P;H#flt%}TdgzH(@a59Cyh`H^M|N5fa}iV;+%p(T$uKO+XNf?zM+aTTy^+aKP9wnd(N6>T!@AwVv2hTW{421Kh8^OTJ_RWpPOypY zmpzuCsC6^cwUqSZLPH6=MqFi+ zH$d4^LPFx7h#~wsRn^ti1>QYViM|dRD6fNDwVyl55;vqS{7qATrW{D@(dEbdCulHj zmX`Y$sJemYtH;GcPbp_6f&6TV)bo^wA~^+QQ1!3C66o>DZPC>*KT{y2!fS3-*`OeO zBalJ{RX2yQye%>Ixp4w8cxh-_;ZPBYa>$_Sh6JoOF~tDO)brqy`RHi^eZpfhO#t=-HuR*lr2LV}0S z3TYbw4S5jYG__22%8=def6}Y}0#!Fi;T*L?iV$m*0;CXeNJIP|z8@J>-H-@jr&_5p z{Owm@AAwK!medoG7=jF{ZtSCioMKff5ED~sBGW^%sj+b;u1_~tTv!MQ*=ki=cMvzS zlm!_*)8;iH6V^;1B(32Upr?ms*yKKR;}Cpqct+))M=ESW#<`4R#6wh3Fk|Ms(Hc%( z{YPe;qMJWKd1}!5to)uwUD=2w8Dh-CUHkILh@2+d!y>XEvW`$v30k zfrOd)(@042z18?cWTzVw=xH>W(3n>E`}2$CnVIm*&Mz`SYN8xMe8v%v z1Hpq1QmtPkFP6n79yNH!BX;MSF&KD+^j14%*aV8VqbH96YK<=M@8rF>n zC6??MaK*GzikT$tPVyy>sDp617$#yoXpssqx%};h&2Tr4{>w+Is%$M=x}C)Cy@b2c zEf@^l$DyfdJSilcUy^dJXi@kBg$3%lEm4i&-ozn`;QcOqcbubEE4{=xZ3ecOHi;pU z%aL{y6f&|W#KL>b+eH}eU3ftI`M+|SW8Fj@L-F^j$sogg6U4;TF8ZfIGCzxRcuXDb zq@|?|8izI_SByJ?n+3FDTn*}c?OsWX9oNsNP^nCDd~y20AcgS}9Rr3l>I-|iwOx-l zrCV+2Y@pit?y@gzmAk({ZmNRL*vPK8I_;t=njk^)j0^t~KSv7JYW#7zO|rhBWR65O zDQl0yc%=v*3oQ$!o1C6njg?~l-KqP92WG85KW!geUaq;2calFxe7Dsf`Eg#28&9%n zt1wbV$3^*@o#lAjKBNl68Yf_!=JsuI@%Qi3;g-2BHv8JA-JU4mvABoB@z}o`x)w%X zK&QMtTUHCZBDlw>=3XsDTzLNa^#N}DBh1C4LZc zVWH0}wKXs+EHN*+S{;mxQOP=WJw`V{xTKJfDvscnZ<)pG**;#k8F@&cMMZS~Xb=M( z%{XSLqW+Y+VFm{$xyhqRiqXB(AX}iE!A=U^yS+UU_viOi$eJtt(LNq7 z4(|MqjXM(we8;axRX%RE-oHZ7b%~#Tdtt2<%V$g{VI9)*IP%OOu*QfdFe7$NF$BET z^w8?dI?N5RB9Y%j0!)$6|UR>)>7z4i+aP-LU!wD%+D{ z!W@1=;kt{aCU1M~VY)>`*q6{04i_6uLdamhE4;UXmxGqEMwaZ<2|@Z7ubIa_PSx|Z za{Awz8<+Ln-S5K4@fZ4b-kXDJ6IpXS@4K5}%35cjUZ~a2XF9q`Ps&}oy61K77&G*{ zz{V?SL`B)bA>pNwOFWJIVPZu!Q@Y>Yu;QJ;Y`<;c_Bm`vJUScg8j<{l25zCJ*_%cT zv#pU8nDmdh6mq}Vmo{W_zR(;{L3z1wlNFmxqz-L@yCYQs1c%X=23^Bk)G_lllVi+t zef9JXTW>X#Mm|z-SzoNYXX5=)Tj@CPqb2L+9IPVl~_$}Fb@D$l&;wa@&LxDX@vdxjw&887?%^&1TixRdtL1X$8y84AK)-y#DJMj4@ zIFOB46(a#`p&j_ADS{}U+5Ir_5GtRwM2vgU#LwRd;>Mc>iy7AcWpMWKHhM^wBvp??niAI%4<^_r6&qs?n*O05$&;DpQsjC zb{lUrkXxzd_6aQM($W0p<0A!ko^VtmCzLr{v`6+oIL@=XMI1NY8jC*j)2H~ z!cM|zes!9&CG)tH9Nx>k@j^OK;G?6XvndivUd~`Fk`3kDoUV1b=#DELR2}Fa zEUk4=&^JB6xKfC;AY}Ka9s1xkaF7`sTeRF5TDZ|3k~e0fdl6IUtmYsv_!Yv-g_Ef9 zMBx+G@0_*QFhl83EI|m*4jRMU&q;ht?8J~J=Ql6L1-oCi!(qq2M|2zwyYjZ445_2R zPASDpp`OLs>UVJ2D82wC$XOLi%=m+t$ zRQDLp?CP$cf69`x2Flz8KmMujES>M|O&e*VOBdhxV;Qq0OOl13AUS@f=k|IvvKisFLc@2 z=k!Wc`srrt!3!!iatz&*Z)shg7>cQlT!#m7ioNj#cVl#)C{32rZH}x27&X;hh_^fa2vqiS9%^OyjsCT|g zMu8Nny(gkb?J_Z;T7i@UbRvX&!KwI~hpRLF);_e1$8xKBgYic~7*3nb;dF|}#Kg$Q zNbxnE^;NZr_S|7SoG{UQ7KLRawhKSH`~DoWzA$f7s_?MNZtxv>Hu0ML(L?2NXMrWq z8RWGtU_PMsGf}*P4(|(Zp@40}bn9eOtI9%~VLAPyxK+DV)s{Z928FXuRR1|t26^6* zAxt)XXBgb6eDEpi8%t$btq|`J()a#M-9ndc*%eYYN}GMgn3A+fK0l)EU#5JDhCEfz zda$-Lgkq%c=w@f2xwfM3|EK@oV15<+5R9!OBB*y6*hOLAI6$6=|>aStX?2IBl|lwJ9UN= z&gZ$ILpDJp^{!{#e)q3(arxUkIP4@KbMcNa`@|s=%dblirH~a*WtW#|=&-O)U+&@H zD0JtCtE8M>j?JiDMvU{=j*}6S6tZy~j-;);G)tu&TGtvtug)VJeRH|SV-q@2%J0(-WoMa)c0hE0-JY1_q; zDtlmYQq74yMG0uiu2#fEpGb(F^4_^;_G{?l#@GYmGJYnmMp2H#<(cECkhNgkz~emZ zX#&D}^SSc69N~{DbqOq23ihTczpRApjkn-O!|Mu)6hp4oy2OwX<1th zq2Mvcv^E1+x6)ng$@_$5CQYphCI=LP)LG1%tXEV;9vu-$uLY5Gx7%!R;WymKTeteO zT0lWp*D`B2Q66Wb3nxKK!ALIfwUKtWS2?xBT+*{IbdY49&fc-r>nt z+E8jv+kB^F;2wA4)XO`#tysAf&(i6W>P|mLZ)>CXKDPB|l znrEaxH!*v6SoAwop|{h8oxT*b9s140uAf*PDCu~lqvBy{1zbS)BCBTx_rST# zl{sJI31=zq&}YBHFMh^{2QS98PtOupxemJWWQ#Amc?RlNwJ};L(yShD+FEXjc+X_S z7}4b6B((BOjxdT#L0uXrB>6=rGo#ClwJ+u@SENo!7LJE4JWivBpDC60d(wkCrX!1K zF9DKPnm$|HJy2__wnRl89(HDA8$Y;3FyIZ7(PY@j%b^`AY<#+r!A@7~%KSA}Wkzx? zPUxX_%BGOe#Pswuc$ulMuWw=^DJrVOZO8BgS3BJ61yVT*%6<l$d@nDZ$>lR{TJhJ-@aYOUHs0R$%t)Y5_#X|2$%mvioT}$6 zFyF`bFrWdRo}Ls}3+zk!_5ro7nv^HGQIz!9<8emM%y{XTG=`kofSZK4U6#Ln}! z=_?y&K{$9@G8)^a?qFAr?PR>9N3l~hGR5bDd-%6r%E=rBU7ccQg+P&g)5nuoeYR+& zPoJYuS-ZOMgtr?J8hY-N+`}19_zav0w_4nBc^cdBJ4lt$ND&tKi1h)qvsAc9 zwzfjWQ-XPT_e@`9CO^~wJP8%tB)7a=o*n;F`EtXR zz29fgOU3MB(bdF*Po%w7y?Jw-A&b5sGVN$SD&|E&PSMU(&1NJj@1$MR&UflP9^GUJ zoyfGufPzFo0Fy$YJj@|;CpE=qj7%%N+@?%NL;bnLRtI~meBSUHD>k1HvPo^CVN(Zv zNtkFl$&j;Y%_(|~b9hUmX?H#xy>VmD7bg1pc}}@Fe#BTw4{r;iq=#an(!F0fnqr}J zrNsBLWS)=z#Ef`<%6o~N-t=i{Xo+cY(aM~sKy z3ZuG?j@u^sPmOU~c841!R^+3)>zKwDwvkJYxM7tLd~glLeLG!4 z@|6{Z(`iw3uephENA@H)%oJ~*PMQXiRl6~98-|35i9x4n;Uj52ZuC01Y`pJrFV&uS z_~jO!@8i>BL=~F?Z1>im@U*lvzgvzFpT(Rk`i)7QC9o`(kdacElu24>!y%k`@wRd3 z6oHZJ));r6F@xfb`Xngt&OZL4K;_q1ikdG6D_(n{dzRu!v3u38`O`F+=sd)+%l3*r zCHlOfXIb-eEpy5H4aWrhP=(yW|D`GpHAEC zHP3~~wSHyF!^2KgMLBs5&fZlwxX<3v-1%r(!20y*Z+Pd|g4&4k-?59o2jW?Hx%c>y zd{Vd9a?2xNKwVH`>D6;2l@zQ8oh#71POMbSxEmVm^%`Tl1$KAWT8o~KubIoqxOYbA zgfh2pmM7ZAlth8P)F0E3+7Sm`4F>f+jd5dBlXj!8iu$BF${aZ)OhIb0@H>VwIRsh@ zI|}_zw73@Nz^l|%}mi&9jsVae-_^9U&K(CrjQ;l zlwc3Z?}cRIa&0e1&^YKRKk=gFe_da?pOSi>@vVN!L9iqWV$uNB$p1RX;=X5I#dAD& zw3N%B&d)8%Oa%eUTdgjD3Xk4tO2d?K6qFrCTzwyJA8ete z06n|t+B)sn=WXybtclu!e_dqJtc4JK7s}OmpE7aZg7D4I^(mF3xrXzF6-$3{@#yH+ zN-*W(AJwOuspri}5Q^%nOYo@8!fJOivI351b9%gt&0ZAT`C3DUZD_!i5EOjnuMcCeI!|4^_o8IKO_CdX=iZ z^>%5H8g3V`ds_YZwfb(C?ob^2A>?Md|2BFyuG6ZEJ1Y$5xjH8~>$O#|@m+{4d2Z;R z9X77nCXqZT&rIQoS=rjUnzZ$y!S6YD&fdkMH&0urE{TVjY;6@lo`X5J<1PKO6kz5# zIg89mblx2mU5m!1+C0sg-~x*q)y02N+Nk<4|IBCT5MgWC;QX_>W&=9C>VB%3UXtoX zT5q53#s1}GZK6%(i_5ypRcKg7mbO5rgW<;N>M4TV=S@8i#KJ5;%)@YFW8>n$D6GBR z@J`-t%pG^MfpC?9y2t>eGT8!UFlfrPEAsd+mq3J?$zML}r=vzjy1L!g zy573ULKZT=CjF158$6e~wQXu&_pjNecquE^DvtHBFsP576>$z@uvZ=o?LJ^I4)rj^ z!N!RmDBksP9WBnZt$iaRQRCbZBLk?8EaLyAx}W8PwYYCbEgB5Tx(J^QLGp?Tes$9Y z{Q7cLw_J|?@RouGpO(y6Swn77yOl0FxqPxK``OaP=IWAEh{^C4tM=RcsnitrR)c3& zcky^rofSTPPRx#T|Ni60A_Mj#X>lKq9%0a;9)g48I%ywx?zT1Q%FDx}$h7{I0tr$& z!; znz|E#i*x6Bs)P4l2=7-wBVfF5lqyYe8b`okuMz5*>gF*Gok-6~R`=nFuMAfy?n^rE zb!-kbvMmA~%xW=;Wo8BjGp4|+LQ`6}jW7~d$VE1Lj`HJ8K#+76ib_WUi|39{^ z5kP(HwrBR(^UiihUznzf?!*)rPZrHgO);|F$qxz|KfaKIx@?tYF2XtR6M5wlqU=s* zvDts4S3LMUeA~I-Q;{tCl;@FdPS&7Q@zTMt4h=$`UY%c%W$Ba2 zV#eK$V40wx-AR~(*5OgZ#m3A`S`{nkPyyhE-~%TI2mS2EOHS)l(3AMT&ntkQfwTLc zBSBk`Bg!zHdd|3+zVCT~FU59d$$NkUXE&}gOAdw4M+#evVzr7LcrRy){jN@ad>C51 zC#Xon!^Jh>Ijl>tVAHS=1Fp!Cp>IDs>JaxgS&0f071_a}KWIMfSxQMP#qXd1SCuRf z%R1<r8t-rT6I3-|R$k?q3e20NqrX zsK6&H@4d)#Inq6n!%dckaZr6bXdLJvdAO5XQcJy?kcisg5XypczRRzjU;PdG9p3se zF{O~}5~AC{ZONk17Z!RsSG7dD(60TY$C52A1(JHPmntYI0IR37P3W-|NP=XdI!qQD ztMPvpU@WrWN=Tis5B#tuXj7Ay3zyyd97fS_(n?CV0jG&rz?b)~tMhc(5C~6V$%+I1 z_k&PzyK-X;@Gv)u_}0Waufb(fNp#oV?O;7u;QwRqEyJqny0&2nr36F-lve5PE~Q(# zySuwYM5LulK&6{acXxM(G;Ctio90{Sbzj$Y>+>Do`~7%+y&lIpWVzQ`bIllI&auvU zjxnm8ZbE%^_%73iE@n&iMYhZ5DICyhZX)O!_LU6g;>GTCp_IOsDDDb=CWT;U&@seml$ z6rLB|rl=aGs2+9S#s&u$5led2?#ctkH~a5U2!WNeka?1P@-vTHtJ9>`MH*4}M5eorYxDg){;N06Km;5* z@$(jl7i23dC2(ZtK2+%DL&o&mb@m%+>; zP?H0^v_4ZX08C9P&D2Qe0EF|N6iU4V(*Wi#- zCc95!*Vw4r-3ar-ID+~l;`en)o ze>-@Z1b9Z|0oBZ-XEKa}Xv4270}S=b8|xC6-@3w@$vX`f@eJUYj*Fs| zxdY_!Uxq`8NE!45koY^UYGGD@b?9$^WIz}+n+=xmE=t@G+{T>w@6@;dn7RNmRTCag z^Lsm9t_UUuzD)wa#Q9Z$J}cJWgd%xX56?riX%b%X@%DC6*S(=w&v^gm5r6V9wk* z7ask`)f4dF($y4r5Ma}AP8O(S^tK=H-L(Do_J_e4gTfy@>C5mRM@0bk>xI?C+G+dB zk@WhWuNj~+4Rb3)&VRXp{U8Bvm%&*g19lcBaPibzLID79e!4;K8v#9n8L(`L3Hs3iWk)fP2{ck2ct1UqqYOYEf7a-Ze8{zX zFX=zFvF0woW;1^C1V@^uCH9%rDM8QU%ea zwMj0BhHnSuad&#GZ^86+y1eegM6Vok`v$~-I+F?<9+rDn=-Mfn=##1De5-OCOQ(fP zK%k{~7Yt5YN2h4mG!r)&?8rqqG&eUlKhLZ53~&j&2TKJDe6FpP%JG4@xwwLYgV71N zqCbDe$HA$zS!_s8PY05-0nscwIz-MNMl(74INn7Q1QvlgBu6KwZiK3b5_ml4q$hF8 zj?T_Au$){>SCiuzE;rm8ryHdZ#*+I zv!k@PpVVdOderWqBYz8rfc8EhK=#suFD5X*OR1SEO%3qd1gPJJrq4|{*EF*{ z&$@J^Gw>p1ZK@{)3Wv4IOd~!&a5@|9yP_n|lguJ}u2}dbLRJiqT1QEVlwGuoh&h8h z9y}U({=@kf2ojLy_3Ws(y^PJfrPhJ*$SCy?QdYbUglOqK7fx(OcNx3!lxsGy!FFH1 z+z39L+%4$k=Cp+dyRnfeKf~*ybaJ?}70e{(S0StRB~uG+=Do4=bs;1Z(n)NbJRMO$ zm=kO7k2!>}3nX_YC?6IIGV+daR8@`1AmNt8YEj~77(T@hKU4-4Sb&h`vs(srSU~Mf zDvj3)EH8GpJ+Dx&<~eItIpRH_Wz{LIrOWEs18!S$ZhdKL6SJC0;sHb<*s+9Y&lT74 zNWO0af9UiFQbGW3I{-As=>$Y7iHV5NbDA6-h?aTI#-@A|#I4fGaQY(>Es@KTbeQZ$>ZFX) z>y>|qRT$>=tpxjSLIH^JbF?7bPJkO+5T2Pj2H`keC7s3G_A6SSzt-RLD)Myf1pc!+ zUpmy_p-bclMlDSN;(^*p@#m^sR@8|HI0q5czXXM$p`$$vlNuJEmU)4jf(0cDV+Tj<)=?VR;>oRaHiY zKZ>6g?~Wnh-uLz`94wtgerx@%QTt(es_=bb;lv5F+0wwmJy-D3N*J#|GXPPyY!$_& zB8ppHK`({Z$yvqUdU*<;=XT|$PUF8OE$m_6;yA#NE&Z%IizkbXh1H`Mv!NKRG}f(y zt&T^9DxCP^AE%uoe6~v4gO{kdL*C-CR_{!v!%`C}7Hy0;!2-^LPw`+6!)~1#tn}lAp zHp_imZSRd}>TlQTOkU!SpiS^z<$7fq(Xz8Ln#5vS=&2v?T_YUXJ7k#9Q}O2TJLP_@ z$*!~R@td7hi}R`TYQH*^5UQH;bO)?Qe&E^s4onTN6S*HA!AihLZ+ zKu=s%mEAZYHwP`4W@E8|YBKr40n5nX{8YIZnC>$S#-6XAJsk(}a|6?80-Y95(+fcg zr-aMQ8eG>paj6EZj`hd;RsfP@wx5PF9{MtEeQ{f%^^lb@&E%#^p9Or9X?ET9U6grH z(WP!xG>L;XlL#N5t8{;Ck3Gfh%*7j%e})MNzbqWc1X!(PcS1jMzpFoMv;tJ?vVRie zL3h2ioaA$&eYR(CNJEyF*VP(l@8AFgvk$O~z*WR#~*3;};I-8%_P4hWbnUp6z}w5g*ynVL`jgWdj3!w6f#y zG7DrQhaHyj>3?;pTaIuV__>Mm&_fF)?l2 z%P8?C@o*qc1$+K_<{*9~1vN=_?ga8VtCdCVe8z}-+k)$))vGl_Nvo)U@?tCDQV#;e z8N7NR-M5r!5{or=8M(DnK&v*cXNUft@$9e0*z?i`|x4i_iJ-Q*oUgk z_{;{7LTj=($m39U42u5H(OjbzLDVU+WmT{<;8K108#Gq2GS87NHrV(%NSWR7`ZVVA zTLWGtQrhsk=dzLL6Sp;iHbwm?xFoQ9gbjNI4IZ54-<`MI&Fp>rP_qHubM z29Y)u#>sWrz)WN;f1Sc{_Gf!u2WZ~s0I${l6^qAZ=j0w0os(Cmp;sO_-i`?%clYGA zHuo#rotrebLtT;T=`6Wf2XHjwt-xy$aXM+kT$AO%v5&0 zy~9Kdw_sqJ$fwNJ)D?&ZYoI&!1!6N-Pq}Pr=VWs$U}A7SkUbyj73)%~PPo+V0PF*! z``4X~lE=lDX4x+igpyvOr&l^{<}y3ax%T&0&nUQCU96>EU)e06=M>p+M&NojyA(=| zAE)A4U$^=l-JW%H)U2;Z`Bw`o_4l6-PcFE7yspU1$}*T8;h%#-%MY_sct0B`Ei(b% zk=o>UGa4M>*6~`mr2R$?m#U@taOAiLIzFueJ=vnQ!{Mqp5coxxq?_vJN{TO;??phn zWrl!evI=&8P~Z$>ALe9ZDWM@7P7+=6PSDOQK`>GyB#jnTX<8JWNJ4U^!9YW+5|o!o z%*HC|<{KshQWUUQto+SS&#_oqQunF!eHQf*2O z#4$te5J|W2dIfOYSfbMOGiaZHX0h{1}?df`M?!uIRv6%x50@QPkT>{ctD%v1@jP`^Lw^B_AV!ypUa4gi7kH`@@=z1d)0U-nQe~eO8NND>(u2wDJ`rKkDjwL8+Heia&cq7 zjCLx#*jso{;_pfN3G1+4x`v?*%^A3DZ>FTt@gncm_JH^xq1xqO@CSevjg+mIp^9SI@@gG9`yvm1gM7RiN!0mWMxybED&o}wz&*wK_Q1O zSUWk@1p%$~G@?6jsW3!?vos=36H}?$%zRryF@JsLeP`;lUfrUfF4x-5V#>5#_Lpy& zT52Uutu;KfPb>~Dh-_lf5Q98-x(2f7dUwG2vTQct`!v_*zKgsKW+p(Of4Ovnh>Zpr z!o-A#HhECc`K2-8V`ATNi6+?~EW)}yS|sp1bs)Cb<;$Y0?}2_0pOkU|LQ^HF$=9%# zx}qRNq)+#dKD}*3I?Gg@pT7avoJExMbTm29i966d$x49U{-_TM6D1KD!OO6eOW&1k z=2Gr z2kas>qgGPXbEPEmPIqO0K#ql0GsxKp&$?JRxQD?EP%e=jh_&mXR3vwNyjn!vUPS7X zt^4gYITMtgYoh27SE_~%u3TzQ%Xx#G(07$h>0Yk+tIJ;(cLP5sl{p>P>YUjUZ0}SC z-U5A=F9+)_pZ~h*I}ph(uD3OA4dw%`myT6hp~X$;)SK5-F|V&iNT(Lr$ctoQNd#aU zF!r@T$bK!y@6{F;(!mWjWluM)t0Us$RWvoQ)r^%Ozk`|HXYQs-LJo&i`nGSe@=93p3P<;R zz0@O8mBtO*64npvIE!=xzH;V$fh~G|!L_=TFwQZ=61}mG6BCQQzKR|Hpo9EFXk;5r zQgLml#kb{w-Iy(`5chefZ1kPQ>YM3BYarD7Hc`rA)o#%tor&DllFrTYaD7q3Ibaz! z>~-s`8HAM=?X&aGz1fXd%3sn8_m-Ootz@W9O?JJQl!eS(PJ|WIHqgjPhpEf#x@}dP z=uBTi+1VQ(JD*B0T1R;5>rCa{cKDvPsWvaGF_I&F5*R`P=AxFJW)=$ohSAWNl?QXM zu)t4q^YBQ;=`PZU#{xkeh+%v%Rde;drigtlaLd4431!Oa8XDAA=A#MyWHg*Y+5zo` z49Nz232*tIP;09=gHN>P zOLKZn$F@&~IQYWJUZ7mafkY@zy~d84U1qA_cM&F?HX3g0Qim{-zJ%6KfQ}TePdB-C z?2aVT@guzNvm#?q;p>tsto#t!Ssl?;}Q?mp(1^1vVXLns_%aQml621(KYQOZKId#R839I$cTK8 zQfj{#HVGgyzV{yO2k4*Zb*aX_xKYS|6QPmz1o8B8Uv~96BDzjKS+W~nti6WDs;my@ ztNuwra8tgJrY62IX|Z))eLX?Z^L-h!hD;bk!=_7QDZ{?FfDQ4t@M5|!u-;1fn-7-o zLe3-sKn^jvtjU1qjC!Xz=uvYO?6t2Kp0sMv-I56_2xR@#spR^48T$gyPc=5EJR{@& z;*#*Ks%}S9==n-Ge@EM`pGa>mcPrgWArniXffg{_-s{`TK)P@=AX<&*a#GaJjeGE>uUEWkyc6=Fzcg9 z`Gb>SeS4ZYC;XE<8W!!tSl=wZSqOj^Ymz3u7lbuWV61*%%tTF{m!JQzcDyfuu$5>| z5{OM2EkWu?w+8lnd4DhpSc#f?o(r@GIps{DV1Z(kR}f@x%u2Ln+H0nCo@}V{BFTXU zOnMG^fE9}$5ncrJElyA+{023cptzb!x#GoHnn;>&2y0S5S2Egbtc|4yA@!C3-1^0q zms^DJu5@79R)u|&FD)%?Vc?Go3$qkMxy(l^;h}%E*Njqt7?D1P%5IK8suY`#yKR0Y zMs=BZ(rQ0W%;tf`*%_pV8~wJ6$XNVs(KJA`pPLJT?0=)>%Tg8Q3b|kPVqpO-#Zsk6 z`^3%sZP2*J_da0SFMa|GqmbXC`Zht~_?#^Xbwr5{NIDkR3iA|4)vt}p0Qds0|;xX(OadAtfkm%#ALiTBERTD!Di8=c?eOik|1?5jJ| zr9hO}goK2B1dVzmJ{uf5O~BWAe|q_wzE;p~e+jTmEj&gn*2j8q-_h|?;iIgN&qLnB zUEB+PMwb8#x@4v zo_k0iNf>xp`Bu~wh$hFXx@wp;K4N~+N0lCLQjZcSMtlSMaQ3AY{8MOtm`Eys0{9tK z9yO3WN(4^p!QT=R?mFe?@%r;UEDO`z2NQ|#?}Xn5v9=))DF3iye_pP8A<&LXQ=1GW z^&KJ+Xa_6d^!NR2*4@94mVp8V6D@Ydr1xYn zRLVs)rp9DH@emWhdtPmXrDFVgOAzcoi^C{`X~=BA_h~daXCS#EDsu-hkZ4 zg_)WE>H?r$?>T_7hZIrsS&`s_fhK%J=gQ~%=d$ZvTU4F7A(B7)FOM!U;cim;c@Vb& z1%Ajh*DVkyR=sP2=aAmXKbM=RfwnZ4%)043MwA9lwVj6hr0$0D=kZ(yD4RtI&O#ct z`2|C&evCv$mP|4(KE6=aljV7)ydQScUw1PAd($9*)l*hp{w!3aUYkFa(xO~F;%jB) z3ZQL>s*A>0qv_MfLZ_dsQL;#{umnC-`5AkZyh&f zQOwtuvR;1%n5=28Bt(db%im2bd7_9gPrhsGFg~us?uap)0Pzs5qIASMeswRO_%`U zfY;nTe3U(IP9p{6=V_W{4ivHn!WONrt|qbDEbLQiHG1-O~b z*8@3ifYdGTU%rqUmaI#c00=Un%X>PZs6 z6<5k014af>3EmU4jA~u=xeA6)4zQ<}n~PkJc|mck_&RQFSN6&KZNwVZC6TI0Pb74| zq}L#K50v$PY2f31Y8X|=_?9N22RnkV%Jd~C{IRxyG*K<-KRUHZ9+8-sVWg?)o^tVv zHw@UI7l)76&6h}M9ACYOcoaWWs#;JSZOHJHA*$B)F;7&SJx(m?-+};GNeD2ie(?NQg-v)WFJ)wH;%G|D%mVx-PfV}qW@|)DuPUo=Ze-v{ zOt0Xi@A%^vaVtF&;DU;oA#gJz6Dtcby_k`iiK!zo2NM%9y`YVyjlF`co`DfEy^xW! znSqh0y`CE}y|}fbk-e>rrJkb^v7@~cP{M07M~62?_JTH6wl>yA*1+?`^de@Kz-_?a zyZeNU3~UUIi23-C|7wgY%|i16wqsW3j_Dy;Zp|PKPP`W;g2Nz z(Sko(@J9>&Xu%&X_@f1XwBU~x{Lz9xTJT2;{%FA;E%>7a|La=NQ6di~xG{zl}Y?p#FtD*`Tri!Jhut<>?Q!_XpPe zgYEv&f&Xuo?0L%tNe+uMm88NFAm8rlr2zlk2Hc?K5mcJAIrZ zFz*%2t|)!e1*>bodP8@R^w!J0niHHl4W!eK|TTMulyz)BBQ~1G=B$ z;#R-nsWnub{{4hdJis_h?0_G`qfvBu2pvBt93v}%0PaD;w62tpvtiSxW{MTuDQcEC z>nBM`wd?DhgE~YF$}XwxMeLGr)yxEP-5l!4HV;30$H~pnecYTy1?c!n6oDP9!y38- z3=-5KX|M2TlgdGkLZq#V5_nZz!cjG^TBg}F%UAd!9j8E_t{!{{w&pD;G8CgG!4&U> zmA5T_uuEIwgqAH8(_sb6ypr#ypvlEevG5(a*ZQg*mVG|zv zw6Dy6)pdDNLoGa_O9?J&j)!`sav3Xqx+hmxkk#KzK*9^v^BwhfVkhn_Uz%R`3T^O0 z%L}eZ+Cg!GmrhZbFzyD%MAEx2mlXtJbqZGcew=qyKawOIOPK87OPN9)2KqdUaAbkDTU%|ir ziW6)78^9&LdtA_gk@$zYBJ=S9oIs#1KYmtJWFcm}Lyv*_C@L}$bN)EJyX8M@#k+^a ztyqYee_jI4S&3PGJaJdjzbboo{Xdoci~q=S*RZ>i|Ihf3>`eb)&`j*WYyaUnBLCz$ z{)0;YY|PJlfVR*B>_-D5TSq57OMqV~t><7t%<|Vr{K}0){y*SG?j$2(f>3dw2B8z;AgulL4;LB5(%{hGmTjXC$BYu;HB(O2DIUCU9_gkBu zyu6pJ@eonw)$i?K0m{Smx_smI-*o`02QiZE}=WS)B@o^6--o%1@Rg=vsmbdvoPq6-|oxJeC0$ zL5=M77ea-;;t$ySWHjB(a476iFf^<6<>ITS1AE!n_!3B*c+=XnF`jkA+N8%lq`gk% z4)|QJs2B=6cs~zn?GNsIDSzBIprB6L8fT{+5u$yn8?4RcD#^@P5^5hsVVx;g_|+u7 zhu?cSM#e5aUd~M!eTQ;=ocwEgT*9Z1o_XX&GMoIKs|m_aMJ=tih3sUs+zf~kbx54V z%_5sTck%7FN=UVM-Bxn8@;Ip4S>tc*wo~|ydc8N=`E%8J21CrY!JK<63#uB+{^4DXET{x?tY1r;^w&Jsy`U+L`SKdCb_}BU4IhG=ny9-*aQBWa}e|ryHMvvXm8h} zxL>E4@4<-Cq8sXS6XaSGb{A4&jip%2Zh+52&C)_sn`i@2jqIG&S(kU{#p(=+ z6L&Tb;*(mB3-qm-Pshs?Co7YXSqpPZ3-xfN?Eo~<{3#Za_~B}6J}>psRqtoEqoIz4 z9=9KyR6}dcG%M$D)TKq94ZXUj&{Bc<$!gli{-Bv8GE zoLuf@h)w+LqUV6K!2^C~ge}c^FAH!9nAPIcAsPER1b?)<1H5SHBd9Xf9t zFkFqHAO3b<&kFBmBz>2jf8y3*s)OB7CT4SPmpM8Ewybw587)Hp)^zw(INdYJBC%9_ zBQoN`KeQddavv;)6G>*j?9e}(*-MK^*@^>Nqya{eM%5L6P9DvFos>h zLCoe9d)4I>cP$7nH41yKZ&UFxP(fG2q{CaXQ!6SV=7WX;2PqAHAnms}bVqO;vG?`i z!Iy38l7rA2@wH0z-uW5Wjx*th#no(Qq@v6v;+zT@v z`p~HIzRIt2@Rt#!XoZbPbE|^%r3ezVU>SnhZJft70hWngo$AU?6=<> zESGx3zPW1buy)p!B z<2n@KDxVcczZ&U)kf%b=B%J8fvWXU1v*|k{bsX(Q8lB5=Z!)Nd;|&Mr*|fw9C(yOH zT=YP=`L#O)eOSFRW4bo4Cd_kc%5dC zwxd}(+7!CFaa3ueL_R3@l-cgsoEEQ9Q`Wh`MR5W38s%klCzX_Xo$%4wr<;%7dbEGdNLr7DgmGQRW>Jg>iu*Yg-wYSHrPbPK!1;7EkL2c6n$-UU&Lnrdd zR?Fgc29l5D4R#`ameT}Sr&arHmGsF3xeVU#N75q<){{%uT^pb~^k!Q0X4~u5l}Jx! zRT)m_$m-XDEV`U^PHJ#WGA>CL1fMo24X#OeCBLKx?6?}IZeArzMt|tz_nz(9>RP)D zub0=EswbGvf|jAG&=MHn*Dst{&B+c@wvJA^xK5_jN*QSL*34UU)m?fg3=|d$K7eZ5 zHSH9Zx7K8oiqLVbVAD4^oS!WwBjDHF^bK826n?4;TC8a8mnbfR@WSiK6WOde1ibi)+6I{0W#Cp4?zkgV(4!A+nmeatYbipqj z-j4`K)*+jyh`bJJLH}=?Z66g8P<*!MF{+#1<`Zu90~-7(s<+=CjJOC}Z(gNUz^K81 z%p_wjidDT%KCBMp}$5eoRQ+=KCH;q*@MUwL0ju zq1C7);J5^dUX}6fs^$|->KwmtI%j-8xUHExc)C4+^5IsgR?dZ2GV7JqHdM=T?W#HB ziOi`cde~I^e5pyP`iTbWa3xxU-GNk8O}>stE*~mJ<3=Q}%aa(7o#&8>J?#<{bPZSU zBPQJLz7P%XK`j0{kLs`Zc?DNoC|RHU@=WADz+r!eE{*DLjjuvjFY9a~&qg8&8m|{} z2?I@(>uE*w_r4w*uW7y2G_S z+{qP}yGlg9P^~Iw1WhAum};M{mW672pz;znpm{FudxtIS#%NU(SxL>h+(5Gf!zJ_2 zcl$ePPLx?0RjA)M zca`t4Cb|Eb#zZcAtid&>=P(>(?roc=w3Bi4;XdgFAyUXAl19Thl5ZHCJkuQfQPITyz)}BnV*ZO&~hK(Tk(8j3w+8M0TgF zjZ|~*i9FlhN#kr5?3B5#mPy;~h=^msk^7MDQ17N6)!S)0bSS#lSs_X^^vNJXal<^J zGoURaJ9MizceLt=e^)Jkk+uJ&pWE^^_`akHR)*F72Q$(S-k({7=i z{>E=0!zug9;JrdEoAroSf0C;)MOBA>#)Q@-b#C9Ar_4@c@i{#Xtb}K6eNP#d_ggs< zS)_wS>&v`2s#GYC9L!iwD*TaGoK+)7d=7S&_#4>?d92CS1kNc!5cVNe`p{Y-Fz zYot2;%+%Z3G}m--gmRTAJ$D6{-uwDWJSo-8DnnT^jMsIkx!P@|XR+VZ(B8r-_@n3` zK2fc`jA{Niy}n&hh&LXkR!$Dv_M|tC zyOWcCqO)|jp%-GQc$HG)Q=ZH{z2i9sM?_tV-(^XA1wc1^i7Jv@lWpXDCK(bg*mVnJ};IdeNcy$YD0A98rg$Ntd36S(yWyIam|aFVEWet?MbrtH)|?++|VJ zp=>k(6JMzkQ$4oF_|%0iDi?CYVGrwqcA2Cs6qsKo@K3pIh1Gso8_ASmL78f$7i*A& z(6Yk{a^#RYSqr>7TVASvxnY^YqpdgotW&^O)de!*>wxBA|Eym!z``~bhg(Z&DYZIa z*YCU!tW-I$Yc=jX5w#!QL8}m$?oioB#OMr-dh>N^eBA2|WP!`s%n+80cN;5W`$;4) zk>93}lUbAuG&s$Fu(BtcJs3EzR~oz^9f<~qQ|VAHsv5%nI?6_v6yXCIUjAai*Ib4# zXCoYUm26&0q6RpFoT^9E$E3v1IO5=OY0 z-1Z)+^HeW5r!_?$ISlT~XMLW~LPc8w0)07=`9R$IhL#<;Gb45E=6O9l@I)fm_Iw6K z@uMlwBp&lKR!f;zD3^YX{pvPLj+z;aAeCm;N~|t>HnYVW$;LA5{nSUrhDght(KXHC zUA!VmARhrLE3z4RV>hF=<>lt^+dZqTvaWH9sf$ndXSeGw8;L5dyVWL5(5>YI`Jrkz zLCVuXGCG`J=`+Br+xxYM#+?gHkhm#csbYui+%=5>%jw!$A6en#thw*1OVQ8aVpE*; zcdAot+}U^1AfHbTbJQjq5pX$->)j3K_KKe4?79*1-B7`~Q_0L13tzUpU_Bw7eVDw` zw*H}pfa~~ky_&=900C9nlUb*DZTmTJdF4Dsp-nbc7uHi*7JKnD^X*lAA~ExZn)iQ2 zVd!7(t0iw^kh)t#WxUQO$~y@;hd;3TC*`?|1k?1ADJ-hub`cmmPt7Npml5^$c#aWK-!B7i(_f6AU=grp=xf=h+;e z(#|TWm@PO+venp%JDnG5*hG?mrB2nmfWt}Ag- ztMJ@z(TdZCUyXX|xsjTk=cCt6W!`ei%8eRo`AxI+NE7axi_cumQTVq74)=W32B-1o z!Ieuda2c*9nw_R|X>+M%RozUmt)WNVNn&vEMe{>RwT4l-7ljrRuO3o3UvwL|;}D9v z3kPd1h?-hXcx|RS9bJT4)i{^Mfn*&g4&Y`2Y%bk90~f2|chnmVQfAgkHRuw#$f7_o zdtLL~E*tdPjfRbT^Ei75W!AI)ftyCK^ZDQW9#vl!L-S|rOnU=OAV=5V>x^(kt=+4Og>5vwCau&P1+0!vUv{ABol8|bf4AasI-mjCXt0nFf62;1|0^u0Na;HW z)}%^yt~-%^H0mzYZvOhRyFpf$q<}@!UBW;=Lp^@FAf{DF_d%72_mcovGt#AZb7D^< zzsY?$rkJA=6O!+hbq{QfmZty-lT63sBOgT<#FU{qcC&^U(qo3&Qy(&8%9$;ZiEAJ` z7_hxJl34m^R&9{T)4O`5S7!BUUd$VtMcH_OQtxXQOH0DEQtUH>KB*VRuPJWNS{}qs za3%WDtWg#SzT*3QxW^_r6HECn8=K)tgj(Ib#B>fOWCbhnEy=Errg{|S914Pom-vHa z(YY!l*_7;NMw{hucDBd+^JV%dlo$SeAYrHWZjZe!$CsOga#VrU3DdN35?P)>C8P>W z{zKpN^V27&TSP@}9is(+VtQGD=XodQmupcrKJYi! z>FDY* z?|+1WS$wr{5l;8GO(EEOMAWCj1D!Cxt{Ol?SrhLi*y&VtybtgXT>AY@0$?@<0c^?p`iH4WBYG$VU24taiUAG5!toHD_UZd zX*D>ebNTt=d88fZEYaBGkK!~%13;owDKW7xgC9R49@>8YcL$o&_}YW#>^ykLi1sz@ zCUAaW_lgd%U=vTWoooGM$s+2lSA(Mcd#=)=kxt36uI;; z)~|WEsjNT5PEPTLjL|mo;v80V+z<%1|0B9zIDF|xs!8mBjQtz zp8uz6UwQx(Uztb9yTKb;0H8<40Ho#-8wu>+8t4lN z0#v}oiF^3zqq9LksICWn?%(^D?{S?eejlb!L)+;=i#8c@}9D%1Lb9> z00NY^;q|KEpSpe5cnlO6TPBB%_*OvaPGZelx`_T&H-6usZA~=th)&r^4nBSiZZxG^ zk5F81C_D!~TIEngBX}n1)2BD8G6%O?^3T?=gSDPS$$M3_q=pjr7ce=|k-sAL>JTS# ztfTiB!bodt6ViTBnVq1swq)z-Y32maqfNSYu7vek{~u}3Zh)uCbl^nNdGIe1(gHLtc==5)&7v0;CdBifjS0>*gG$N>CI~3CD&CcuR=I8N!if#gzHb z1z_S~nHqUY9!4ARS!&k>%d9%|R)%x1M?ehIxWCwhk8`;^e(biE-oHeK3=gZVf8cT- zNt2MC?INb2I-M!g`IOM^K%fFga0Z;YK0kaBeNjqtSlGR2rnAC|Df#)b_ zHEuIWX;wK+pLqWo!}Vaf-5&)fjF8uTbLgutge(dZ`MzgL8MBz4V@kY0 zN1SVr0M2=!CR6LsBc7m+l>^HAI!q74X^8K4M@7Y-9UROFrLYLaM+=>g((3IHh)2F4 zIlm&eevFF*YxJ1dZF6;12sv)GAT$&esRIF>5J-&`DYIDb0i`@pHI6mXB6((^uW!FM z$BB-PUNYFj6$t`ze9&oZ;Ns$5oSnU)($Ud*T)e)%J~K08GMGZ!nj$$YHb}^6zdBK% zLE=Pt8z28P7fyT~NRg);!5cSReG~3+P0?vSv0L_atG^e4yCT0=e|*vBDCQW3XKf#s7t z=|QA?i8fyTSJAwycM9EZ33ISmy%>m`CMpzit`NrFJyrDtZT6{LyDKkM{3FB+QR+C5 z#b|5PVJx5679*8gnFLYFYxji_e9SF}gsTHPJ42n!E=3=zLt9A4b+O_>yO{Ns5Rni!u@CZIQszKpt)fmDgXFn zQtFG03VVBX1LM_BJ`H$E4*H=}($UAN!TS8Qo10tvR;kk-PuTh){G2LW0ai~BCZz6A z8iS0NWvVDY-*X?l65VFH+m8~{DO>B*X*J9&-Oru!?Zjj#lRWi$0_QDmX`SV_)-aC!gQoL>dBXI!Q<{#4vvu=?Az~W z?z!(3a9s}V4wJfv?ehDatcIN%;Orp>ZIoUwnnSdUh>N~37|-oFsKBLh!on<0gO}eU zVV-I;tn7QyPe>{3#Hcr+m3k|Ao zW_dD)N0YS(ox|G=XJ_xzS2vSaZZh_PEwgWDTWSf4WqR9M_Oa3#V&p`5H30+@lZSPm<{C#J)Au`-J^Je0_CP z+fCPPOVLs&PH~Dm#a)WKyB2qMFBB>6PH@-a1efBjDGo(~yIXGh-tT?-d~4lx{|bvF z^UKVfnK`rfKIf1*2$XvqZwrcx7xnwNJ%96?tW;H3!|yPMG=B0X8Q$R*>S%NBCoBIo zhZkutGZaBOh{9S51- z1<%k#@1NuA&is!F4Nz0OeKIuIpGm_L0hODasy|LVgbpNV_g(JqFhw6inJdfrCc8v< z*V>+lJ`dFdAfLIbQSAX?+i602inG@vMe8Rw&^&|xc4b}yvHs<{|6{@RMIV)Y6Fh{S z(nb0>rAh~~RtQ+A;`%t@;r+7kzk@!uW{Xc(+FA!k$kS4}{HS37#pKXEf{E&^ z-8EO7q1Ct*KG4|;WZOE`(tjH8w3en`v6jC@MLV1|OF%Va(r^>o)nGOIa2jB4IJbIX zF&mn+9hQ^PK+HPNLYiXRI7e1j+1cr~0a|hmnXkP)^8?$5NI0q9owr$dl!R@5#2k!j zn6qgsnVFYfW=7iTQ}gDef{;}4L8hN@FW7C@iu=}&b!CW07#}B5x6PNb48=E5@Shj* zxDIf^rxZww*jiS4r@K5EbJmoBLVkA3k<;GOt&#(%ob(;z#jCEk~pXZ z1}jgi799e|nu>X2huKX(vRBJ!D>TR(8N=3iJDsmeupOo<9yHdmlT?q9;`R!ryP&zr zb6LjNg;K@rrSa~rqe~e8vTlh>j#ep5+JX9ddLt>@uF2bE>`P=92l6izc z5U10ey&c`WignYGrGnaawL|2n2|K|rBW-as8A?Xw0yzqg%={llElJvs3=eK45%vJlk=}iWR+N=x(xu<7W5j^Y{k!W;1v+xr&( zv9imI^&XO7h@OO2Q!kPJ6p6YD-Sn5z2@G4y>peyfUI6|@-PqpD3C~rKhen(VqfAvC zhqSyY+gKhyT@_hIesYJCED2_|+cno*^eICKoG9wwOTpPSEM1|?R=zP$Z;~2*1lZEg z?TZa7-q3NYeXGBgg$7_f>PU1ZF(fGM>p8%t_Ta^a0Iey2Sb8o;LD$RF!u-Rr1+R$; zfKRdWjcoPib?V1qUqR{tx0O?&x{TY}_i}|i=Hb%4?~LwbyjWpD567|4QdUiNv&G{i zb}w^Y+go=^7LGYW{*{cHkAqE@9tIJ+{)-AEhKlcaz6v)|3cpQa05<%@4DW%Kzfv*# zQah6Pi(wu+lFCO%n^!Y~I$1%Ta$lyxT>7}Z&J6p0SWO;Taau)Wwe9?S_x9yt9NFs6TQ|o`v-Op3nG0{Im{~iV%F!d| z{d(f(qTb=ZV#-CTkuGb(08})bv2&4YOMnN&2c1-BRp3@yBtaaIhj6(cLInJm0u3!f z9XkB}>m2lKvsyMx$=9F33)ZfSOk|WSU;Jw%Ds$Qd<#>@S!{%mb7+x1Q6-uy6k8Y z?wW1$cQk}x#4LN#lJl+JO_5zN3)l}JIc2Og+s(#wXgVzCmCF?kG4h)LF4i6<4Yj&l zl^r9ciUY@CjArz!?wu=j_$EG#6J6OH3rVyDQzu!3R2$zSm;AK}C2Ux_TotESN2Q+QrUC|mt8E=2dSDX3x|0m(|WsV2WkT0#B5cO-cKH1}itB4?z?qu2 z(Q+b-J+Th!0b3{MN``IM%#GH~dyl*n@tW;9y4YE9)QEGhU<&c921#^$B5=IPAQm=q zq9Mci545*s+t6IiIO;Rg+o^7;O!{<_PkI?-I!O`cn2CBtl=YU?OmHbWG>w#WbP-}q z3lb6%ltuPCOD;aryI@|4i&<6Gw;Em;SbHn9%h$js(@v!?<&AN~ zHjo(IeL}R8>j#OhU7;WN?*4n&j}J?nZ#5TvKT`e7b1>o2n02Q<6*xb?^szI4x@vUT z$kYv3FnOM<1no#R8GZIwWF$tQLNt_ewV!mdHrQm3(|!AmN^fwFXwkiUXpWh^_i`SP zJuU_21RCAGT+zXe1RQWaPm$&%7g+s@s+_PZbs)&d+7N>J#ror`i&mQs2n`S^aEw-Q zzx-&^!nzpJU?oU)RIZ~4`1NU2QJXj2GT!26ohW*|V1=Xy8KCX1GFlDH3DI1P2FOJo zQd3+kX2<$)CO`UY#HBPIMi~TzauP6I-+V;-doS%2cwIwN3(%GG)JnUxfnnP`# zZd*ysIZv)emwD#J9QT?-HXg>d1)hU9hHpNee@69yf0++Ls_72~*)4uv-mr4^9>ILB zLb0SF#v{&Xvc1J+gSEd3RmiQ)=kKH2h-4 zlUQ9{719+|DP#Y!*xmhgte~IJ?n-o2ApV7s15>4deemXLf0lyNN|RJuGV)Vu#~N#y z30*veoibfHqqHVQmD!;lGIC^oNJ-&rToL^zRrv_@I###pby3#wx{MpIb3vUt3M^Hu zD(9!OBbm3vZRh-{%-a+c18{t$F@<4_6!eLHV*kQFO4_{_O`x`|yC@1uUk9w@Ggb7g_vuserfS6Dyfto2U!-L3x%KFQBOKp<3?9QyodY(k$zS>8*zgX{r!cCj>bh(<#0S8lkQ7(Zd2YVM zP``J`KO5(B*9G3IB2s5J%^`9D9o6*ZkFQW>OLiX)4i0eTj)PayA_v{emT=(wB(0DNAtd0xFfh{qWlJcC19Y)f&>56QI!W7*Fk3<>dp__{SSA_xKnIzxN7;ps&|Jh;JijNGT%^I!MDPi{MX$RliFQ;&CyI9Bt)4#d=p4%| zC?hgvKhf4Rn0ek`nBhA!WClOY_#Pb{2`0xai97&;8MU2@j`J0rDN=}th=$2!fitd5 zVFX0G-d`G99~SxyHfxbWil)ZYG&3`=uy{Sdw01=j;#JtnKkT)fSGSVISC%5 zK5MCM@=#>d)YM$||3%H9(CW@2;M~Vgs7NH({Zn<>ce7mF)0Tf#(7PeyfTTe3aM*^b zDJ8X3ruEj~>^J$Ojh%{N=S{jKMGj_hz!$*7Qb$bSbP$bD6~IQrei4kBzI{LTos6Wz zI-XR@-N!xisl;BeC~&rBJYB9@iw7~mvnJYYp|p&MwFNSA@fOa&|M$dum*q|czpYNp zz-hQgvS|e=d#a4Wx#eg*nf&%I^%RSluZ8~70Z%!IaqUIbTe$mr<+Rsrmi7H=1JmZ8 zgYt>Lh`@|j$`>mwCB{=Po@mT4pdN#z0vk@rVwp+b+X1D>FDy?7=aR4%D%Ay%#0K(YI@CBmb}RAn_8Tr$IHUr19llP$`(sDdV-gXskqt3mhO3$dhd>amY| z07p(y_G5a;)^E(ceVJH|x5Xa^6V&*J4O-#s@@ih&!Afqj#|P6%&gXg~m9flp{|x3< zfDwq=51%x}QIy`o_-%q(WzKn{?=wIbZbeS`cgWRnVX$X`^F=qMP@J}V5LOCFW_7S9 z*;hkLY9ErSthJByR&-QK>qR!X%w^QDTuu%(75DH_rPe|gzeMl$mZ_O&ZFcTm`r-Pw z)HN=hEbZAR%_aHo^G>ZV_(72NkC=d#w&49csSP^3e8q!-@-NibD4e>=pYSc z4s>TEw4sfv|B;lJBasLE3vGP)1MxxxL{Ok0^@t+${ijMa%zqu5nlL*cApOL{IR@}Q z@VgQKiGs?R+yuki(kps(i^ZUioQfqf+d0P#iq_k5>bCYMyV(;R!2cWOCx)4Oj*N_i zmgjs_6Po(x=mqV;`_iHI{z1ocAJC*m$1;nK^`Y0eQ#LPtgKH~9>wk0aN=8tU23sO5 z7}}5dIOwo&w9}Si{CB!uA^Mv9A#px%M?kTtQWfam>JhlmqPGA2fN(Ua(yD8<6vYGQ zN~ip1HJRF=J70e>vlinw46-@1QhQrfL{6Y0{t_G< z3{6Z%Ze`%^PT4N0nbjAm&?s>hMm#lWybr(q|1=2Y2IxAzQJBjf=;1rdWn9@1?m$hshzm6x zqz4@rj@z}o-G3~MR7o3Z0Q8ZjW%t71lnA&$QYE)P77@ef4F6wH?^R%i2-GlSPSxOC z?PcmdF><5}j()o9&DJgQKuHH#LK`((>CDQ~^O3Z8;YuGhl;Chg`rF0w4B?`~l^>-) zbyH%NW7CfXt^C1xE^1l1Px3V2Cq19kTr)n0saoII_@i3a!RzQH4-@Vj!?8u18V=13IGa4-sEuPG@mC7>_19N2PsOgLWP<-bm=Kw`L8D%a8fD@D62+< z7#~J=!MorH*g&!-x0lDm{1&eKxl-o*upx*OjMx2r*cGuIk1sPNW5p9q=X*eAJpSXv zaCmC6?n`JYwYj&%K)g$<5&F@Em;~X!RtgZ7P8qzrvy=FPi1kv+Vn;yKf*6nYkj85p z4EW)>t8D84P~4;|nHV3L;PBt$cU;PgiD+w!UX_%*t$pOBJ;r0XZ2_o$@w%aWPysxy zY(AeYNt=j7?1X%u_24b^QVKzrD8wGZO5k$R6AEZtygk>^qJQk1y6vuq^x|uj>S_i9|iG zsf8U9VMMmdvWZ{fJE(|{DjNoS7rrTok^_&l)+`PUuX(v{s{o6kJc&uk$zUcem&u+} zWU)I78^aHqyFJ4UI5r38k0Gy`EIlHm7HO%)a=(C_bxKI|qt&0{YaYhxZ_n5WABvs2hlwFJ{wq|s1``K$vYpD1e z#D_F4J-wi5^v62ZaWXy^b`rJgnlTiJN$Na&{Qv))B z1t`^I{=Uo#T9klb!L0UxL%ZeFy+~Fc0lCWH`60)91o276b!Qr=SaX!a?{{#8$5yPE z_QUG52PC-T{f4S)`%soog6?SKu4RB0eWCAR9BK54y8&aO#ac9M)%g)ecUoPfR{K|gCbwu=0^bSQ?DWJsOy7o*(_g)u$SSLeG``Jxy~^_h z*Y-e10g#!U?)OwDPhGTX%1vcbeMKrn1YMt0XM#eZK>UN1A*q2RX!Qh4EUfL_T^t;o zl#C3SNRhU-HfZtl6mLV*H=_kE;z74OprwS~@dX>YA+zq_sl%GYj+hbW*g})r#+4&eKvWRlXy=oGZ>2hVA z!iUqXg3i8w-;NS7F)NN^eHR&%9AmOO5E>fNZ@R0DudHJ0swL)XPVD8fRnRA5(qi^x z3ypMo8+B(e=vc*b*Z{gswZS>vcV^Ch3MnVF?xyaM22x z)9{2}$7CWjl1JN0d~-W5O}yF|s&!mpU34kp1&xj#Lb*ODr+$U^;e(Vq<>KMd(bFPM zvLtwSiHVA3R7ytzJhCIGFTA+B!ZZi;7D@nc3H@wHs;j#wu^Z(*9=Mu)Zg-JBkWlkq zZ%HynSF1u?@!Os;Ymhh%&+wzNVOn-GS^aF1f$&*lwc6x{xXtu=v0Ni>0=vjc?g$$4 zv+i@)$1Lt}%#Htr-=?i+Kf1tX{>2C+PhFlj=vS3v4Z<(?=he)zaX0q?4P4VI6x`_F zrvI8zufUYG0aXi_oL>l59YUrp@}1Wg-2AO?r*gElHkKx68+Q&)SbZP&j+`Uvw;pug zM&10#=JAq;FP+eyJ22z)L#9%kjD1 zz|Z#3FDI*lXS(}y9Z}6>B@y`o-xh`Y%&0z4+^1(g=DpXj4u?h+ga@h7eUG5=f(>&q zh90EG6}A9bO{hB_qwiblwI*`ePD!-Xsu5964N+gL`2>NzFA8+ME`90y#f{pZDzjPx z0G-QmD=9ffQ zSt0mUy<*c%QsHYd4%`c${lt95!xjRh=b+u_B_yd$(F0tO~c^-B4RrxtHq%4Vt z&2@(z2NZt-UZ)-x95XK%%`f>peuHPe-+}75^}R0g-d!mlVTYNs~T^7TwKl__}`v{-Dc(M3d(0`p^ zUkEInEcisog2VM@y0k^b)jm!Ptde?N&kj9!J&3sudEJ>Al~gZsPilLE60?3zMj0`c zOw4oni>Fu~=%?~qzPpsDYRj=xUgYJHCjBDWf1Oz=4s^roNJY&^mvyh`Ol03re1Z!c z!Dr{-&LYxnfVl)6>RF9TFDHbg(n*&j7rBT_e_l+@Fi)J;ptEv2*w%@`F6rO-;6Aia zWr!w~=su1uQ-r&n&gZLplVSs;TduG6N@96cKR1V1xd=OEyTV6%a__;Nzhlt%4uyH<%UZ2?-zzmGbPaUO4$n4x?Y(S!X`tKGQBfcw*AA>&FM7vn+Q zb}FE5W_hk!H8xvc=UT_{clg=`uh8=~8GlEqJ*5El4Dfd*0?s(!g)qYe%Gr&A%w(Yx zXfTD2LC~CXLY{E_^i~#iYzQajYkXNuXq)cy+WZVTD?Rn#b+!h$nr?IP_gGpgg~t8A8{&{c%=oxe2KeAOOO6bMyD5T00ACRNz2A|?tU2jBsYV=gText zx@?a4N7v-Bwxc1L1t2@)?e!r@rGiPlea6+^8mp=z%P&u9#^CNFSm&@kmA;PoCBZLG z>SWiOl5|=)Ev`u3!mTmMH&Ox&_amk zD6o!OnLZ#ZL)3Ld*kPZqsk@z*d@^EbfqeiCF}SY6l(M2@qYzcC}006}1#(%rWIf{CTrDgqLe~99shXGVe3rdY##1y9~4&EjxVgd>K+MfZuc^ zG=tCVR&slE!(%nevgyU!n)-SV452yu2Nx4|f`P8_f~`cUNO^6qykFL(4QCg7;w2W9(~6^aPjaJB zx!rHOyNgSxIV^RuQFFznXfW+ta+_6~ikU5jq*l3Dp|K8+{rH|Xb!NGbj*cx$&**_y z@9}Vej2>SrzKIoPtnvFvubfjJRCKTd#&wOPmjy>$*13#HnjOwDK~E^7TjQ;)<<R<~Es6%~$BXDQwIg2nUOx5Ak61U~WCtXMZl%6b^`G+D&}s)CbZ14U zT9$m0ocy$en;B}YMB3pbqwqYYTUkB_{JlNIC92D1T6++sU8k+6=&)GmPvOHiToWa4 z(OH@|$;?qP_)xE}3xp5I@L{`zF)+}QMSmgYI1Y-B&szibErIuM&Aoil;ryq7#wK^^0$Pg-pY@7)5F1dJt8M;xKLDRvQ)qd0pH{zTa9-8T<3nZNE`JYlx{Fn5o* zOy7=-di#nxK;46B+1pE(eutW)Vz<&l4;sL6E?LcQS6jlz)p7KKK@~cZ`fsARgeiiE_Vxgv+@XQd zn=RaHBLC$#hf3HN-H?C$7}h_hjY>)bFJ@IZ@8@TJ#ucnF1w_P1u10rN15fX>G4hAz zW8%&;96yCRHDR!z%b8*8Wvgc1s${X5nHTg(va+GL7LbsEvY_@6fFm?O@0tYK9j zzEogF?rd+Hy4hRr%`!z>4lvprOc2aC@bBwI)E{TON#48JP7qP7!)L3u^9bQeh#wB* z1mlm^T5}v8qh$h!MTV^g94;$rgz6E{_E${6%)#PG*wG7lI$koZ-E}lQ%TBYwui2XQ z^L2m(rzG62+W6XKs=rf4n*ogwcLM`&wJDHx>tvT~lS7_|LX@GpS5K@O8#>LNX`^y@L&z&C>` z1vSGzEtF`+y_F4gaERaWq3!COovV!_NU%$;>JfT{*{(hOS{7!Bt+Awa*_4c_tuJJ~ zT%tHq=0f|%oxvewKpsCqUchdjLH{6dcC5$3;YkSa97YelY}dhX^6->Nfi%H{^JR*-GqYnl~8V z^khq(E(dr7ZnxVZV{$g>KhOMeVJ(}ej(g-In-gEBqT+YUg28iV#Qc*kZY~F`#^5D>H_$ZWk@LMLF01=e{16oW zW|xF@c=Wq+=8~q_@3C5w(8^!_bR3G?Uw)|i&z*?)oMbwYV-00zV%zbxTy_JI2PQuV zxGBY+xHRj@rBq_=J>EsAoUUDLmBeZg7xBAPdGJTy54X2lc&ElZmndX(X}H-#&Sxzt z>SOlzs1$_f?7#ygY&zJWs1?B-ghDMaI21#VVDQ=&O@ zlxsc%thB%{OM0}xQP^ZdunO6MXHMIB7S)&vx~XzT>qU^EW2KzAnO8;L1PUUe6rJnO zys6A>XjRRF104+$3eZS>4H_m3Im_+Ni0yMu-6SZVGSv!Kf4D?%qLI_9^T9a2FjH5@ zNTkye)Snf;#8ZLd388X4x20wpC*MU4XHbR$CrDQGdRcn-eV&8z)R*|V_J0qz$H&)24 ziJSVv(;)N{lShk3D(@5!JThODgZH0-wca<#-@fInYe{%@!f!=ifrQPv1N zr{0=uKUp+U6&8jNt=?2$Uts4F3B+YKxO)s(lhQW;(0`7bY?`Ro1=tAEf$h6i5HK$Y zIV`IU(Fr|;b8TVRH8s7aX?5bVI8o{OijUOy^tAE+EeH!p?B<#Rs{EK!jo!f`+0u;i z@^Z8H#$lA-I>6lA9c6NFzeGe~o;77)tcBrC#gG_83Z`WhMTwm6Y?x)92V$)clb_ua zI7r^Ux)?>ZO1ajwHT+oqMMDEeen_5nT$x*bgRu&oB*ZU%f>DkZ!=eQ1;amvO_x0~xrT1N=qKABmMHp}~S#le2;zu@w#Kz!ki z%>9*%AJ7XXqoRh{U|HG(Bj2P-jepq$B}--kgPgo{v7)w-?wFwwK-ZeGi{^(2?`@=v zuqoOd9Tb2!40#{^&$J0|v_Tms-J)h_-0a2!V8D(C> zGd3ly*v~=w38%_gkLCT&#?}_OZU9|KT>?rOnNaE|rMG$#PaRxf4fQK%G|;>Fe+af$ zh;y!g8pRmPKh~?jKY~=`c6SRy%c!c98E(-aED=lLOhYPo>fFAPz?Q#(avBGYxJn3r zN9+!QQEJb73z6L-NK(jrG}R2H_dytQm_oyP4Ktr89OA|l)|Y2QT*N35^Xc!mpj3v~ z*VeKr;Cy{ej1DxYLoKQsw5O+|z>kCam$4Ae4aLm0r*O6Jf0&VigYtg@NQD+Vnzj(V zI&eb4@ag}KL&+fVPXQoKIyE%hk%zoK1nJIl?Llq$zzlNC4eF2xs2IKTa6w83*i0jSFbczadiI zD-?zd_0O#O`oLgk=g<>1KXE9k75+Quu@n`y6j^8D9tb7VI@@9}poT&xoZEZIC<@jH z>yjz`*Zlbkygm>W0c+%vM^iwb2i3*8o`4`$!v7NFLDNwwDPr?BFS&!1S^mVTpg{@B z>44;aCz`tRhM5Zso~RO*#FvKp1?7y;xvqiCekJ_tB;-n9=4R7Dnb6~EX!OG?80zo`cS0?w_OJB)eYBgsySuw{UcrNAE@tGPpGB$!|Nm~V^LO(cG!nAf=f}EP|ET-dksC@*h!FjW^i}EU{KK@#7U*BP|ql;0`#~-M~j&rBpm(m z|NYa!57)e!6i$?UJ%xsma0%sZ(1OVcPQ=8-g}%f04-dt~tj1MXsW_E0wdcR)`QBSu z9cwpR4{UdeQjI%BE7DP#TYbg=`241KwSTw z*H284Nv+Or$W8b2)`7#_&0*$mbC-kcx2Z&!y80`4drM^7O8;(;in=;#kL@c&zo4lz zZFluI==@%b4BpxPq!96~ zn?To;^a)w%)Hyu{f1mZUp3GNov4YzSx-PlvzsniXJ-vs45Wyr@xa>a@MXXA~GoZB^<#fHEBP}9Ulbx-cbfDu~y zZol<2s7Y7_M?>J}w1`{i3=Le-ONS&$%Tt2#(EYg$?nLty!>1B_JB?-k0~R>ltmRH$ z$bKVcShRs-M4h{rw|l!x%_g}djr`IF03}YOCrGhUb5Fo?W9Vg6aYf4F?WC8>W8p{~ zdf(%HQ z)-gynxas(NYioEzmjMZo0==7O4bc`zX8Itj!VtgzAUJlRZz&VeEfMf|25e(<5tqch zPOq{``H6c6?|oT!fyp0@&;Sr2`^2*+U8A6nlFj4XQd={w*kpAEmIry(a+_SnP3TiI zI-|zyiaku=U)|~e!2JhkyY{T^QeB&vxM`hN@dh$)Yw>;o6JJEbU{SfI=FPQg1i{&G z;r&^QCRh%9%Gpt~`rJ-sp|oBn))Nl*pp9k4HF2@aprd!Kw?yuf6Os6bHv`$3=@!1G zn`igB>Gty~WH(^@+OT-Av~ zHT~{}1C<89XQAx8vaG2XFdrsaBiRmV>FD%+et1mV&QGhJ zJmGgL*zFDM4oHHkNU)zfg#Q(VtfY#lB-8>`Pnl6-y&W#Mv9puMSyu7+1(oDZTXNcO z=8uxRctE2)>?tv!#^X2wjac_7y1FlnEfT&gOTV=F1vgj+qG7x(`!K|z{7dgt#kdc58)VS`uuq=;h1OO zQ73+4eDcQE%;~vWK1$eKr*>$kthSMl0~ZzcrQnaZI6^`?_b)wA`S(}X-{_UWD)wU+ zGL)h8xYte6V0d`=85lLw4oY?ULz>_P1E!2k-K1cC48UWJxMPo{eoX4|w*M--J?~5r zmnLUST1SVJS_zVsy`6zXH9M)-=bSa_s&9!fP{FW7c)vXt=M7;ayRtLOIK(MzfXIPm zD+P+Kf;gR0Q|_MHy;%U6nc2P4j9Kq9ad?dOzVfXNqjL^IP6VjFGBC(Yw?1;;QgsmoRp=(4zb`qx=Z{1>D zUmD{rhFOvMl(jnEj4#lhtIb0RDH**re@?1E*W5R}I{Lb?&Hg6;SEW$~g{_7AJ;wke z+t;%1Ac-ZLZ2IZ#a|uejM`tq)KBk?L~scy_ah zyxndZi_41fY>Pp&_j54@8Ac?lF-V?xP0{MA9J~CWVt%^~rF{oOlGj7M#{bcwO~&Ts zYOdER)7A7Cs2yMJQXEBC#_jh~nZAXUyj#VWC?mroB<|3c75JQlQ)3{cDlYA`u<#wL zSOPT^KfvvvK}+ASe+m2In3rjBGD^3z(`Q0XzDz(fixN?*jNkR=5Z(d3#+Y8iu#i3> z*pjtau{nG;g~_ndvwh@9at%p(lX+L3sL^};VLwr#a>JsR^-oMr15tseUalE$xSFZq-X1Y+&$HoS9#fg-C8Aa*wW=k zMz`vQRQZC8VSD<+Ay~P&KzYg61|wSRTGz1Q>(2c3Kmxh z_>Q7kpIZiFxUq7L^xi#?A^>^L7zeV`$LDdP8;l&3az$jcEwbfv>1(izFo4CdJBD)U zdL4Ne$8#^X_%R!mit6@crQ>mK-f*BL1M;$iNw?QyQzGKRoH(&Q9STDv(nsF0%D*P@hUdC*fNWZNC@MhmYfoln>8uc)MI600%5`YZq0*qcj`=pL2y`ZYo-y zbxm`s$@HBcuvw5=4`N5>hc~MHP!db zFR(YwcE267r$vmBS4E8*c(B|!o5-vBQE(1(^$x-`x*yV+hGkJLMyIJK6_)E6bP-3J{0_;v? z!ztTu@gB6-Vz1DJVWBS@niQ|}m!Ls)ba(0svYNzq4_Eh?kMiapPP^Q1Ylo}I3nC{= znmt_L@f)wt_JQ&~icztV49ilTEj1Mw^QE*?3b@0|VS6_c>wC^l(v~ZF8Q@k_`nZ37t-iJs&Ey$JF=4oOPo8_Al;Z|Y!e(PQN?Dmy90PdB7P zTiZot5q3iVI}4;R`k2dZd%4^W)eiDNXl>%SDv4$u0=XO`GA0GqW!9Nb8 zz!*}5_V4;gz<*;;k@~!J0VjeE{CIp9|7^sw)0>yv3J*AR)PnA;R;K(RNpaPm?6ncQ zYz=IvbNa#eo|w?f$c_^aKdRo=rFDcjX3U7n!5#ZT;$cMf|JcKSUOv4;6z;;@U-@|8 zTVM;FsV`E9?*b>_s_1?z1=aWp_&oS@K~_)wZy&qlJox7Z&=3)74}$iS4ARmwvUJ8U z``iR0mohMjG*v(!2Y&>Q5=2Est?4@@kSD!x-E2Ub(D!G7PK>_zfOYAudT##s?~>)% zmHhN<_agQ_+I25cN5Yk8sxIW@6gI2k`hcfK!(m9PGRtxB(Xv|Lhjdqn?i~&BzbyFm z>peFz03U_ELlP47-|x@^KTZKL%C@TyKgX3iw6hsK-vIbHeeHk$C@Kb!2c3_?WZ7g?N@2bv~dWtjBhq3jqT7_4;PcvU@!lmfM>D}xpVvSJy%Yfm_ zRYd%>1AjfuT)yR{2c-JFLsItBKLwYAaME(?U7H&=OpD1c5wrjSn>hZD){ zoQ-oh4mpsN_-Ubu2dXBO^!L6kg-?>MOKRSHqxCqFR z&*JqPx$Am4_vpGmY)dzlPZ@NdDx^n+8+Jt#_4Mw4l#pFHrQ5!j|SSXx~DrY3rKOCaDQYMz#3)2MsCm|7=pVYX0W_9!Cei^oN$!@B>&cQJOxjf;{#b~Gy=2|z$d zq3xNTmP4O^&IL#r=VxlmR(Mw?f%<=svHz^qKqJ{#4*)4Jsxp|s>>G4j7Zuq^1p$5I zzq@epFcnl|&u)GwnQ&tNJ}smd-PU1#v>yF(wTo_%(-0NahE$7=j^vv6aC0qBDs_Cj z5_ibX`Vssy!6Q2AW!Jst?Vwxiq2A;bXO#?wixEMdi$meUD)2r)LgI96d4{UDaPFW; zb)Dq_s<+fW=C_uVm)}1|3|MwL{fd2;1bI`YcHxVH5~iv2QHl<&w=0-`^P7%flxFDb zVf99+Sl-A9AxG}FX4AP*$w!QE!#TvZg9}&~;wt^PBzgwBEuq+Q|4!$;slT)P74gXz zX6jIk*F&mxjRq6CdOwiCh~~POy`7#XsyDd5^(l4%<0(FT7z5V$*(%TVAl{oZ?#*_^ z;`6N!n4erp`%Hul&3S&_OGVq5?|Lyg8Ngh%?b#vvS*PX@z<(2`>l_2Ybv$w$!yI6A zXvRZM^j@7o1Q6U4eT?SPlTn$nu^o6ui4jUSH|F1*73z8@pC)PmZIqZ7hed?xYM%)CJlcEE z7H>mXB^ix>eNH{A;UMT=lmD3}9x)xzGhwJDPsnCHXXz3-X;NPDpoxBay&HABR`t*|Nu-K~EAJYfFeP3nHmUZ}z$7D&N|9b6YmF)MUsL$u4 z@w2Nza#9kpFIxZEC79jwq!@5|?3*`&jcdio$lOOMn_egMPBaJCd`Em$uLb;3z(j~{ z@C7C0JK8K7jQMb{d)ud49MmsDdVa6^D0I*EuPszd<-%2p=J!k8%Gh46B?~~*g$vV0 zE(V^bpFiXw-mrR~JiCN>^#wXW7_ zaI1Rx$Zb=)>2qxQrIp(>?EOGM2tKawm(2V3dF081B>di0YhRD% zeYhA*nXb-*SOt?7ukbICTBB`N&C_?HY1#}pQu@Doou};GM8`mwDLF?0($Uh3{MAh$ zWK*I<>KW@K-x##0RDPV0kv-sB*})c~IzZdjpgo;_H5o%DD@$`*W-sp{k62YV2hK*hk}J)5olnPKy(ET=B2ClO7?R2woIl3W@73a7dR^JS6(>EG z7Ozl2D>ki)82&=fA$9^!ZQJS4++C|W>w_BLh9B~OXnV`BxR!2Pm>>yGaEB1w-QC?C z8n@u?794^*1Pj64rE!Pg?rx1X5ZrI?d(J-Rz2E=uUq4T+Ufru!)vP&3jWJ3hzeW`V zl~bWQO@l>HGAvOM|7%C3jn*yvcgwn98IAX+blq?)_8pDIG_!6?*8~tY<{CE2dhV(B z+wdaMEjVOxFZvCV{73b?Crlp57b4$d624uvba%czz5CxBcQDs{bYq1R125XD&%8x*MDndDE`H0r$9%9EC_bQTWXOECbV_+M<>WGZWQ<+tagC5v z(fP$s8bw^ycWZ3XB(1Zv=xX1)Yh|MKho?)}!tpP^k%rq`&{^u*@v9XwCZDH=mn>nH z${xVf^)vCB^~UI4Nu0v-%^Z@6?vk>-uIEwXYvUC?+NV>FY(i?TiCn?8O`A6gO#S

v>;MCZ}`qP^Za7+fpe>S-*OdERJ=Oq z$-q`qmRkK+0{mQOTqZL={AjY;XO0zfU2&`7APb}y*S4Fe`?u2LteCB*dn?Rht$y|=7?aYhr_qX;7CPUjodX8O;(-td>Ss!-?T4Iie z+|-7+=g-MDntf?SuHyx^u=C@md6O=M6;4fn>4}S``I9mEu0a2~xzrC-yOU>ce7NZz z0bm}GP+7NkTTAf~^H&xD_?M|;z(e!Wp5bOQ;sVisW-cHysEt{ZQrAocOOfw^mX_gq z8-cJ+%A^G5cH-hN;U+AExKt;1o-EoewY3Ri-n$(dO)+UluLq4Yc{wN}LHi^4B{92UI;)bg=Y4}D!#Y!D)-++a_y{?Z&K4!fu4G}HOMmDyr zmOoy#x(t1!j5Y-!YMG66GZYh&H;g;Lb?pzO*WavPfrvvd_1yXy2C~-E5i@?>GnRXv zQBP(os{HCpXF{0_{Ab4Ayg=PWEOwJoHjK!nAXK;NwiHg|6Ms>Mj~YOD}uzl zA*wz$w%Jd_jmU6ZM}Sse<7?u1LF*q5Mx@zE2Uqz~jQGVnbY+D0448y?ABMGvuExJZ zu?>Z+Tr6W!Al3x6M6 z-@R^`KfTsJyBza=aRYbEbIphc61ygcxEtr14AecnctpT#4rEvnfzCL%5on6)T;AgI^I^W;@w9Kb&SS3jOihW3sma#GwaU6zgPVuG^nbTa%?2f{GKKtK#7JmS(7Ewv64V+`yt+ z(D3k>R{qKixj=BG2y=aVg`;8?7kB#a6paYwrmbO81rSrVNGl4?vRXjZb{lK^4J?S$bU%(jRsjjX;$Y(C| zpa4k!>MQ${I`2?PpMLl2pEsLm;U~I##5)7F$|(q)@N5mt zJFL`OG}zfQg&l~K0T0M9af$IHK+VOv`YW9H6ct^Qbh0Zi+=}eRL|mIqrZ<%$uWvvU zPyed%V%^o6dVQOV+B+KAIBucK+6=4ayKBufEu6O&TNG?=k^W`u=tEu&f^gH*PB&uR zp=SA0pJ7^dTTCMDvr|EK39)X-T>Sdojmc*9|H*CsAc)-mliRQi3JoT$b+e=Neqs5x zDfCHb`zZq7iDB2HaD!=liXI;66o>AL>s3udaY9dXSer^cWc0{Ooji1DF^y}fQBKwz zP}JAn{>>eGJWUDkr6&v^x402JZf8x6o$f+M-cNt>Gj)NoDo4Xz)7nBjx>1X?v%I#s zTuMWcXiss#=8u=Jt+b-7vx1wbo}Q?fn5JP<+|=>-)ImM0mnV0%+HAvIJ8x2M&qP(N zysCPFQGl$qv=S?NXPs)*XO7}xYh$?bI8;E{fXQ8*L~w%+fu6LjY-aFikI9&^j9k@X z;)$MZ)?gFMwYGem>~}$@tB3k>;{ZUh)l6WTimt%)HDMLyagim+Xll zAOH^)H6A)Hs=I;Y+FpiC$${J@g6`XaqU@j0iIxv^Me@RRe@m5dD8y1=c_G<2h6vw1 zrQ?#y?f)h}Q0U(cPikj@-5NEz6n(F+&hJnHU!c$sdSCsVjf~dU2+GSqK}E-{icF+CmBuO^E3TP8q4 zMw~Ak+V}Z08QE7N5vk9_Z=z+t)IPKEM7HjOqyZ@K6y;E1)a3>5Rx)$K2?6Hm*K2ty zfZN-zqi8`ePRh#bV_ElmEmqV_7JTh{SIz^TQ2U>QOk}qQPXNp13UsNX3I7?;Af+xZ z?634EwIcwFRv(Te0m>%A+S9fdQe7jKVangZH^pL7!e>y+UX{;vbuVdLlU|?L50lU+ z%$O2ma42W{fGN>ONqVeMN$jMr=zE#INj6lA=HRA3C-J1ph`VBl38;l1t@~&T(SRa` zudab=YAP-xSoPu1ESW6wnKD26dyCY5Cm0)q6}q+M79vLpP}1s*5Pa`3>}S9+`EziM zP{BkYNiL<{VlH&FOY4-BE8AV@1qY{TAh5idQOe*F+2cfcY3g1L&HKNJ76ge8N`!a~ zlrx7-5;uxhC$4v5XKjh#-+cWm0ck>)gF0SMT>PB>_dgfA%U}c%;k$zcAbBHZ{Et{j zJX5BG0P;u<)G99jTXcShkKurx)|cSBFEg-oLxSZT|A1M{8BjMyiIc=8IbIq7$Yox1kOwew;txvt&tYL)i2i-^>nu?DoUI@l^874) zkR2EPS%R+`hX2{ohAbbBy5qh<@J!m1qwF6JREjh+CvN1G{Bb}2>5guw|GM{8cN$Ug zOrKfFV1QI7OOad!g!zIMAazJX_rL$_h2z7wGwIUFRO8X_eQmd*obV$V11!T`$~gVQgbSmA7G zN`pryY2}e|XVZL~oelCd+JcnoVWG-J&f#6=%CxiZ`$hTlK)NQWk_8(Mf?fVBt!Tll zzaLTyXG-%J8LkK-E^V4lN{o(Z29z;-=IL~GOz6vVLqd40LjP&Y2p5TY=hCbi>%-eydlbF}^uNy{`j zNnv%W?fA*}l#d_pud03VOM0tVXB%h<0xG-|<3AnUs)l8+**Z8l@XdLB+_9Ve>0O7L zMu?BEn}>3Wa_tv*5ZhwJ&gL_0H$nbaivDD^C3wWFIEr@vnA2c*H3{1$2U-BFqEs=?1g6LcMfoYeH&`1~wv%S4maI~k2 zr6^-l=SV-L)ZhJI%B{ccX?<0sc9DHjH&wj4OI1tMLB*k7&zKf>_qWR4Dp7kUXZ$l^ ziZ`NWuc0MBNe>Pe27GVYVGHVbdbZH&;V-Z6@6m4VujMDpHQpuk1k*KPi=;9A7|OrA zwE4<{zQh|D$=KK{887?CO8v}o&ZF}g8hTD~4+gJu}q1J(WItlfET!h^J9 zU4nkO(M8jT-l)P4RTnW~n_f@9`aK)pc1?3t8kTOIfuysbD68n@4@g9rurHc7rP$-g z?n+-bYzw^RKa>3b&O|R(*k5}*814?nsyV6hF*(vN=LqstXDaP@u^CCNOQ3e96 zCcG~no2dkW?Ue%EtFRj9TU&&JVNTZErT=#dy|>^iWh8^GZ@xq6pQ>wASZeV&T>S@T!N_y^Duur+&u z01s<06|GV@?i6c%IiZ#-4ti;H_qDo6vl+rf^l)>VUh!)kv2=5lP)6TYW?-vEAM$x@ zx+G04LPRH3yP)8P^9FS4&m#_VG+)lJ36d?8-$aH&&tfv&c_S4VbdI%o`Gev~r%$iN z$mhPy-OWDlls!(pNbX&wBuvf0BWFUK2L^B5o73|0CTVG8qTy@t_zzFF1*()Pv?@~N zili!3k0<2*-PktvAVB;^;I_W8XbCFVF(Y6~pLT6%X!llYHS#}nfnWC}e*!~Phe#AbgahX^ z@P;hD>ca(mxc$zt!>rQOEy(a@-yM5UsouMMKOGwHhNBmo!^dxRcG+IZ8u1(%`(^l=V+i6?iZtS)T4b%|ta~pC z8XhHGoZ@18nkZ`x7Yam(pIKfWK{sO~Y3isiuQRB@Pu*=hIr;m9Q)EahdrS=R%)UL( zd14pWU@WW4Z!1pKcYU7_M*Z#jJUi72#B>8Eg^>9RIemAgXAJr7IDR5y4)y5 zX*NxZMW!OVH>jU3&>jVG~%=T~sbXCWJF zwO_t4CGSfJRT5t`dZv{W`b-g!b-!Vma-}=+XhlBGgB?C9Pcw@$rVsv7`G!Huy*d2u zoU?|hRw9FZU0HZLg*03!CKEe(fR7Q8z^4Fht%|RpPy{1aX5v6r2B+~+UpZ=f#G--4 z-O;HkX=10WDfkdhQn*RUNYNos5;B**}&!gMUq;bE#9i{uxp-cV}e z8JQ|9{39ZTq6Oo^llt}bbtyWekL=>tA+!s6O{LXsU%0uufyupA%|@H;PDusohR$%Y z^v9aw#_yO-8r)8yrfLSx4u-5@^dAgRW;AUiedVz!bTqeutmhLT!(&Cv@>2KQ8|ZDn zG4M_xmn}89N|H$7SAU;3+?7MDEF$x}+aymzE zrL0T8Tzd-%H3082Q@nYaw+2m9XbWAJ`r~E(`!?NkBsuL1yj}PJez>R77AD6J#6APv zA~K^-H#Jf<9qa|+C5(tXh`9|d2K|jcv*AHy7oWLw3zpuScgHFORJ?394?=8>-cfd} z1k4ssySWJj-&K7bjEe88?IVoio}qpG8?no}8iWIqBVR`I?6SM^gXe8pTf7(dg-qE)5D!|DT0&k?-I`dBzel>r*>aXw%*!`2_<-?k%|Zr z4YkDXbY&fx+V&c0A8Y!2E_a>d4Lokri`vVC+9REdtWAnuOo~FipPx@uH(#e$$Bt-_ zF0T+!Q133UTh*7Lj?KQxiPZ)=Iq@JnReu8|j*_+-bUF=AFmqAH*0ji-en}L$&wk1^ zS?E|4yZ^<5@8#nqO+g_cB^~wv1l*&X&&KDZ)%}_IklWQ8l&_j-())p|F4HHrictnR zP(@xv3>=1uX9_{(v+(+<eS5M>7B?81&l*<8T%+ z6^Y4RquxurgN&xwR;I#-_5R$ynKT^l1Lf0KWAe;0HP%s+=_L{XzgW>$SHTt0j_T5gGJNP{MWo_eMM^Ydy93V=*TlI zmhK<4n(ermiVgKHfG+bZwe}U)7I)M}kPVCO%|tS$@WXYxIztP|Q?dH5d~ zi_SwGw1i!5nDp*!4s(eIi)lz{dK0;~;&#p^!E2Ru?=R0snYPVfdh5Nr&2{uqLTti+ z(7aW znUSMT-VL^wX2S@QyUFk#=PgI*s>jDV*J!Hu>n7#HAdbEHq0aYD1%ZmWxCFSKc1Q#M z3y(^!x&yQc`S01l0d|({9yylJgt3OTkBQD>{<^}yT_yKFCBI*d+L9_>#r_ZsT<#q? zYB3524Foh1U}QF(hJk}mXYTtJiV}=Dn$^okn>}ezjcqOuk#Np^R}*= z)ia*=`6MNx^ubocC>eTc9HKcIFl_r4T`0}Tnh8lATKR4K&+<#HT2HTVAsi(pxfq|z z6lJDYSMlK~X=569aI9in#ogvN2TJULhlvc94f=MJ^kgEc&i37eEU3eaAGCyw!=!GC zvqw%jO0L7t(X!Vqtk`XR+w2V!sKF*p0#7gI&s7?DW8a>^0 z?KQG)!vn8a1;gX8;C-C_S5G6%K@)Lt;Nw7j(ukUiUE)=}*}y0a`j%t;`fbS0no3_7 zW113oYQ}?-nt}mt_m6$7pZ>gc1b$w` z_m-W4!dV$$vIm>g1Csms(&)980Bq=Y(3lysf?;bWRQR4^l(0f}cPz7Z8&PfD`c-F& zSA5y;uC<5bfr*V1=|hm|<-zWAl_kGJvG|v&?~S92`=-!WA3kf(E$RJivvN;V3&gXq znfk6@tj+>A&z#CZX8w~wF=zzEs)aoL7iL0*Z%4*L%b*#SOHbK_R zI@~QZM591nuxGm4Gk~M5riZG=%}>oNcL9@Y>MUGPLI%BQHf2zIn-UQbVP*b9BesOc z5hm7qnI9?mh-FplIv$?vrG1Hss`2|2G04E_?u}#2pxuKpmm58f+X$t**|{rmhO~N} z5_&tPPuYl_zyf%M@9yAyv=l1!Htq(hHn2Uj6bt4W?nLZoem~GG&^COBSd~YJUucO7 z%P(Z!9-m5GO!3GZ2{zI2jT9tSr6)p2Be5)O%Qd-FOJ%TOXkdx(2&wQ-?SS{X?-B~< zjIt;(lMWQ4QKvMD2X%F-s`+F=o0CGU_xa@EV>G{9*KRyyLnh&FbutMu)s`#c#a=iL zwh)!edN_lfx__YtRWb+y3(NVq_;RU}slq;Su^@Do?Iga4nclhpxpPynarVWzkY%<0 zBtchGTc&GhYC-r0YB~^()crAF`IVe~XzKANX_WkY>SluLd7J#-9R9MhvKIAZKs8WP z6;|{9w_5aVBUz{x7D#a9Wj#0M(+NPyb|%(#$?>)@?aX7Ns5X@z)cEn)CxUvzy7*q9 znX&Z%H+?CqONcBsh2-c^6la&uq&?n~Mf{@_JNoz(W62G2QMG)$+^Fq*nODBy8?joe z9FLUQhw&-O6!zs-+gUNS+y3U;k|Pit2A)_>v^N-V^VGeSXJTX8;1ja8p*CH}XA;tR zRrxCzwG=)6rpKq)9uCd2t?!$8>`!f>z$6PUO*M?WbGrud%cA4MINrTO9-`%L$0?E$ zgRJxpVP|jR2HW9v+P6UsfIOa>2^3>tvY+?d8L_Y_L5I!kdZlS(E|o5SAEh3K>M!}zRvC-TY|t(1L;Rj&S>XyD=&JWvV zN1NZQ16aF)Tlod~a9`Ym!G6OCq)PQJHq>1NrH!+K0i?LZWVSOElzRbde zKus#d8mSglQ`@=qHd}g_u^-9_z$n~3X!m^Un;=v~Vbk~NqI%1}$9f9v|9qDbPwKkP ztv^a*WN&ZTZKd8z5e8q>QVKSxmuajnoHB6()_3kVUy)h1hp5-t9==oOZ3TKzCqQvSMvA^R$q68} z)?zTFCEz-13-9$1O^G`)cf6BO>5HVaesvq{$=Jfg;G>+B_iNZfg9ym5RZ{0v0;TMt z)0AWMM0c1mT`JpuaOB9|terHcX2Z?dwev7LK@&-SJOy{7&F$~@bIt4GQ9S9;5+Zit zGwJ)EqYdkJ1n~r=pPzS@dgf25Lnb+w$+j^}0wz4~<1s3(4$lU@FMoojWeED+feTJr zlhYt|bBNi@jlSZCUiY(Yd#pu9YWf>zG@e6!yk4(w66O8TTA|P=FAFc{Bj>`wP=tBf z(z=qup^Sw!f@%?GY8~sn`A2c8ia*R>Ma@5ZNl>sXyAgq@U2L70329+Av)M4u<$(uGx8PUqo+nHy;>_t;g z<~%uZo7-E(D)X*@v^ps&2Ig_Z%R9E1kDqb~g{O0oT-st%JF{8 zn(r|kvtjI>+#KaAOTvroap>ZIRL*O{UhdI{2bW`dKxF5CkM%*7=iMa6=`Cd@lKO-eCHM{xr{GStkfsL(-SaU zxAifa2#AWR2+yy_RVByQB!~BCv0!JQRzIZO-Gcss=<5*U=}R0l1W9?#zo;JfxxerB zwbH}KHcON2dMux*xbXKFeJnnmupQ1p_55=sPy_S%;N04E>dncdY{i`CZQuIZ6@mD? zmb)i`xchiBgFC?LCjK7nb^Gk(#Kja;O%-$qE=uN)&uQQB8=S$b{8RIc&B`&~QRj&F z`c`v6^fp-(MLFJytqVCWTL^=1si-vvO}rr$(OX+FzouQEmK6h!IR-68uHySjAhcX^ z#C4coh#)uRmm>$tvp3q`3qFABuw#D~O=j}hIrfvyUwpV-U!3%=Yk+WW&PAV*?m|%R z?r6SZ>dp~f0}KFr7ucc^Rhc0qH5JmoHRKz4d z@j07cU9~t`?iO&;VlrqZR=^fVr_ydC#t;^c5b5{ZG*J-MA?LQ9rpxw3>)A)sd-bwQ z!`c!nuVT@urDAD{L+)ep-+8zS|KKe?5%xxseS+t8ekbnLIS0^UpuRvZ1bc{9t7!`s zu@Dg`f%UgP7e5*eLBngS^&oh9j_P`evmxqTQB2EM(mh%)#GA-HqwB>BSDOkOf$-#X zyRO^cd#^RMM1rkIgYLp!2|lO&tRNH39c;p1Vq4Y+X4rdIP;kUFHofC43Cq*1qC5kV zZ7o;wEmgR-WZ0k20G&ZC)yoIaXn~hY74|Iw{b{{@6Lglo{)+69v?dXc?dd)9!RQR` zteGim^Q#P6rS9c%se2`x9a}M{M}!QPw=1p_oAslXGR~B*37rSWkwow6anbi}A=8Y= z@0P_2t5j*Bt;}a}KmPh;4EQ-mN1cOD0Xh?MJ+1XChZ|Q}CU6lEwyc=k;kBTEcIU(T z2GvUg@m^~HyXWZO5fRH8oo3QueUd|0im3g$d7ohKMLQ{LULf*jX`&HxPS)GfiF&X2x)ZY`&QMVyhH{`|4>nk`r?n@fEiZ3R{g37`u+z z&49!36g+nn!_|rAMSx7Xy)s41>{$1-M{2~6UzDd>)ScjBe^2`UFsx2SSxB@M|MvGp zf9Onqk6trm#jIQB%I6w;$F6FYx*8- zNn=*7tMcGe3ezrJmal4RI!$jz^%GNTe_6Qzs95)qmm)F!strHlUmUp4EwEG2<>$__ zKWK@RUAuPgoML8tPA4GV(G>X#Sv{K7ltC4uGqOZMG}OE!3xo6=40ncVUMfie1Z6QN z7x*%Z7c>22=?~u2C!mTl!oT3>^_xp1MY(Ov};n-GOWb{`OU7 zONYh2U(t^4uczxZ0{q{| zY0gywz4t$C9|TRsn07*)Ndjs&>vsyd$PcvwV2GlRt4snMNQ4aYSyk`Vk_&c8K7ZAX z*oa1`IPvq72qNQpdewyuR|B=#8FZc6aoB0knLR*kDPA%3pZDoLqWZplYdj0jX1umk z zF}E`%rsuYMLYd+)nAOs!2~Qj)Pg={>(sydurd7F_j9{y zof*1A(k9(#n%TWJsCD&JeejOB#YN`32ge%Vvt%jrL~eCKZqmQmjZ#vFA$D z#)#q;s9~Sj6>m4K7U;ik{j=LiL>V_D&q$^GSI#zgDf3-h{~0{yDRggK&)Hq&mQ!`b zquvlUb{?0<(>mhgS)$h+m0~jZ=^+e#LeJfLd@$;w1Jvs67$LHui3R#5wBprV^$56@ zJnjC1pwVPqT|76mvZ6oLltG%j0gKUE@Wpg7;zFONlEKELKjthEo*)(ff3TQv*k4to z`Fyx?sQxk;I$vIb#-tM zXF>SQe_73CkGRNS{EmtG!5p>Ss96)xXvNbk!;_y;&Cr2t2Xs|QbGx{B6VzO2v$WjDN-HaT``0JbU78@HS@iY`H~sG#Q6|^T&tfo9*G*?{^ZKC^E$mbyRP665%HO8AS{A; z>|%et$ZESH5ktLCN_?D&t@2`1c8_ksMkaDuTZXKlv5BLlxxOd?HO4T!uG)aHih?Zr z&azNN=6FM+D`WZTQ{Sbo891&&(_x3x}X6I z!p&nyOjr?Npfh&DPSsSj^Qx=Bx{2db^QA^6jzEdC@WWaEleVa|?2S^NFg9plK+(Fv z5C?VV2whxjz?{CiB4&fxduqPVD)k>l7v$(+^Eg1xv;0j$AdutumUk=9`-o{P1{3A8 zzA(F$fx#W4&iaES)g!z7Jf?v(U1xfVCcjQE$APtTrY4NSB{P-sS8Q)hhr^t9&kJ-g z_eqp0>;)GYZ|p-1O|LOE+u~*&OgvZ#ZR}M3XvHTUc1k_k`H1kHhdm z#Kn3z*kVhn@8m+Ff7(-bWh$*CFB2*834@JdhFS9~o!6a(M9P5EEGF(Gys$WGcu4NL z4?BU%Ll=>61q`|4GX^yqVJ7OyGE(=a+%Cg#vt1ZyqFWGDzHjFJW^({{E65PNkQHx# z;+#Ry^Rg!>|e~f;@(eScv!-l!#Iew-Tc2?mL4aFy^BJj zYhYCFT}?vq$GvEZkUxKn@)=(1=Vc}Q2F2UIb<-Q`YmPmB#Z!Dt;*FL2f_2v*(QUr) zeo(=m!gud&cz`Exc~uRnwA9a-+yW?K=TE5RMQl1DBguJf?^T|@%CHd0M~{a7o7Q(| z!2XJr;`20?hRcBPE&R0W1HSG%~|4y5%HTo>3^hz|0~u?`urVCHVcG#9yvmW#6l^q zpdEd2 z3;w&)nHND^rDl<9xH z1&$lGN+MW42}7^Y;QS3WElZffJe{M&Di`bf)nEbRnivTu(B*Wg@$}forOB70*R#il zufS#W&)EXk%mJk@8XeB}7hrBY2zxVkOBYySZ87KyK)BPm`nyobaU z%C<{j;g0jhrIV<+7H4fZ;~#~1Encr53-ttf3EG1H9mh1k_@mvxBjCA$S;#Qy5rGyj za%IgyMG~k#oVXXxW^+GDiKjl}IUm9@E6-yKvTj_Ry%}4SzjqsR3!$kVUZqU1?wDMC z4%1{)Sh)BtN9ouYXq%+$kfrn-2@A$ODU0}0zb_ys<$o8C8OvQsG$zR$#D@^_=6qg4LvQlZ0MY_>lpx_?#- zmxfcfrr5BsJ;TLyljnTxN~Gb`v()@sX+7lI-JvDw(B)-!m6%obr7*DG5(b850ncI% z+3YoWdpg^tG9pnUxiUZe!RF>V0!x1w2p~aOH}gSTBqg#S3Ocs4vqeV2a`( z?~WNFH9iIqX#Dw>LrBwnCeMQurt>9AR$llv^PxYW2F*(|wdUr|)8$|p zP_->ticLmy)8&Pu8N+WoyDG`7N*vqo+XrUNwg(S$SnSqYYW=mn!BbnYbY!*>4c zjv{Tk6)%r-VT>Zxo?~$`L}u#bcc5?@QYFAifm9VE1(zSmV~QR>aqtHlc6pPqg76Yf zvS=uSaSTMjEbLX6yDn>{qMubxPR^F;6d%>x3QAPJUw*OxFv)*OBT)P9V&y{uXkF8- z9@K<{swXz_$z$**P}OS?O^exevx$+%eB&EFNNo6Uw{q<7T@+_NO z``sKOH%?%teTzqPlnl?tt2xTBY-6mP=CKenRkw56=h|bEPQH)D^9ovs2mW7H zmI$n&5cITH2Te{^>g^X+)(>6X7>x6n*$PzjjEsz6P^m_F?NZr-AaRta88X1H-L&!4Nm+`EkQK%4 z17zJW#0nx?01^_l1QOeS#s&tIRGoDveH*m)1ShX%^>_MTpVIIFmNwLOFF;`MVVgn}e70aX7 zoeSFgG*$U8?UTDD;3b*ThFZ?S`8oKIL~V9J#g=3GXBh_pZ8s$y^z2<*j((L&1*M;y zOR#V@b#dySMuGtCoa?$<$N0>rJ(P=JDa0&54utdudjNw%xwqbqeFg@T5GD6w(Jl;p z*HQ9ulY;@W3kvmYY_!*QAqhdzQFi$LVQtD;-&8}Xk-{3f8G37-SiX`+ho_%@m!s5B zELor%%x-TnJ!yaN5iQ4d-(O~QvfHni-9XK#d1=m@oT$q-&Q`+N<6~^8Vngone%4A_ zzHHKruFxMN@d5(dr6oKO%vsj8`@U|pB`+-K?VRJxkEf03+FuEgI5>cL7l;!W{Y-nB z>XFv$l;Lo8^q!=W5xO4dvwO_g4;gFn$DF-rSey-?I`!1_UA~Ff7zzTLF9R%_h;GWI zRMQjpcferWXBhsx$3j-IRCf|F-Ivy7ZEQF8TITLc%E#y0u|3%XE9sHczQXsOA+_H0Zr3RQ^p zoc-l#*b^oV^f>%sW$8^XklufKB~~Q*Ut0=zo3Ovk9)G(rH;_MQMX$P3t?}-$zRh3d6ggBCY!eRi>X`)%Y;~2%j!d33wx$^YbZ7# zCfDCWnEI6YQLM~j}B=L4wZLLBkN_Qo==Nqp#s0ZKRmJl&oW-&ef z_`2{7dm?&^#G=_{Wro~{NUvo(1fhXVe}GQud>rk~kE$Hne81NAl%+^&a@9ic=~+M4 zSm=zN1dq}prJnZU?cn)=;Bx7tv8DP#ziSIlsA1E6<-K)s;%q>I7$|R*X}9d}0vd9k zjFSAt&|o?_p3MuI4l=9Inrhi_N+KH$yRF+3h8S#xo%czuHB?03^GzBwA5ZsI5h{66 z_C+A!(J_2eY{)*>8SQcV0j@e!huH43y3YI*sE0DAJK_gSc z44>@VPvuhIdi4Tl(v!F$JhuXm$^U36tdqW2Cxr)SKaTuNB$eds050?e%7JvYY-(iY z4k-ATECn^B&&Fr_gh6!&6vZi$Ow||0O`252`$361ePmleln?4M3gQ3@{{UHB-0CAe zxgYxN-u4SCPQcnk=mS**YgTS$KNgSO+waS>mIM!%di2SrW_uUH+hYk~p0)hpFOZ5Q zqetZgkj@yVHHJ1kFy!OsYCdjWvHuZ=$=-lt=hYw`BTDbbNL;a54TUzo&AQW+d&hCT zM^qcl{+V4v$fW`EoPYP#s(Sc^{k+{ht7{7jB_gO3Ct4W}-)_LA>~pyDW_Oo8n8Zq^ zFmI(%8uSrIH6`V1!ynAAa&0KULSNF%=7&g`px0WnyHUrl$OR$?82J*_pZk7D+R2IQ zUd8*`;hepof83h>3r#g)^k=$TQ2uK-dtP0{Czp%WJ6d@(S!8AwIQ#aE7P@m0T9=;NYs+6UPx_+{J-IwQI^e2WY$Xuug6CaYk zKN~qNliY>2izC~A%Bk2Ps?V}(pIezu7|dC-XgR?Eyn0MzP+I-I!9Ol^Da%JR7D%m) z#`R}=4)~;8u~-UMHN3Q%sg%dM1itoZ)++mqB-sI897-C`2%8gj`t$4imG`4GRS+D@ z05J)D(`gXpr;Q8IpPAg;@pW4k-$3Sw2oHrol8lzO-*1!G%6hulPE%0IE^QZXYq8EI zOSt|48BsfIE@_#W-Zn4-vb(o#4+?;Wve9y3J*t6}d5RFuhSv+a548523 z>|b`&84pq0{_BDQ5x=B$h~yxZ1trBs!gssVBh1s!sG+zSPgRwb;kzj@jW2|$PQZlR zXQw`pX4Kie?@5`aHa_3@WIcL}-JNfmS=h8Wt)cvuF16Qxnk-q&S|na>u!=4?F0s7B zc0*n=2`J&#)v4J82K4_-o@}gMRM)S3zngrnXd#aLWBskw{Yu@ey-J?3l1SMdk*{kN z=y$$puXKu=#iXMR!y#&^+*PyqmuVqbemMVFS`4gH&@YQ`x6YOJY($nd5s$YQl(MoZ)wqh9}!2|9XyxA+W zluu`Jc5-s7ZNRhYD$AAKH02^MCpdEpy&Yx2qwL^VgW8L?pBy|n{3z8Qn~i*?f|f|3%NU- zy)L?+@>CXla!x6F+$Xcnx^Bjxtwm#+2RT(i9@Tsp$R^q`Y)G_V*k|&{%@QM=~a_p!L+Le72C{0)z2^)D8xEy5f8v$nnWE_=uUC%q-6_eup zg`}@Yrz!^%dB$lAjKe&PB`q}>CR`WtQ}DTfrCdUm!}CDMzdJnIuJx+xkGV>olujQV z`7>9ty5xdI(4& zMlwNbL9&k%?hB7shQ(A=k{kdLpW1+fSxtIvNP=N8^w0vBBRv6>7B;po_nL}2q!TH$ z2Uok4EZnglGVqZ4$d2;nZZ?2^$qPakAgOgsU!Wbg`bC zx16Ujxqd%kcFuTO!AfszTW-km@W+*$m&~6p&GcntAi-}zo`MnJUQpdqsNH~Vh-<`i z1!dn;O*9$SFVD<4*Hsmjt^8nqq+?ikt}Od=Mj6q?V4V*GFD1Lbzp}{bR@9sDdMd0@ z3j5!97I_3zqb{=+NG#Ymv(w|8u95?8IG!g;0^-$xH0NX-7;zX>T~t(*pZ@{zS_X?J z_T6LdhhX*eqR=jzKsFWQYRP**jIFsrp1$HcD-eH}c5E}ywmYx)=!^4D?nem`vg$$| zr8g^M+8g>_^f9XuLiJ+Z<)+iD>$XDDt;ebTN}SyaE(J#n`2n>L(Ey{H$1~-CR>?l+ zw>#MehfUj{XwbQp4!Fbk^W{#7_SBXe{!e#{>zrepX8VB_CxM57juj>DDDumtn@Vw0 z9?5RVL$PqchO2<+svVuySNI(=b$%kK+djJAX)U(UnY=JhQVX)=ef#^1(2zs#|B&^K zfsr+9x3O*8PG(|EoJ^95ZQHh;Op=L>nb=M`wr$(CaeKb+ocEl2Z~y5Zy}Neps$F{4 zde-`hG}uxn^8;()M!7#Pm>+vXBKx(RAcm`{zOf6stEDA$LAF$Rtzp`FrXiXm90?7L z!yq6?D}`fXxx#PtuHqS78u55B4=hWX88L@-y^<)NoUJ>pAV8_yRUV*oDnkW0M7uPY za=uzs!QpTU^r4M%XXbAPEPEb$xF`LZ<)>D!$UV~2Yv;jsTehuxATSUK2J3>KJs+B> zNV(YIVXHaAG(e|tuDnEjT~|X3cP8zdTwXd#v1T&hua2uy%V6SXB&$!yN+)8Bh7a?x z5mXbdnU~5EcXfE|*%bh=)L|~o7wB@P)un@ByNHg{Ja;IK3s6THoKljxy9m874K7>B zg-u(cn@zCDGTGRcmX%bL*jU?|ynXY!1jXu4zVMp#xp2FDTz8txWHFmadyQ&%t?O#GL?cx4n(#|dsTyraxAp2tU3Y!aFl7dsRG_J!VAop#TD zJ$98-y-Ur@9sTl^K|H83Op`k(B#sdx>c;>Dgkh_!t0XO?h*2@s84cOK@%bO zfQ@DT_X0UnzxVZqW=F~L#Hojs^i7#^(C-4}PAZ%Iq*EiaC>&P$(iX_@H)UQD6KH`f;5MMCht6{s-;oLQcQ_(`aS)n5DP0N zET>lIz&-W}Ah;OvGs<@kVJs|Xq&RIrUPml{l;L#T`U!EQIn287vi&u`poGZxt)bz* zg5L)$>6P8mYqvMb%gnN(*g)#2U%}~~@$?Apre^Z+ttPkjUxDdDJkVw{AI&Uy$NAM& z_B^MTXJE_1Xsnn!k**FFOadsZv z@vb&m719DShlrYvZM?9-5JaQ9oUsVqzpI=R8jf6+ms3_l@31|r3@)i15>VQ{k%c9O z{*?MG+i*e*+K7<1XlEt4Fn#1tMmeLaHZ*mUN4FWaC2{a@Dx$@Pre(|YG0+E_FeEKv z`a3sCJDT13hmg;AMH!JC=fN|zS=gr+oQ zrQ{3lJ^kZ{x&26w?zjtnhL54eYmEkKGw3Hou&+q)P?ig6V_zM)p6QBmTUyBI8HY!i zLxt>kTdaB$;(di~fwGNdBLPBkQd|w5$8XH4L~hI#m2`|UP3+m7AKDmqjWs-97+Z6j zsunM!gZ4+H!KS->t;TI^AMsaO@G?dW!2(ar4Q*}4__8p^5m0lFENP{D)28R8J+QXQ z|1|upM9E$@-@#IVu|zDS37XKU5;&K&M1Mn9rqFpkh_VnkzOUXhS!CNiz3z)Qm;%JE zHKtXy(J8}ynca~F`bM^la+q7{MleD0%c4QO^0m@$I$p#|BkPPa(^Zs|`{KF!u4F!| zAfzpF7<~z=V;r|}a9i*A7$w$Qb-LwEaR zLc~kWN?g%cQI#=l{+e%JLrF_cMd+!=?w*YXr-|oc)xznwZn6^85lfSS@Oz8A(Z5b} zR9v9VlfJ@PT6%iWG5DjSqZ{m@lrBuxj1ZN@0|;?j=WXTbgHC?har5tmv&9)mg}j&f z2t|+lHI{=FYEmYTBX%xi^sq*75S_go(3e3Il)`F1j>plFZlgIH;4$Ay2X7H7(p6%r z=!}?;mi5SHDKa-LYx=VaG|BdBEmr`8`Xoe+hiE*H&2Cg_wLp?OSy&x#07WW&sW+$K z5RiuiB1Y2V6;`n>zKmdjboY21Jh}gz}X6HI+stDW|&wM9C^xg&ee{X zzUr8pI-I!D{E$5BqQYb&ThEL>JjKJoJqKWRV>-Sw+b$|EYT5V=-Jbl?!S)VO3<=(J za~fo;fbnEtMQ`^(CDgfAxT{)yEsI6T(9_{PAHRs`_*sx?$#7rx6 zX$MN-k?Z*=ksBW64DsQ3Tf&*zjRo01I*w~6pS7sthq8bzTHm6PYFaegS}a)5#+>Z5eT!i4F4-o9d~%vFBE5q$Nl* zC5#!Bt08{3ZT7WG+k{9eYXRdxL zuXP)$N{^*%oqBC)J^lgjuuzdntM1|kTK${VL=(4nQbuYHJ zfz0~!!21|dMs-J^$@c2%>h10ACdWa%Qu^Qs-Oo1T&-RCS2@A1mWCb_1)4HXl6J1xO zmcz$y7K4YJO2>D<=ej)VTyi)9?QOI^l2k?eb0>U`%w4v8OO<8lnV3y#J>DyZL-kIL zXHDEicADzGD$=(Az!XfzJkIa2hdf1M8NRIVi4*1~eM3&WZt`o%2kQ5y0k&k>V06=> z0MiOp2y7-Ai5d6eJ=yfu?l14<&%^uj7*&;p)eT`IhhKD(@rl~_i>n4tP$Pkw2LY2} z`fbh^%({9J5L;|8g?&510U*7`rHG1os}>t!_o`VzfIrpN*o8BJ$T|UnAhafXSS{7a zv}Zm+u#m!e!Rjm0=Hw{jTishaDm{-R>EQ%iHHfFVc%FX=A4>ODT9Kk* zMZ<*zWrIS~lW0QzM$m-(8QAMK4}Tigoiq!flfye_F8>znJm_#lX?+d84mwIANdx=G zA)>=n(x6j#zcy8-@}v^NRz)z2j~xXWAWDW{F3994p$US7r7%xR7XLFbCypq$i>VQU z-wu=uME$;PUMxnwX;4s&fqvDkF%JX#$^&XWNKWAkgHznbX&E4@KTla`AhO7^ z^aO3HJKB8!3q2(nAWs5$4QmGNs%V&uKk-G@*JzA`J-M(q;HjhagBRBeF|QUx-km<$0YXgCTZNpMrRSt0?x| zW2x`1;Ss6=mvs_7^xYH)DX!dGCIy)}@*P44S*h~7Kn-@POX$%OW^ zo|T~;ziN&nUAB+sMWs9j8zH54x=%~!M4MM~5GNGEUmmMwwTta6&5fOz=|a?-DNH@i zba~9O2j24ola;GXUipXa&L(ycO;31MLZM@|omU!;CT>*=;&+W(=9vE=mOANmz8KmI zq}5VgKZr}XO#xUIpFcMCoenJ5q9#32kMb)kO^l0M=cfz8e#`~^1=ORz1i%(JN$3WM zaSiBC9lsMor!Xy@r@_&SOZY~`3?_iU&@mM-u`&G&YG)+=rXwOKAJuFTAx8&XCB!a@ z=pTEfzy@c$U5OJCh+PemBYdEPKE5(ly7+VUSUrX%-C8Mj^OyjkovZf6?k{z}bqdP; zemKw|{}aKffzW?@E^)qaM=&v=YXvV%SK(965svP)K17XIBn_bM5-AJXCS~W#39G+i zAczl0NzL;XCE!y@?#ln_o-f1aLJa3vBAC0PC<5hSK$;FlWY`%9)oGS`pBqiiRPV} z0tCvr+0gI92?XoGFS?A$u*Tgc`DaI7=*mv!8k zv4)0eua#P=ikg7oh``5Rm#mi~WB15#09+fj4x{$0nv>=qf;|F@pNt7u(wgxCLt z3;mB&#g-9lmn7El+IQYe3BDrpC@4C{ncV7&+$HYQNNf^H|*I%_1$GN>Y)#@dn!TnkZgZ9gPN$lc7cI zvvCP^t_gAxvZdXDqwVx9oRRm2?Z50}Q}TdUAZv-SCyhOCNQHMq@;apWnE28 zO?8E6wMdfv^7$~n=SRl`DnYNUJdq8Dh11WQ=+UXQRfvcIX&(#TCs&rOh!YhAd3(E} zoM(K!Wn~Pu1=V|w7rjOj@7bzDdoywl327iE;eEnL4fVeRhr?{L1}u^Jh~;Uv=;L}B zJS8kN*d?Ce({W^dBz$l)-Pnu|53mv!Y9W_W`(sro|1Jps>brnR>Hn{RQYJ8IlgK73 zR6pOEE~8~+?tHT?s-4YLkR@KWJuwIS6+QfHes5c6SXMp-MThIVU>ayRAQSI54&}$A zHbJApuz&t3i(bg`Owtj?hWVyw|J`_IgB(eK>bGzygd=Bi4yfPovlN_DDV!mJ`M z7^3xx9K{W}!QEhc_W4~gOB4LKD&Ptt5qW4sqbmj-SDuRexXb~=gT`^A%EO{p8cadP zq99Jysl_ocj1k=W z44Yoi_`%{caV0Os`Ix~ni+XF|o87PpXypMo9v|VqMGeD$b(m~nf(k!@8#;E}LcuS_ zF{F7r{=yxH_4#Kw7Gi-m`;cczBe_h`{~b+;V7nDgS>!^ifpBBU4(wWIWN|YW>n$0- zvUZND8Oi>jCR$C@b@_NT+OF3>x=P|wj+dK=qi^L}-xt~54yb64?y zp8W*D(_?^w40-g(ZjMus`z2*C&+v`&`Qt$+gOGzrc7zfBmW%sv_1idm4X^96lRtcf zK}&^t=$GCq;`igIPRsQ2X0*6)Y0-U+>6kDMJEx`Xl+@VoLR)Nsc5B~b%)JYI*YVG>HQP1BHcD?&oJ`iNjDi#h*JMT68-=XD8&T zV;0+hJ~r9`cw6%b=xw@r~e%Xa;pxTKiysSFo!-23Bp->&Lp#;Eb+{_N5j zbweM#$P62^tSXfEFr{2Q^996Js|xzNPeYg!E#urp!n|36?Up)mGAz3=#h8~8bk>+n z7v9Pxx<@4)Ac)kNP_I`WF1QTnt`}ICpX1};9v>Rj$Xks*bFoA>nnE(C3iLi-1cgib zh3$K8zBjkQs?A6X`_61G4gZqt0$^^`-`P2}v!!>(;T&|R^y=40NQO_|<9AHcQfhpc z#_|R%U7OyN5j<=KD*b}kRcVjpNd02-9zd^A;G!*lJC7c-@k`;_!0jh{13A2BqSOge zg~p0BP>@qhm&f#T-_7Z4;qnglXN!aRKC*bm3b$fGxzRl5t*t;sh{tH~#QmtrSL%tZ zyqraH5RtmX#YQPFRYBL;3PLCj31X?F$Vnf&7v+6=jGN-JjEYAOx}^9oWiGLh#we2% z#t4I$JWlK~v6(!?SXpSUMw{4Q*e{{JRUYHe#I~0|%npDS{HA#6u|{m=kV!lDQ6GGu zQ2{wLp+V5v@1CRICepIo0o@$&XO+xg--dTftkp~FskuyCpsVwC?;T^wWLmvN{!#cyiJ zT|~`Fne@j}_QwR_D5e*bfO1T%g6?yjzgV*7?crq3^SzoC5`W*IB%7}S94k2~+3#O; z7&BT%WNpL{d{+-wvLmHnDOG_TFA@&SPn~&1yNig*=ww&6V7tXmV%e)$a*Opm?n4fz zQW@M>TB9$JQoeu2&xj|34@AIC>ha*mxls$=jg5{~YMc(W<`f|p8yVL2h~&gw51}n( zv{w9SaZOO2#+zIaPu31pHkq$RPkUONTpA9j<{gLo`ot7R+%zjL2q57tBqp^~SzI;n zv?CeA+$9H0?oEsMklMVK%_sbF;20I?aCzqsbFL<-Mz-tI#-F3K5EKHVRZ2fO@2MNU zHW#kQEj~zwT1*B{@L!XNl=R7!f8{&+1vX5V)6A@0pF^c+=*^V!Srg^=>A=ZnpB;T~ zEuZ81p#U1yFV)g&mwjx&!3vt;;r?qt^AP0-FZiP^j(cFV<*CnMdDn`^sp(!AkM^?X zqM%haQ=`%Ghb%m{>DRindR>*HqL~mbR$OfcB?RSx4CB z2EN%0qpSR4*jgvgm0QPA1HvR>(x85Y-qQ_nlc!wdEGyqBSSGM9gE#>i7<_|(lp@`j1)(5^E(!Hc2+oki8ADJ zKCic{O+yyiXt)Y5jYdw$DIn8XXARBtrfDQSv;lkugQzBDm;sh63Ezv zgHi>*7udgFH;`J(5}R2x^^ zbg)cj)cd2AplZFXR{QgGHh7}o{42+!EF^AOT+NDF>X=9BG~}sE|C_4UkM~r|6D^)c z%tR%u<8mu6Q5sCWWm69_5^N^aDG>W{J`LMgLqKgO*U9DQGxO{LFxz}Qhx4`7@7?iX z6e~cno3FX&&f6IE4(6cI+2m!CO?zgH1d9RrMElB|QOQv=zVnmVPu(ZmoecD&Y^N<2 z7Y`R7AJ=Bdtz8f1cGAIq{{CpKke&K{i+kJHAaErDIL`lPOZO%V({=vZbh?(Fj>pU_ zC*L5yA})S^Rtn=z zY-v71Kxs2{l~5Fxl{0Jj%;FV_)xSxNSIqEvoH+L{0tD+}R_i#c^>@%vIF0s$fA5yl zCnG{Q33aIqU%h>r29M=!BJQLKVQ$t*N8sBX%3k9x8|oEDje?-cwK=iOB*>PADj$v_v~L+TI9XCHEz2z^h2^PDu?dt z9ZsX)s*~8&5j%@GFi_mr7%hL7N#T1dNH)j55iiKYvQ(QKPO06@ZTXM!sHYU|-6ukZ zQuiJqLW=6&py5blNk8SS>^}tS7s#V@xEh>%D;pS?Bg-=c`R$(d3{`hHpp5u|Uu%Uv z=ZwWUy)0p4O6Xh6Rza8agPG6w!_y)`a=17=liN)U93UB}lK0t9Fa;=I5xwX3TwV|Q zT-kbnalYurbdr-$5y`5;JhaM!U-^ORJTzf?%0G{r$gSz)MAn=-1)vh)Nm*`OGf$TD zgwAvFsCatG>vb&A&hw}`;(u8{}&}!50E^bqnM42UAeR$)+ zz(aUp;jBI^EQs=b@9knd>i(V0e@}9AF##0OlKkK2BbIsCF2SRwQ*D;@S9IL z?2pdk_M;FXqb8}tz~%%AZQlC*A=F3u3Dp(N#hk?J7P@uUmAB_G0QH34sLdIDEMF0; z8}gDXtN6OA)A;_Wn;wswZ$m@PLi{XvuJ68$^v6xZ1s*RpHs>LsbVqhc#`FmOyeI2< zb9-g_c=jGxbv^=A^m6sOqSbOsR?38qx|PxInj>RkjDDJ#IX3z;%6aZf|ImdJe=rtK z0zIqRsU^?-$a%H*T6LXg`tbvX&5rf`zTtIfCbp!Jo5PEh7AWeN?B%+_1^wqr)ec1& zMh%nP_WSD9>-W~RoIS+(?`=`3hyi(%!GEr$pVvW=i8z#CYZwP{6hH%X-ll2Au>ycH z*syZ?d@hx*mhi8;2G#E;xjz$trqqR=P_fdl`u)7_R7fs0IR))w%yhJ*Jl-KC6Q)ft zS9J0?7aTUB7Zue+^z^ckLcGCh`>g%9^LA}QIF6x#f?`*NSR@ST|)SNo?O zy_)NWN=pf5sK{+?J9YY;q>DdSfC+qZ<0D4bq0wc)dD8mplrwBlR4Ci?z(mdgo*2K! z$*${7*yqP#0;&FFf$hSV<`hL}I`skThK1+uFBLjK;|tZv`N+~hX^X=XI|CmkiY>yK zX#q##2h6~-8it#mM!SzwYOh0*p2cJtccYY&^J9_{L$vbA5X6w00JvL?I^ig8&oNS(A&UV$zD-+Y_e*7cPlJFBGI^4H)f-%;D)yHfn&A5eny0=@NN5O@=bgsn^<$x| zQQG>aj1B3L>Iq6b*xAXYvFymqF?e2?iigbr98aMw?XvrPUB;g+=DAKVeTQ|Y8CXlL zNXPaM8I{!G?*Fmh4h$>$lW;Ry(w+B}6^JVF#Y|En{EZUsS zwQ|6OiKL7pGQ+F@u4>+%8ZI6qZC)Htir-w<5IaU>QtJ{^^_ojY{Y}dyhq?=sYq5Dr z@Otf>?4}_U2XSI0WQklE@i@;UfLsR_ zMJAc>n=%THB|%Ws)N+V{ABQ8x?(u_|lULR?(QB>H54pc20fZ@Q6WEPx;W`2OJ~R_v z4xf?j>nrRTO>2IMBjDdD&;ll#>ccb2&a64P34OBfcTSG+3B7;G&{;A1IOyNjx*)9* z6;f;nxKmX$V)Na9cfT7{(^;ql`dbcWKaC)z;{K@c1i1CzyjL!od&6$q;es`5W3jeP zw2GQ>j&$L&HElljbkCP9touIEtgW)2VT#rgHD}3{9Ff88-RU^--~28mcHP2+r6DSs z*mJ2iW-A@cQ<57c2_Wgaw?2xpq>*4yVJju?e7${~PI?dCSBe~TC-_F3N*9nV_e7FB zQrut>mQ|%7Sv3biA>Z+{k~&t+MJdQmFPz>S90vta_59E+>-b4c|j%n$oWk`8qS@IG7i&5D zf{_OVJH^95{zZ8sBjlP|DegF8zC~-7PRmF=tpO4EKkL;yDN}&5ER{|Af;F&B)T zF^_}G%t*=5iUKi+_)~>P&DF3W#EK%-sH=YFF!#@WKIqF=9CgIW`Hv5~fv6Ow+JI_F z37ZYlDrZ2r$6=o<N`i4&mlw03;%$tPBx^zS?3^jPmxSXkpPqBJ4tJ^D*tB$=$%yc`EszND9|zLPg8I z-mkUxG(%)>T2B^!}x#j}~Tc_ONc^rCdnYwdLP z5tT<_*M4ht$UHT4(hY{RRNfAP=fSe}t=@XE-MXM!N^YG&&mN|jQmKUlnfOjs20Q@HaHYtmLU1xorKk>siS$_&+bza`XwXR|QLCbh> znBgpW%^+*^q!Jb}%9aSnrX>k>hn!dy-CJG|f{H%idJgb;B>i+)N}R}6R7K8v9?_6} zFy3rwpLP$h6yE>81j%t>x&$9)Pu3n|qw}DRU7nwx_1g(?;o#u(Pi|6Ij}@g9Z9@e{ zhG}W(hmiRiwET`qucDSePC5pV@x$){KOI`|@LN=PQsU3Q{qWO>l{#!Rc}m5(&=(3^ zNU_xOak_U9*YSAv8NBy<^D{NM3*i1U`au6P&Jphqo9BaDUG|=GQUNui(rd^DXBw73p;kL;htf7DTkxOZA|sL8_?%+Cn^No1 znqAC%EYXpN0zdvlZK0u?ccD_$co203leu9<2PK@asr# zh3U;rXnYg@_pA{TG&*4H-(L?pMKS=4jOF`Z@7L8!OtiE%Ugni0`@9T4I4a`wr1#ZP zG0Oi=GG$?c&?f*!wo(W8bIIx*y1p~$umxP4bUr$3%Tbt( zntiI}+LuFAe8ivQ73DT=T!o9p2d1N5F^tPMzb&RJoZrTSE~bNtQ@8yeRf($g`1Eu( zr>5-hg_>$(x)Tv;r!%6%f2-PlyQ=lHS79r7DR?&|bdo+r7udiiaOIbQ%3-N(Wr7Z5 z(}ads*0*?MW?uO6wW->^4I42~ci`OBt)7#kmW{QJ+g>0pl(ragn7#sgX-U0mv$iVq z#xwp)Vs6}x5xCC?Oi)wXmPTsIqlljh$mG&BZg8Th8%9`Pn>W9-V>A8{2x=-HP`&=0 z!VUSCIzz%JrsSS4T6!_ce*%j*dSEQhkTuH-3lEj7)(+^4d!i-%CAB_}_!~)3{c>br z;~|qh=r;q6i*%wTv4M^!&^EIP#Y)8`;a!q{MfNXkAm;zet2VqVm>eWX1gO{_6Ib+6 z6P-}u)q5WlGa{t%h> zN^O%5W=h_nLn0$jK>l#;d^(d<1c zQGE0*6VIH`P^9e(4Ciw82&u&xh-9dU9kTap7d=eKcfNg35F^v3r5;g})Jvfz#b+2S z_)~@-t=5M7$y0P9N!7nO-_5D`JNDmq?#ibE=~V$f+(Rm1!(Wq0ftW6!;g~!YyT&9x z|D}h!JD)qs+|;UA>wTh(E+8{LLr?8Vnu(QDLe*xWGeBL23*4>nr+p`j+-;DN z-aUCycyuCD7PqrxC|ouNf(-u!r2sjA|6e=K0IIoDjwYZIKGO^9oE|TJBxBXhjb*Dm zj1Z%@@!hz>KI_0gO0m_V1;R+UL-^j-2+zWqeV>>FURj8g zq$Q;bK=e)-X85BnAA8)^1`|#lST1i~uXnrE3E#2LN;@SL1Glj+wmICc&_9|tK-VY< zm4+NFnGf6vzhU~@wT4deM_@mqHV77Qr={k>caWoeb3yjg4Ees{xdztx6$`VI$ltFH z*>CyY6xy$bl?L?RV}Rutrpq4lcrAk8RHEW9sUnt~C58vP)27onWfi^Frvq9om3M6* zNh%GazFeCrC8A$HG>Ik=t^}jJSusSI6tq3SKryuufed$WD;bncHA#_-x|8yPjBM#y znu+{o$XHPl*UzN=Az4~}%A7Y(QdkuNwm|+V*D@J}Qg|M1=Z8ruM#Q!ktunaEkompo z%B=9sOQIvJ=%`7poC!^KYST9s!}!2#K9HR$y^!c|2q(U4p0D^CC4J;Q*E+2B;^ALO zjJD||MJ8~u;2fx+Cm62}&m)-O2QcBZC%PAP`*P|_cT~_r4Y0m81jy(14yd}H31LAN z`c~Hp50e{(7V!k_K;g4~MME2<;L0@517R-jXGz7b=%a_XKi}mN3pDc1q?cEr?k8No zGGdO<7%!Jydtu04u8LGcdT^UN2BH6g+0^Q9*A`-xJ)q|bgy`UqU;Y(dXQ71cf`L2M zHtdV|%O8-z1luilYT}WQ(r>6xOe5a0tXV@$xg+3rr=Z!(1|)B^=Ub-5yvg6Z7+HOmHtNZaaKwrBG%9Hk2L0)MoCVKR0`xEU>trZkU|zkQH_K)5{C4`=GZ*EZc%To3`T$n*B&j`Qy~ba8g>>W`BuFeJva4?$~fH@HSx9Fz}C zTmbl$TnJ4%Q!W^O`SkL!3cCu%w&8E*d{oC@x)@P&*=@x;sig^T!ee(`}(%VSK7L2d6_$5-iI5|U#R3j<%7xrAih(%6J?ZLOln6)L{0fj%eHq6&vYPC#&&GtBpuXwOSOwQ0T#mce6azv zvu-&>?2OKg4Ba_=Ir*lIFn*MepiJq>uyF*#gMAiFymI_KNtUTUK3l&eLdUmHny4(jxl)B(^lApzC(n02Iq57XEJ zWPL@{ZcT=63@+Cl9#<03pbN~P-fC2N0!N6NS&#&T|f7V4W*tR_T;aC>FjC>w74vbuQ z8sHuixLRH{B2mg@b<+7aBvL1qx5yiKw9Ksl>N6q(#(ey~`^O9$H#RjX(If^0fNqCD z$yE07H8H-7y46)!TQfx8kGp9fZAtEB@?>y-v7=BGs!^A#DG4=o{GwJ8H;f@Apii>I%*M-%0Q69x}HC6Bn9qKGEdc9c(Dp-$Wqc2Dlk zhe2+ICkzZ>NaaR6?+eC&Q!r92w2z3IB9|+UgUzafVYh{SCi@+1#@gdy7UC?UzDee- zA@r71AMg|^Amp2uNLTNOSy&GrVf*_Z_*8}z%fIqeCK-wjn{W8-r7QPAde6x0&>M&Pd7vS3&o}f?l*jd1wxnie zXTcXmKEj{^lxdsmh6lPXt`he0@8YF6WPJNY4Lu7QI=(wAV3)@f%u%F|X(^ARZi96Q z`Wr>FojxDn>SVrc)p@Q!t4l36{M4MSM_j1T>%{9xXJ3ukv^=iTE9xL=#5*X4%OeE~ z0Ku9b&}&#+_0%AVk1@v!b+A;m)TB^-{4R>a^sCYM`2_bIck6+>@~dXssj!T;@DriL zx!7s3p~=iSzbWr!xCt7lB&AeC!^c@yIa7%w4M{#a6^_Eu9B-o3^K;4DrwMtpTDQ{3 zGvmDX?e3c@DY24^O72OUWFPa$mX_}B7kdH0u-M~a?|UV%D&_7d;g6XF?@hQ4gk5+c zsU2^c{KmK{kJQbf-CkO>DCJ*pz7I+tJ<{Gh6PIQCR+&2~kGDx^9iw6&AqX8Z!pcxC z-znd&U^bFTvPDP2(!0&(Rn2ro2`^s|KbF6CD9hw;vp!oP-zs@NE24ImWq0l|e9DmJ zfSa1_912o&4VltBeUE((O?eWg{Y;1U`&8;s92>OvH6zz^WA03ve2hgcZ(&gP(XfAj z&0(Y{4eE$^eNL`?+f(fbKKrIX0r$@&l1~k?OUV}3lQq}IGLb3I!^zIhPC=16?wd2W zZ_fn@2^k^+8l$DD*`kuy)TG9M<(KMxwAwlGW+1*AM(O({NqY#vX|t+xE`USThO3P6 z>yyGaJz*gQ!rzbTb5O)0xasNa#_rV$Lp?~+1IE*DWH;x2QFv=)1wHu9K+#;dXssEGzMP_ z*eeb)+fDG3ARwtm!&-sUJ#*(SF?~Ga;G-T7g5SDcE9Zn=$xRGiE1htwyr@04P+2@% z$EN0XWJ^Vq$#f=7Sobrp-x42qY!;TLBtQxZlQv|ooL}+8wFJfO+m1U&hgo7D^~?02 zZ4|x7S9r?OHmzQ7ucFnsEeqM#CS#g++9WX2x{^(_cS`B+c-_K?8pMWcq5t7r2#xAn z+&30*@p7Qb5oZ3Bh`=Y1vOJ;V7~@45XyqQWI*u&)OUqL;I2> ziUS@;z3eRmCfjS-bQfp4YnFIwwEHiwPFNc|H};h>eVA8V+R^x!1FS85c}4_JjjaK^ zpDdhBDd=0H4b6l7{n~)URn?JqTXfEflpsX=#jL|n75iM=I2|~oVs~wxpk8eI2-9$G zWFp5DPe(CDTPd`~J+>689U7ChvqSCsTLQcs`YorBD{2x)L?{95B74RO#~gNa9r6ER zQ~u?RrAk4#mx4t4s9*J{n+qRn+smfM#a;Q9z|-M;wG|T+8<#Iu4H+33+1W8X%Cek$ z#MMi^XU0xDJw3MkQMWb#0sYkuO2gphPxJ^;_=P2%9Wlw**3{JZRL3l1CN_)5HHudX>ZOCB7Z_n2WrYLV=A_c@vRMyo|*E9me& zq>Bux8G+;L*Dldtm6c5DWv>PSKfkM|sHT>4?@eODFB=na$W3WkHw$u-RT1twJ>f9V z4|+Vvovae-$P#iF7gX+_e4?d9%=*Y3Tb^Gecm1huYw)ZqPqyRsxhM~MFGc74V_-EK z8jX@gY*xPF{4_UBzKWD(XDl5_zSi;P*fML;6qwFLR7_8154e+BU3S7|YINoCKInp4 z%{fdRNOHb;wX$yLY*Ys z#UIY>NNG4_IxT0G{zS2oqevh(zW-W1MxY^WS2qD!-Kb+`d8S|Rz?K20u4ip*(>cg< z*6(;{e12_;*-#@@n^wkLBMV*as$+&rY*a8;_cZk(uuxbhQ&%=NyTOnkQr?9|<`~^& z0NbMeGN^HV&rn-rlrQujmaj26$O;OWx-hA~BXqlTsSb{Y98DJdc|d@z;m&J5Q+Yo4 zcX%vf9O_tQC>G7i($c`npV0&k3{^jn_#53mD|-jwv>>D?dAHWaf6KZnGkLysan_a3 ze7+nLwoWEC-iRiqOBX#}3V8E0iI`yEDnNJ)9alE@MZ#x4SPmib* zcH&S%I9<}N4WurckdyBIxNHxs>1%?vGbXhT)(lfEZ2{vUQyHbJ99YEzz0n) z;$aaeF3gP@Pg#8B37;9+8&q4L$ZGZE=kAQGCcXjCBFo~W5?&kx;2At}Bnh3O)pZ&J3N^aw zus=8}TXdV#H8w^}>u_XLrA-c8I62)W{cqyWwu%Qapqj|&Nx!l}S7yc`qB$pbw7E`< ze`6{rxhqi9H&**LJw=OiRR4jrvhJ>_!<;n!yW#89#o(;wi@7#c#n&)b_C#0GHT}?r>hQbUUgg^noDB0gx@I?h`3g~*%H=5=QaOW0q=fYg)ju4Dh*&_ziNAdz8 zcpuTO0@1GYp{mp3yT!hcGEfz@ajpWX$?~$h)fVsPb8$E;0G5?rYrG_BM7ZB8UP`mI z5fDOY^`KBcg)*fzo%qjHAPP$N_?;gLKIa2E*`Rq% zF-srDwSchC3EY9To1^C_0$?ItdCc#)r7_N0hZdkCp7>O-Xm5UI_Ej#5VVMX9O28&P z=+h@9_FnwoH!^T252>KV|?2XGNn8gF|MR2wsDMm^-eMrpd|i7nmFtoeqT_B z#Lgg6(#OX~NeNv>=c8+CRXUGNkQG7RQ{v#rhy@q?-KW<9{Ht(_u%9_NxH8=jnmq`v zs_6~qh!JDK#*PnAsB8B6_zmQ!XO%oV{}GCvCFc{65U~5ZX`%C44e+fVeHH`+=R;-HeSq0$5l?sV<< z3hK^q@*y3MkLZKOX3)hLSV297O2r~sW~K2z&v>%L4ZhIK`N9zhviVCW^`iiwzM~K0 zmP*oi=oiz36X1~C#CO7T8QG(4ktaw6pkEwrwjTI0XZ+}6DGoY6J}wYUU||v)D@rtz z?^vJJn%jUk%QoM;BGwQio+b*Xcx7MHFNSOZxh7xbcsm~gH@~`?v^M7B`G*fjMGh)o z`~LpEC4u40ZLW*M*+T*?9uIscz)rMrz&kzfM+Of;>mO_gK^Uvb%D`FSeT_!Mp>ax* z6BGTvq%}G1zg)a5J34jRtNXiFJ_}JdhlBAfDoEUh%VGTzo3Ud*{Fe0xMy^PzZQ|4LLd9)e$VO#M;?q!u8Z(pfY%^iX=H}`xe(A}v#dvLc0e+nB^y2C}{)mvq zV632?UeRMvOi~jMjZYQ*`NahTyuOwKgGiycbtI&;FhDB%FWmx z=V)sc*W@i*YtVHpv#MtIw;D3^S*Z??#pE|NGxBQk<(HVKjuq?TH~c>4=AXx_rA9bf zyrEN69V&F21x^`GM&!DxaTbYE++zrLw^5bn0Tpavfr*6pL~H&CEh2nVua|Ma)(iUy z0Jh$sZ7%CBaD!uLNW|}nK}bl5iHV7ei+lYG)Da>y*s7|7$L-mq0W*c)NBH+2-#nD! zq0PL&vKR;0k2abck>}T zdboWeYA^$X?xL(9Rbpf~LWi4fVzFGkt5C-7x|EQ&`mgTm$GKZm`p)8m$BDU?|7P`b z2cW3Hz{7jJJ+U$ff;aFoxET2J=Q%UU=y_k0?emji;~^XnRUZm7cj3=VhDZ|y1z^K@ zq}b~t*Jo-QwLB;%Dso?G9%>>UYkTYeT(;hnN#a)DXi~XcJX-rWNkOFzB9dPn(G4Bw z&~L8^#r`7}3RPbt&dB7e7No#O#&QqiKNJEopsk(<@>$V4n2JGi6ZKSYCGTA6)dW``3u7trG)6ooe2! zdJO_8or6nH8&Onb@Ee`NpAuDS>wPtuhE;ilI{cv)sZUsYt`>a=buM<7^nIsgNN^rf z*;z|LV1RRrl_~u3fu6wX2(&n!MS-qNoWS1SDh2bSdJ; zfm6t}a}X~Oqz*Ud)!(Ti=aZuj)H%~W8>WAiZk^>?i!#9K!3H`)ytM`(EXqvN+4nl0 zC0lYtB9T~a-NaQmU%HP)t#&WN32^EhajR2UOmy4Lg}kp&Zh%2%X4=#v(awzzvyC(8 z>G^R)hAjA}r;ds%J2rAU{vcie1y zhgSijJ>&cW_=|zX_26ZNRQc(LySAfy%-{|YutF4&$>!Z{t?4l)DUCpJY#SoqfO;S{ zSAZJv^xxL6AGtXWq)!=cPdA4*H}*E$zX3(!Hq=zbGqm+ zca*#eggP@;0f@ixk&~&wJj2HKpkZ2EBiS1(t*o@cy?ELy15Aa;7Q+&}= zlLugu_Tp|07QIS2eX}lUxFnI+9?AV-i=*ff7y+%;B;{-u7||Sc<#!C^-YqvekHS3V%i`n zGagGfcAB-WH^)1%|Jf5ELM_vtW4Bj+)pz}Kcrnj*H=vn@VNH2%YCx}sYd8$%xV1kH zrxX?_=l_Vur$i#Vw)U#%klAXz10D}7rq%gS0jar~eIV!t^Kcb0$A9``IsnKosO>A2 zLVy3J;0w`oS=o_IQ7;7W@F^(@v{IsNLfuzSTWd{m4-xb%C37L$T>0Q)#ug+XUR z=**h(=H>=2SV|(QrDpbyMcvf*3~Jm|A%LgiD}nKcyr z-OZJIvcja4=ZI<5_u+EVr~*L=1z{$5%kjmonRqD))r^hTu0Rm|WjkHX#Hc8M$3*oq zejrs%@r6ryQj&>{d13Hqxl+R(*|W3jqcE@D%hk?k4mYq8>mPg%i30gH-#=MWt<=^8 zc3diDwP1vM@4^Sxh4^I4F?|?AIT)`BKp!iwhLMeF_@uw$-EHmF3+NX2yLDQciW5Zd z&x>3`dowOBwSQJR+b&I><*U&-Xj|B`^LHg;N&~A@towo&`@VDc)~Ut-)No~dYsgwl^*-! z#GDgaJIH*k+8X0<>84x!8s^EXT5>Xx#;57wx3*0-(qPzVcfCV*bYObUaa3=;rgDD) zS0LqlJj=ur$2vYvK|||+(8TB4GP1LJYflrE$vtW<`rfW^N6KCx2oyY7t z$6D1#R}U^q8Vqp>q(~}ZWUsb;)#&zE2@j*T(nZmr4_eqexyByh&PE$|kus_FRH=^_^35)R+@| zFShxB^_O|-{+zwpXxp-@$`!xPo@YZ z;zMT_>&Ur8ovR@-R$cA2{B){Yr&&hc8*eERuf{|Q)NIv%3WA&-1R<%lXWsF$)+iMg zD9?`TExgB7uExB)yvD}+*VC9G!?Ce3^y=xRS`?k*qoZu)^9j(6VX)b@Rg_G_MfK9Q z5|+-C9v2dlf_9psd}Lzk?X%N$04>BZTE8>0HJLFqs^Lv#lwv578ZSOh8CE4c^#ILXSl&?50-YR4#LptTeDoer8`XU1-!@ zzL2x%Rx>-v785ZKFDj3)Vze__yA)4^HuN~xVe{eNjzsT_NzRSIs{K)=7>3ZUYjSn; zcrkh%^NUrVOzMc9ZT|e&@@3x0e*4kTM8-QM8mQ=gieF|(so~163y>) z&WRW0B=4o@j`3x~j@w%A*;skWfS^?0^}pLI{pEp@LBW1VSKj9KF&JReG|#cJ@=YZF z+L^CFJXrwDH-m#eBH}yW-`unn@odtU*$7ioQhq8~DTVp@^XEA*onY$Bv?I1Nybvk- zi{hG-!WT+YO4n@L=-t`&AqoGuVP%g<*w}?&j-3l!XejeZ3gvZ4}BytrF3G$l?v>J=_BQS0S)b5J}&)T%WZF&fPYt~^YVSY`5ADc zMZrd)TwrtV+k^MGz`iMN(hud&I-G;&pu4)!X|p!9U0XXnJzZPt>oITs&5Bo5pBAGI zK-vJ$YdCVnS!#UkcAAL*RxhY2i+0b~H{q5zSiO0T9osgv6=Xk2D(O@1ov;_b z{kC^(_}$RZ=wN>j4^>baWN%Az?&2TQ(%%kn`13pO^FM985DtVcsR*U{IB;QCMOoFW zY4&xM3zMA4#KccF0rEVig&V)BxVX5Xp`oUxMjU-JJ0~ZnqXU0?$?`6lLUJ;m!K*>} z)?%ROVW>}oPERD7W5i;>w?cJeFyYi~x_8wm-i{9YXY*ElG;#ISi{Qb~Rl33Mq+iTD zHnxLzpe1FeH=IWVlslf* z(bF_GBexES)DQf6z%bTI#J;xIJj-g={;q``hLyg!(Ly8EL6rktRaM!LWqisQudZ)UuR{<%qXZF?SOeA_4-HbhpPvDM^FWRnPFK8SW#wCg zGUmw?gSI*qp3pbnhk$nP0n4{!t_9kbTq2TLsn;|R;BXRjOrtX)MRAu{Lt7b71 z5ZjtBn)8@WCo^x4=gXJeHzkzmf5G&AP8dk9nt_;r7}?njF;V`^p!)Rod*t$~xSL8v z8>%M6ck^q|MoVq|M*UNfjUxXdRZfcOofEFsIZ4M8DQo{5B+|Z`bxq5KO10l?9i}I* zJjz3pu*3`^P{6qsA*nbsh=HSAVT_Kgn~cs^8vZHpg_#`v$ZWVu)G$PlJ_)_Kuo1<{86=OVQFg zwXPi*mRQ!q$op26Ri1%{&D}ZuX>UwEF9VrSz6q7qL};vmOrywe&{}F)?i!r_F%`NA zx}7^4bMIW2P?J;e+b5tx)so2cqzDo5@DMvSEj7LEsPAb{1YdC%)3?P3sP(^N@lFCz zTG|QeebMiH(g5U0vB;2{92qE+cbubJY@< zp!JXh$XIX?2U-_$tV}#E+=b&jIPyLC2RAnL=^ds{##SJxs61TlW>bM~(E+o~-g%sl z4NtOnpJB|jb$mOP7sSr{RM{K2+kZQ41zr;;bT^Q1rAE%NJq=Ff#XIdhT$z~%Cb{dY z`>ALfxp@}%hcB_e>ND#CQ^U3+_U(8Xf&uxjecY#ect7%p1UH@tX3z6`z9-a;*><$7 z$6DM2n}|MN5oBc*6*%!7U54d@b#|%62)duo+Sc95n3-Y6ya6y%7w2jW<8iAVzw}Sh z*JkwxQmDei*wo4a4|Pk4gLVo6*4y6~!bjuaME^i_4$Flrk?-g^hw;mO#a3$Cl?o@a z44op-6^p{-yLp}5Uf-G^aAYvfF%XTUJFg(MW__**o&wL7{pXJ7B@#M3Y8)ISE%k|* zu#3R{c%@nZ7|G0bZR=l%Dsyvn9dRbNtgf%WInoIjv@Khfr6OM^%F@o;Bajtd81XFT zb?$JbknN8)plh~mIjaF0^4DiF+5=$ZQA#tG(kF@c-+}+9?h^Uc=COK{tdA16sDF-r zhzFLxB!c`c*B2bU^@pmfBAO=6kI!i6V8|uJlp<*HxuDZ-oRyfB8_%Qbzr1r}v0`7Y z$H@uWRx&cKGHS2W085+?l*Bx9U&>Onpaa#;*1<)C1iO!(_G8j;^(}G=a+%rLAXBuW zsu*iIQP#c$8}JE@(7q(()2SPTDhEgb=2xUeQfD zuuB{S)R>oY#i&=6l~R>a!&bo0rT>8)HsBGZJmztqYX;Zyq<`~4ui=E^r~}W#NV5)epu1BJ~Ni>;EnfUV@v}lg*paxTvU~iIb#6L$6MS zO6|6)l;#|jT4ebFY^<#99;%XKuU}#Io0V*~b>+ENLr$pN0ng;u=p{)#Rei;GvRuiB0mi8d3gJMgM3c8@YM@Uj$WjfHfa#um-r z2(_o9bM=~vB^n6qc8|W}J@V%RX44O0`mqgN8uWtZycs`xrm%Ufr!Un3 zMgz5#X{!na>(hIw2khsRq$=2r5*^R%jhR*_7uaV3ozi;0RN5jUbrR@UQY+vxf2Jw3Tb*IS2aA$4vJu8M~=JB<7edhBgW z)Inh}G%YjjYZt{yCx57#uf2}R^r{-K$o$E0d8C*UTJB;$G-|GyJs|omNzN+f|~WzI;^mNr*gM0@OuPn{$QbLJu7b zqj7e8A=&0Z#)lhPL$5qCalOuXX~hMlCYm1Qw?>I~DE(i}_9QB{vR|W_ zAzPU|zhG-`EBofy_IhTJRHd_KY?5QKwfM;de3y2H;*fsL{dU@@5zq0JkH7}yP-_@P z@wHBzNq6st8j>P%%Kj1-ircOKRv1ZWl(TNA=f_#BqR+y3#{EjOkrznDJNKn;UC{aM zu>cN6$}&NdkAqba{HLrJdgLyy`%a~_CYt0=xbD)Pc2V0(`5kT2~V`C^=3F z!8K*N*GH~CpS00nz$#tf5UqHi?^CSVYt$`|TkXV1UQTPvY*D?ep2AnH-65=m4UWDf zTLAp{6U*wa(uk{RAP3{-afnX2RjH*pm7^d_m2A z+OD(Ww8a4df#MH-wPr@78Myj-8oSkE)6}yqRswxpH`5VJ`+fOJlhHs0#osv7N3ncW9jAw#!B`wnbP53S_;3>zo3cL3l{nutgbsRW!_gvWb)v*UU zAk707s2ac%j>nz;e-Z-N6Yyv;Sws9fVOm`qL#b`ybCyNn8D!Uap%F3Gm zua|$x`JKqyflhwGcaYf)Ka;YmTJ`>x)*;Kon=*#;L5=s{Z2fJE9@b-$4QO@xRFBx)@>GO`fFT! zpAUwBium!N@HHUOX-{&5-@l36lYvBQJPv;epC9vMx@-~Q1pU``{u=iH^n)Re&jZ~b zO8d*&0~m22|7pFznZxiwli(i={ep<}(*sT_rw`G> z8n7Yw{>zds^gf90XYmH2fcLdYy&cYif-G@C|Lx{sKN#AE!-f0<9PaExw3z*txM2Sx z+WhlDbXM{$@?(u?`3{sCBH|JU*uQLr9}@~Vefxk075JAyZCcMaGd$(w)&06!Q{{qv z&EmSJBlW6{_KMuiYCV=UM&9_K`&+f|BA^Tz*;A%&cPAlHQ4?chw7`rhJ$+SWWgzrV zZQ$WAv%^;72HDU9(Ma{e);jid8fR53cl?j9^r=LBD6^-FqK_t{!f1C}+y|DkJxnFj z+-d4@z}W}%>)Wlj+{jZjac6#y6r$O8psVGh3aQ)}-Mj3&8vQQJjr5{Lm$d>49_0SU zs=iYV-Os`XK#bnKcInFMGxO21Z|68i9Kx*sOpnsW$Iub_k8cJ6%C|xK=7IufVh>`_ zFJxRv1Aw?;yU#Ki{v@Paek2D|L!EMo zlUJ7#1!gNuj&ihZkJ(txtHPx$EUg)I-OcJ~nT$@CW;&g+!9dk!tVu6y2v$f{6mJuuP@Is*Z9l@RRx0yNW6?lG5z5d& zKO!(}tQ2>3?hGA0$(==q0gtlIoW)wck82opKSzDjBF;Tm&xHqsvp-F_Y)7#iUV&}z zCpC(BKwsX%dMZ$3bSMG@1q=En2)KugeaX?o&U6={Z@f~td0GS4)Yo2PmN&0{ENzd< zr1|i9lF%O~wHF!BGY4q<--;>x(F1ybzhl|W4I!X`H;A*n`2&^xPU+Lh53qd{6y0O0 z0O9F)YgvdQtm#-Hh$oM!ccJ$)sZ=|vpss94VS%cjfOy9$83z|9rR9lAwRmBU714>w zIMkxU5;8*Q>|w(pqg1zV^qxS45-@jS2=pam-wAZn72JN~u<0Fbwxb1%&19BuyON+= z5uFL~jw1P~QP%PLxx@{IV-Z_==-6~0h^PCzL9rWDdrFYzuR_gj`MKUHyDR-kNJ1MX z9wQch2pohItdBL^>(J!~2@0P|W}mPj)0d9#3-q%QX>WX zN;?)2Js8eUIVM^Lo-z`*A1X^Zpc1aXIr+k*Z#jX_!~06R`!&a|nVYDKC{pE`Zdcv; zHv4C%C!aYT?;44uPN5c*eVkwA z?+Io&EB56ovjbji?~=2KdXQV{m_jWIva!eD9So_`6z~YJ@$r9k#)yu%+uV205Yx43 z(QK>k8m;<}5~J!YObZhmUhiFV{@!c;b=kOe(=LV`kCDmWMX`XUeX)2!EBrdf5ao^Y zHHDLAGM1PzFNft2!9UzRUb_cfVi~6%L|%S%=$tpe&GV{NlX48Mprgh|*oRcduuxb; zglC)@{8H_b33a+9>&>^%R3f4U&+{8qr!Sam6$?Ag_&^_De(eKNd4L8cCcN1ibxBc| zs;xB9E;rK?NC*iz@O-wN2q;}z`u&RlY5cvTu(2LCL5Pau+l+sLB87le{{bDagPEq1 zkQJ2|h)b}ftCZenjY>7r93{+wPul#MPx&cdKg}?Z&dMc5DM*jzD~P9D%G|!7~k#pcv~IH@dUB7j%SpbTyt73JW&C5Tbi^b9J|_9<=a_c?;GgP7nUI-A@DVJSHbm zo1vBlot_7cJiG)J>V1hRklh#$+^ffKHpp+bUCoev(TVmnkc?n#{0g2ANN7t~K^2nS zJ*E0~XyFq{TBi_i-X|3{H#m8}zFv|=yEp<4E;_zX%Hny1YUD9~$mzuy`w4mwWRxA_-^LXtareo%rX5sd3SVkhBk zSyaW$z#ix?5>Vgu_=Nm<8Ul5nS9CbaomGX8p3DoAvAJ5|-7)!Mk%Q)TbHHP1``y*S z4bqHK#CDU;{E_@Kr%IoEDFT{ zg^C=}1)i?v!?MX$?w6c=LYRcJ=MK?h%V>9sBW-;NDg;}8ll_qY3xMnqfnl4Tir+_U{=km(pq4R$ zYBL00Cw6~yc^LI}Nrs}+S1#Up_mE$t_yeXGoiWSBG=PgT#wT>9N@U>KQs)gkCz35a z9Mdk%QaZ4QjLdFju&HTnr0|c)WH6pRT~m&2+P!8;SAYNntks%0)ZNAkX8fqh#*=8f zZ+$tMfQIMN^$NWhb#by9K{2Expo! zgHrdJOXdBw*?BAcm0nM4!6X7sOm;A4%Chi*9`8f!ulE@pDVexNIbdL(9C*5v7>%a` z;5fV@&nGAEYXD(JH@M8=9e38mZgg?s+1JD`Hn!t;*V#xy8A&;xbl>XNZrvYBKKr@l zTx{H`w&S|47!9%5mn2R9F^|lqaUs1LI`blWWUbG|obuf8+dMCN&9BKDM^_jpSaPF! zn9rN7FB7}-8GAk6Qq*PpjkeFL#f~SB$KJ=qL{t;o>*_oOo&RGa1cQt=HZ%yN(}(44 zyGZ&BfVxAhnnJ}ts8{G1p*3VsB6L-xOSg1@?D0*`0*a{{r;2BpI5FjXD+L;VP|JMI z6IMuFp6^w~A#v=qsG#~9tBz~N87%sF)OoCX@|dZQpqi|^RZ_K zJqPU6zOsh2sOW@rCk1O~C_YH&Z<8$QLspxBjrfW+$R)g$W33ApD>@0KPchd<=ic!W zXny|f8|F-4%*)O?G^IMl!K2+=^KOTr&{Y95(@&CI*>(nz)}R@;kNgog5{XT>5i(d) zdt8|QtFE04&X6iJ*(WKi5Zl;H0v_Y(oXlfzUcTY(PuHhfrNVgP$^I!wj=0@Wcn4J_) z=G!y-@H%1)|03QZFtZSugr9@bUSJn=TxI2*4sC%^f*4p_zV?R@U1D4sX%pyraZ|<6 zmGkWd0L0cmRSZNc~Cgp5#|4^ExA&7nYC~XoSFcs(`eXwUB@XEZV=e>w{hQjA4`XPL8`xA@^kR2jB~<|qEfwhk-)Nid_fP^2>Au6 z;sv@7Gemdo`W=~c$zNG z`qv-?JSNkNX!*Q>NX6;4_uRW4wvlsJcQ%)n+mIQPBxyVdz5TOhxSE85bjY1E6Fw@k zBtHzaH6DFL{kO2p4S0Mm`-@G1KceAZzFD2Hy+aKK(nxC2z1T3QHpnLA-}Swkp+>7- z{Mezzg?`@D}-n%Vq>^s0)Ypt46-vk<1rTI5j6l`2MSY(wh>tC zvjy-b`qSI(fwg1#?^qOO`=!p=B&jVvNkmX6uJ|(91{C5d#&L^IB-Cu;BT{f@gnTnnrx!o zT$qiJ6)d+iVkUe+1TK*;Ghn=;fF8D<(Nw8+pX&B=6TW)_C}QNu93cqLXR_KHA3LV3 zJHe`V01xk@yCgpoTD*K}KJTaYvzEjA=lI-*DVvRj&h(BbZtF4vNJQ|Anafu#=d?um zloAlo{TXY-Aa2oO4Rh6_T)ecO?zv>XRMh!)owrRX;1H__Q52Q@{2r`+i$SF(=Xx?7 z3$Qu~XlNL#9iFVbjo~WxnC;z90fO#k%$5Q)7>tPY{A}A_kE49Y&=HW6mZbD%hEdU? zZ-2Q<;9>n#M}Qz94DVZ~M#?_f0ymsEWo4{ENfF9gv-k7fh@2jw0c1aufx?ueOr729 zZ?(^2LW7JXEv^Q8X{jk_q$Y7oLMP}~pFy~qa5=RN6+#%oRjLUbtloM$#f*;=hnm$=`J2cFX#Z9f^Cp7J|DhtCk4 zXaiWYn%1Vern+ox=XLR7WTtMW@el&CL7ZW6>eHz ztTkq7D)9}mawp53llTOj2|a7sKfOMv;fdC7{Povhn;^zZLsfQw28%VEE2qn4H=#Ee zWb!4BPM`EA#y5v7JLvBZf4XyHzrtboNr3zwH=fns%;ZGrWvBY*epH|NI1`)GEatO@r5T> z+t0zwP1O=4o?bjRS6|X+IhX@1q3)!!7$sF#)Khbl-J)2&(;7)|6ePlUbcY{eJl#lV zgf(!Z?1^S!-@zX6*}Gpsw?&8Xo*;}HCamMYx7^f0*N|n~>6ICZ%iLV@W^cH<^EfOk zd~2P6ga zGaox>Lgj#W+M_hEUs|A`*R5Tr$o%}59ZwnirXJu5%zUH);ykA9Bxa)O{*>S zlBRwox&sqmjU@-^x8osvbI)?Dm^Q{857p{X7XWNQLO+hHZpxks@A)E9$>*9E5HtiC z_FObqR_t=b3F?GcVF!w`P$qpWt;sH=F=nU|aR_G~<-k9G`=;3r{r#kD!pVGFB3ZfQ z$U_c7tT>gXuJ)kZk;xkT%afKChNsOf? z`3EUX9K*mjy{_JZkyDi_jr=@)=Wm^i>t1Q|vrCT0^Yj_UpE%zgdR9S8KaH%OB`xC%#%X<<4`*_vM-71Rt!`Uf-66DzFeT{Z>4(OL{ zcf+CK;YaVHKg|B@Sguskw2}OiF32R}3usd02DP_tR6reS1=_bf`x%W#?fnZ(tUV4a~i%D8oQJzFkw*^_a817*uw9 zP2;%Q2n@)|*ke!RUaPU{PDU0Yr0{sYnab32xpL)({6eVeyx(kscfZcd`F#Cz zf2s+4$brbc+@WWR4K*ZNK`Cdoqsj(>*Tb*$?VKHoQ8dEIhu{+k!qL*Pm0HWQ3BI?< z>*K0OQB1=Po9AYyb4IQa-5Dau-04x_=e~XquS4j;AhR!znLwz7u1ic z*c+x(oEqj{1AK|Oc1aA-_>&I)ZA*5Co-Vawp-PvJ@&s604_L917^DO09Ti$Qei&3W zh%7INSdK3$rQYE@pcI0wg?P76=UXLAi11sV|C6zQco+M0(ySypJ_7USg=r6Sk1GKlGP&Fq zsne@M5A#@yh7p+4-P9G_B(bOCCgO)kcdHF$`_BA4GuEiQX{9-e3M2{($CL0Qz>2;(C zRUH81ZwcW}EPp74#@_S{1+K&t8Yorp>j!`={wqEV$dH^_wA8al$|k}^w$wq|Nt zS_-a>Gln=`;DPX4F$)Bk!E_0dx0JvyP%$%*f+oTh;k(onOM7`jq}l@(C@GW1i)PCQ zesl6X>e}g?AEpW3BY~}{hf+D-V%14&z_*r%oDs)h(Xge72O*AK?DSA-KO|_zL=O-T zi{&z@Pkztu(;M=Y&+j&DE|?BZTYmG-VoUntuP>Nx2m}6VS}9jZN2Fa74awIT7MnM< ztdYo0jIAbvY=@{-!GZ;ISEP|>c(U=XhVW5Y&u_E;^bm0T$cvl5$qUFYDt*o|n^lW|#vz3->4M?c_ zGRV$}OP>7ojS5W-@Fr>ez=87-&i~@V1S3F1Af@2K?NwijdLdM%gq?em$JcTdac!lM z3vyoW7~DQcRyscY+0FG#8ljddtkDFMvIR94acd>r2WdPH&R_$BdR)| zhs$Azj+n>&{YpKSc5|e;ph@4c0~#uqsA@L)Q^Zs<8yz7=c;&D`s!?=36>GPS7VEE^ z`(NqZmv>S*tvcdPsZ)_=j2A>-_D-$e^;SFyF|VqpvfxqLi;=bynLL-5m(9)1z++>3 zdwakVDTckuvNCE~T91X*XL01ULBZx)-=X&fpCE9`v-Dplp)2adTeJ~5T#qP8TCjJWMOB0J55z-!0MCto0ngdT zA1G&XH||E*f5LWP%+>Xd0Kyxlt*J(~X4~v1j(L|)RoY-^H%RapN(Tf#v;23WKV(<& z@$7~R+g7^%e}KCWTLVB}ID=xO=_vgsuCio$sh1Ort0Z4^NhxQt8WDSiAOIqxT-F0I zAE?p72QmuC!g!GRm+Zu#E?kJeR4{Veim3OkrIJqoz1C*gs>~7bl4N0l`>yq&e#XkJ zI0r%q@T1oxfbh(FOcClh`9B5d73C#AUfs;flC))13exo3mf?KahA&D9#Bm_X1^rui+Sw$fw-RlK(oOG-c310`0{NN!x2#ZeB721j{I=~e7P zRaj`K#Kw z-LMg(g#{HhmKiQu-t>G$9O^Q2buZU6X@s(lme8K)+i$_q0%XbyY_{1Bwb-oa1%1Lo zRMhlEHO&ks*~XtDA_Ph*%=jZJ;{2$$?asyU8+B@U&s?xmlr&{?p#x}ZOrjtIc>>Rt z4tX+!ulGyt{bbz#yuih^zOt3Z50!g_$c@Xj`l9;+ zDj8<>3y`R}B2tK{ka}#6^Hq@Oyn9da4FtNl-O(;4ha`W4ivTOL9~H)I_o*}$LS~>6 zzTI0RWAE_FjW+I?Q8D0d&s+HVY=h(1md*kJ9k);Qol$L->JQYaircRAya4s<)-V%C z_}|h;xs0J&>gr?Oc)@xx(qJPR*1y>&L-jA+7Ccq}_XH1cd5poUm{mOr-%r{C|`d1bh{CadB~QV3l$O9^;UZ zl-yu__D~RJw;g3#%V^&+>~8JZ{J(-A`;0EJBQ2lkG|%h&LnU-{qdjOpNX_!=qA+1E zR~vs$N%Q!+M_*b8Q~X|dd#!wC>z#-dXlcuF&8Wn20t|-qI_&1K!ovXWN(|Wb`Z{}0 z*`PYD5dTxQl}TgW;!no<*0|ZkDZ7h<#}mUPf;qv<$xLgL2WQk*d^}UW>STW`o@s*e zkU?ww#i+FnN;^g`ooz)uCBoCt_FF5)xrwUu{6bPyg%K7DvYV>E=(@kx*RGeEM+S?1 ztyeg^ULhruW@Sx~Cn=O-zHLG42Cbn$V#Vl}UZ@?-`?Z0A!|juNLoBMRZcwEwr=xy& zUKwVY;g=(zvtq#GPzfnUtUPQTecDl_6;o~2o>_Rl<{sjISZlU?7k3Y~-DrL%bm*tv zM)+zad_*mP`2~HnU6+@a*4W&1$*B*chs3)G3-{^@)w0ur-#r0^CWZAO{|fI1m;Xxmm>mZx9dB{ZuS1nDO!lX zPD&-;RnV41X=-WdaGn^}Sn4nG7hX)T(C-SVjt;3)M=%`+ryawXA=C<0rC*O-(xGWf zX&qL$4W3KXs+XO|*md@?;MT4u!kPI)L?T;1YbQ@*7ep33b+KbnH)Tpn;YNyuEic7( z^`s%YFHX8sqiOM)`y9q9B;wgq#Pq*Ws>UJi7UR(QEtNH&y|D=GV403-n_+A57M0Mj z(6j|kPd7(zT?vCaDtTXPDf-pqDU(yfsj_HY9yr8ROWWAjU`_68WKwKI4pE&8yV^5G zzN;`~$|=3m2x_VyXL}8f3{USo@2|M6`_|l#ma6ZEy~??r?q7wH<&JzSPvq407e*D# zFs9j%M6p-9{G=KbnAA8+K2DtvJWtEI1oGK7{5{buY;{qajxd}%0NTW!HBkko_bLVw zq6R~wyDh|gtLn?{guM^HQYsG1oU;yH2>o`Af`Jf0d+G7y`X-y`%v$hd8hiw=!1eAdi?F6^=O}#cXNJR0({5lrbx6HEz@NUTzZwLFvG7g&Coc zXRr(g&mY?RPvCnKL&Q(D(jpJ85?t+1s>F|IVL|CB8w?moyfu``_9Y23J^MD3=A^x`zRGQ!>=;I83WO;Mn4>jLNE) zmzi5cdN zfrRv7z&~foY;J~;mT!MA2r(urHq@7a1&JFZBMrB=19MfYc>xo-uZc~nf=$3Z@tCeYU<)z|oA^qAy;=u26JNXGR zGm20iq5*Coz@CJ7gY~I7nql;IuO4+qzFP=yem8Ox0dLeJqSWS1gw{cnuXjEElg)i9 z!$96u1Pg?YkO)akvtnyL5Av9+*LH*LwSr4qLS#skde|(;I=uWSiVljNh!smJJffjL zmjd+6B^w+^lt&Swx#Pz?VubkH0Slq$o+~ARhkG2NeYj#-Vu1K?mhGoQs#Z77s@m9L}3#5GA09Eolny!`!t`S zVbxTXm2ZfikSJR?ZN{Q7KSNPPb=<8GsKGszACgHE6rS36WBT)gq4b(iUhT-HUcnJ= z2)s=g3CrK;(bTP@o*b^vx`N>GV9F7GzGK;e(q=zi+c%K5Lh%P!QE(+uS*l}4twMFh zJyPSaTUJ}zAT#}W)HJeCDhe__?V62zr^TLkt~>LJwV}9c>kYjj>uGLYVjoDniI4qB z0No$H#(CSwG3b)0F=TTG#Aes)>A$^53@*m%M&P5Yxa&~MFma*5p*2TOdf&6PBWF{sFx zwx%VAEk_7Um)=)D}mdfzv2Mp=oxqYIhni&YHBwM+amL9;Nd6Iuj$w47H z@MbBcpngexXd%3wMRvmEdoN13nDw4Q!AMg#lP5Z}vcd;RqAtNrR`ylfX=D)&%Cd2A z`eu9OiWjE%cG7)2i5BUNkGGk_U^z&W_H!Xuze@Y<)rAvWTpK@YM$UfU)`m4@#+=66 z1>}D3)rNrGX<^4C)MAO-@pOz?ARq#cw~CYMLB(pTESkB)YTaiK9#>R(U0j!4^}Wki z6ysn6L&C`BVrc z*1uoT2Kk;BFjJy;7YU7YE92~!bGu%(mz~)-XLvoeAd`cGf_!6rF%~rU99|nav#Ruo z`FauwySS-0YEIRDcD}QJ|m{x(<>BK;_8WJFD{^dR<13ZtaD?^RJ}TJxRf2NjS!(=f-SwhEQH zvc`h);DQKw+$@6&V`HZ`d#$@{CQSKu3o`OI?W&a4VXRT(($i{mbIsTByi!ij&ph5;!1L+g5pRd`7J?u$ZS0)FoTIlH#uoYZ!ONvcwOj8t)j6{! zT#y{7Y1#QsPHMuou(OlDD>nn$xaBsB=mR#tgzYE#mi9c0MYS}w;6`|*zjNG`HZLP3 zq?AQvAeI(-e~nFx!`M4LJv*}HeiMg=LiO=}=H9cica;$wJTIywRY^1k*KHFMs3L&T zP;<~Tk>$V5hzvHeEufcXp0KNfZky--ITI7@(((O!si*Bzepp)B{n$ehEdxDT zgCS4&#g)C20}qHYoHBI9>E55@i*HeNTih&w%~mvwtO-*%u6-7B)6PB|M6Kqy=^j8r zr^M~OlY`T3A<`7_JyArIZz1Sx(>x*dpXtCr~A~bfzpfohJjQ{+!QX(D-((9E- z|5wwrH=jNSe@p_UPi36{kE^d>h$CpW4W6I@f?I&#?hXm=?(Qyu#VrI4!Ce=3cUWA4 zFN?eD;_mwR?tMPfKcJ?&tEc)@)j1UVkr{1PLml9PA-&Rvq*!c%pVnp9TOst=)s_i6 zXmrq`h`M3RQV3UOiF;ROxZrDeXrh7rqCQM(XJ`^@RwC0X&CK(3wE9+i`R&eO6v9A35A z0m4m9mWI*oF<1t}gJ)cB6T^l{6l4hx#u+z-goyWg!U1exjAHLtpJnxND}GPPSp4`c zwYs{Z1iw-mL`5x}tw0aLBG!}&>iIliU<)qHxz=igg`vE?9iw@8NQrq^ksS%jjVhts zH!CQK)dN*WI2m1d0G!bj#zLFEZPb%VhEZAWO6i|>{oKYRy9vR=gLwX+hgQ?XFK%>o zTg4h80XtQGSmpm#{Qm2+J3%OBHpBcOzJ7@k@1VuJcraWF)H3jk~UIPi8kjqZNM-q@kCtisjX3Ah`7jzOy};NTWRzd-X(2qk1~tn zVAVQx{bVRgJMKV2BC8S$4@S)NID-s@Faz~{%i6zJHC(>TR+or>@RNPRk)TbOmqY#U zbZN5#Uy`zydnwjqI#JWEh1?5+|5DsJ{AgC=J1-3(^kdkuaZd1M!_7QAGAhjey}Tln z04UGJG6`X)d8xAhHd@7s)_)sIy_w-hhbQ->g*jSe^yUJS?Lg(-}*m)Y*%<@H8^&@Zd^z@7Q=4L0wb4s zut@QO3=@y^H&*l$eytH@u{v(1J^a(AIq89?^rUt!4fkM%5yi+3fNl`L7Gl?^ItEi) zv`ha*b@c#%e0h@k5?J`L?*jFA>&Ya-y2YM*#}?`p=iHI10yTdDF@I4CCZUBu>$AlT zLpEoRj+1oL|EPc8*XPGL6nWVr3NyArvr3HM5;J7MUT?CqZFBZAA_z&Z9; zzc*3rqG_T&ips*y5!JXSM<9xl0Y1Y5s^$;MT~&j6yfpWi;VSW7AnVO*t}mR!aWmtu zFIyP@!51;G-ZQ-s)Ud~)tK6;FQ58e)H4IlVUSxWq@2&_OnbKN4uk=vwFwq$qEH2>&U&Y>nD9-w|aH`RGhbLAPU9O zl-n2G&|sz8x{O>NGg85j=0!$=Qq~tobnrWwa{WyhgRR3FUU!h(%iq@y&Q#qeafw({zB*}zm_(f-4m!e!z$83Yv z{cFkrUI{hOK!xA>uRo(pr>1b)^bOpt?QAon{HQQlS2Q!ybRAs7wumn)rK*pHi=AjK zQswJ2P>$tiEwvZDeY~riQiToYxwHQzDPKj-CKV}UbQ)hAp3hLEHeu)f7@8y2w$2fl zA6Z@YYFyol^OMSwVT65KR5(1;Q(6lvTWlOsHW*xRdBr*lK)RulnMTFYS~_(XZkG^K zgC6!_g==5#tf`=JSqRV+`(-qr+_c9MdzghT1q|2EWubm_G_CFH;4V2JI2PIQ>Ne?X zaZZ2rFzhvF|GB;uCmR~E$F$(F<33Gt*^X?I(Kf%q<`D2frEq1W5&;8z@=)ghYJ)LJ|AYXdm^eV2Jq!O_z`JTq$)y5&IrCis&* z?mFQT&3m=AuyABl*w^M~F@3oj7A`NgOC5K)ayGE)a=FLw!$7nOP{D(d;vnB?ZkP7@ zlhg;(l`oY;PI7a#+zvL6f8e(E|IfC_&>jf5b5ODV`JqBOtHR>EBv1|LTnGS;LX(7} zYqJW4eS0~(u6n+?Z{wofIFy_Qs{&CN>}DV0)NYcF=S~G&t@B%xUn^-E9<(P{KbZn|jSH6#^2s@RKEF^bn2 zj(surMd>Rb0huE#Co!>ye(Y?f%{hhbO5J@rX`Qx6P}1ep5`c zwJdqLs=NGbs-ibt#%zsgce3nm^q_{D98;M)UC9O68(iYYZsb#!6crTHHW+xI#>s>} z8?amW%{{)1AhPN!^}j8`BR1KvYO5a@dK_wcgWdM8frVCmWPRzWUs_|eT7PbNid|9Z z-L`@F9I{y5+kdH(YQNs;5h+cu>#;i3KAl}8J$OVV(Ns2gTsym^S(dPkoqmBs-KajJ zy`569G4Jv8JKTU($VaFtYWcbLWmG}f^>?rw(_)MC^n~f}`ml`EO9d4h9jPYWTLNJd zsL6UTIFQGcc}90-Qe#PTIh6uLiB?9k|7F|}gicu5IpZ?-_ePjYKK?G6z}s?EP*5KV znP^({_|258zWc92r}p3CxX&9QLli;NuDRy%4YnHf?W9ioiM=v@&}heIm!yVOYkmE) ztQ}ywP{1zDK^AYvL{Wd^uLk$pt1vnWSYDGfxSxLU^8&0HmbM@i6~Dw7y1%nsrv!L5 z)??W(HswB;Jw!OHXX5elJm#6va7Gz6)}W$`nNzAZ6C7m{(zGbPD?2q?`0>V4x>d~YoxvfDoTcc6x&RpH{| zyjE6P>PC0q)@Cr{8JLWSXQvjls7ZP%j6PZ^Ne_|nMA(J_RM+lFb9Geup!=LH^ZM_6 zO*vYutfG!(y&q@@_NvmFnOKA#)Hy&A-+PH13>?4bVOBM@7Cn7%+`oLlxg$@q2d}IMyMV;;F9rfowPfHMrNoU&;((G=I@JP zbWxZvNG}(fE%!Dqbnj41lrgDaJEdeK98$`!n2X1ju%#e%LTjgm_%*TsQQxNOrPi^x z)FXQbjbfAwCGt8SSq_)liRn5xqR(8+l16b130Sm~eXQ;{3; zaHA_$@1XZPR``^iYQ zoTe%$hEW8(DEY%mg98(-nnJ=k&}9c@q^~|h9q%Oc;Ty4AO+XH3dHleIPBG8f2l?0u z7>I=^n?rT!WYf0ud6J5!o=(p*6`EyY{J&`dv`FIL@~P!Xd&pL#$mn*M#JF;ML4O(; zra+W5yxryc^MV=Gh%EIZPA|^Q*q=U@5Nu|waPxT2B>Br84)p9i(^nx z7*p$mg7aV^U9?q#iDQ>XXJ~jO&*Uk5mb)4rC{&hGQLs_QtH%Vre#vx%_e@&3df$4p zyFv4~LvsDr6V}%vNn}b>wdF=%*EznJ2c0gpg6bmp$5RD0{t`Fl?44k*mR&qruyk9E zg3TH?`|qqwB5uveXE%vIzrEjh?K#-Ozbp#y$0G;G&!bc6`M{C z%SV3yHXmMB#L|t$?WZ^`a3a#fKlO=ACneP>#d28VpPbd%c}*{_@xkjY${s6gM@r!d z@yn;>ZeS0wp4|jdTG6>#1KS9X{DR;}gR3Nm7%4fpdImBZ2D@O9YP?Z%EG-IiuL^FX z(?G+|C6JGEQyE5(*x+MedDnp6k}TEAk_4jcQ}ymg+jy?)y`4E3H^)j~eA8g1)dc!+ z6pfC(NEmGM3DG#-B|~x~>ETb&xz_k`-bi-0jXz$@a;{v^GwKma*T%Uf7e^B9beH!q zx4K}SmBFmxF{3~Q9*y7!cB9qK47O0)1E}+_q_*Q#KHxS1>X47EfLTZ#%PEYWZqHhb z#jl`u$ey<`@9gj%i@W3JR^9GUK6>h-A^7!UWjve{swh*LhBI5HqdrE{ zk}}_)-ieS)U4DysVuxy$qH5ewK_$ z;5olwJ)?${%;o^c;-5ITtD3;!bn2}0%ip^w80(3LE13dPoHSJdp?b-#-a}3aSbvqD zDiqL&^G!0fSR8VOj^Bms^XsQ@)J2GQ!(a1VD;Rp5Kba#tcMs2eKi6ARTIuE$oHozD zGvJlkUrC5fp*&Jx!a$JIQ@LEM{0s1?4|Z5&{wu(zX(q{Lz|SoQIjLi<7eR=rfZMaJ zZ_4~i`Xl}$#xfnsZ4WP_K{z~|P`#jrp3RiPqUR8xiM?SB4>nxV%YhYmg6i?GAkV${ zD3JLZj&VM!ngX>kdF>;AS-w4C!u)k8KmhgCIMDav8`JHc&CNy7cyZjfW4yf=q}DYr zMe%M|R@Eiv&D>Z``y%dFdzVkns>C&8Q}zd#)+v{g2>cQK1@jtsmf!yIRkN{a@1n|x zb?E^pLR%!_y(eX4+}yAQ(_<<$b!s9!aiz6!WYXa@&TzRJ=Rpz}5ZlNGdc-3ADXeK3 ztN68RJ=__=$!U!)qrfd`zM~x0=`7FZ-sWVu(c+5pk4V2_+{H%L?=W2*ty6blcqTwY z20E|5+U@|kx0`OHxWI>s-_Jg7(~hE>y+*OEQ*RzxTql87H)Dge*H4V@LX2we{p}HM zRSjvI|Emc6T~tJ@>6!^2y%22GmnjpemFoT?`uVWV@gU2NZ?aSD z@rEZ$cy;FAKk$mUP5kX{GV-6-CH4H*ayfX|k#(kX4V z)gLMOIQmg22tne@Pq`$aiEg_p(DCqq1jnGw0a@o48M6r9L`@(AtzMom*Eeim5~}A% zK@Pt{A|;|9U+ZPY8F8++=+mU3hvUIGmhXk$OJ(`%hS1)MudiD_PD%mu)jIWVFPX!w zMb#x(CSz)1B>gq^p8OGo{5(;;N@xoLR%sd6G#dn-Z=^C}&R24?b|&6Ff)Rsq zv3tyI98GO)ZS$%*Eo=<^4s0E6Vk>kH7^64VivTj9+`rfg*<3kZ47mW{0@mx=qIXs9 z*PnmT{%m}jxh-(G(TiqI19Kfx6hOfFDl8R|_~)MU8ZA5J}D`QVyHg&H6O&*WI{ zYVRAzO{dUdpV!rnj7uH6oc>28DJS3hA|3*&b2<<%jv8->%4stIHlEz|3Ec*38qW! zjQvs9$(dxG6O*R`*?)gMj$N&w8vKlxTd+5bhCL0EU<@xIUsu;6=gmiNx2Cfwox3Vx z(Kf9gbuO=EN1v}e+-D2TcYXp3Gpl8f<=cTR87fLzTgTN{wF1`aU^e4~BySMtD`B4{#(dnHs zq@M=dn#zRuV6zdnIdNRM#2HiYo-8+0+jn z`)ZbU+{Jh^owKnMqcJOo_LL<3dOFH{Tjh#!bNohd5M_jk22#XRt|k{tY$I~Gji#k@ z3is0nn~0Rk4@a$?TOYcDM^Zd|i^mpathIyJ*Bwu*IPO3*R?|uHY8kO_Z2yu;_BhNO z!|ni^=DOXQIOV{N%3-raMveJsMr&$KOXJ&>mNm~ZBlRlZUW}wmiV>|NJxLOvFH~ z!&+2{-*B>qt>V)=O9`^IA3J;uo{n3aLf!HM0M?*1CPo67(_~#ep%aEQjep&+1o?bp zTppmT{)%WgV8-z0A_JGlljfcxYg8UC4j#=+`_EZg{`RvC23>uR7;FhrhO6;qiQhd% zn*YFeLQfWX0wE(z*cungZCwM1Qzy>aIl(ox8>rLYRMNd!OCUdxioGfmrZz0 zP6%iy4gaeOX86Nj=RA4a4Xvd)23G6ywY%KSO7R%X+w)_hVX)|!Oz7dy4w9UxC#pMO zJZQv%k`A;KTvIfVC;z}Gc19kGC6QveWFs= z1Hxc87E2HEQ~D|7TOeh0i)FSDNCqunFGH6}lci|4Q^=!IMr19H}A{pQb{LSxSJz_)}yrc*a-GF|3XHsJ0k z@BYG$1{@NdIm=0YUtM;N@BH(>ZYqGq+b|@YhNSiXbk({#*4Y;TdTv$PqSZRQZoL<& zc}+LiCCb`$%zg#=mELCvo7!C9nCCwV!mIYG)bqOM&xK!>)bYIUz232~ zd?t3-UBy#{&(sH$3gNj{CD*D$FArT5TxpDa^p*BkpeX7$aga)-X?0F{W(fu;>#}}s zS<{^N6^0jCWI-r|ASzR*kKAu^-*j!awT9*ggv|H`)wXx>NzL=I6SxOTXlYb-n z?m$0Ue7{M0eMunxW6W)Z6qSA* zP@m2FaGQeFcPe-O=;o95Kngs1?`OLMXSbhvimRCkJ!{KwaRyUkl_NCbaD&Jn${$!)}yBkUjWfAkJZJ_w zeFo+vewKGUIIg9Ctz|8aKhnRgqOyE%!u4kS;!KA=J$Uv}+S;}vVXydi@7I)~eMQv> zCJ9S@*1_)blpK70E!%2-T#SoZi&bR}PR}X$WaOdf0$~p2o}U)jTAt2tbyUp8Aj$e4 zqR^#)>hmZ++@hm*rMvLM^0KN*r=0pYM{GbEL9G@ib(x_weIh>$#r#K?--S#6rb{(G ze>lW>)f8+ks4z{I3UT>tl%S-*ts{pM5$EiSxio{~>ZmcmWriIwp946$l6S=styl3g zSnqH>G*t@Lpjb3x^M*?N)N-r_DDZf$#WjJCGfs<8n%^lmxFnevL+_|JdE!fVQEoJP zk#%&)pG-b|w>=LO+NzkHK}YD=Y)0kx5FPX@xo!3l(V{Fww44w>!d1o4dn5BXgVB_T zKl|18*0I^)bGEwL=3({zTDqTs`T5Q80pf9A12J7u%}4=|ysxmxdldmX-N6)=D&JL9 z;xakn0ejuU4=*=1)+#VDF2QhDCxb{&>RRtibn>Gc z&G=3zDZfxhksI?3w9GR?p=;Iq!AnPm{AvRk`R^!$czVd5XW@e(lBNgIy_yS-f|IY1 zXVN8NKH!StKy9|ta}TF;S8(v>nj*C>SWL~xEsM*2%w|L+y*I=K2zI!*r^|p$Q^nto zf?qqwMQBc5d;Ad-P_*l8*JSg_k5;pWY_THS{LX;iFH`t@F&N4Cr$ULE<>!VTBRI;R z6qmv6Y?D6h}C3W?IlmvX4=%ckT2q=)Ag%pgVRGKSk#5C>#-^)?vH~ z->FQ!b|vd`j}+O~i)I>aa9`e>DWfMrcGS0Z7k{amk8|o@Lh+HT62I)Jy~JxNYpbjD zRWIX(=pd7u*^UcWDb=U7C7huX%<#0=RJ~=gBWS1=0BFD_nzniORtTVsbPoQz8Ch&O zyZQJ!)h2UVMmp>v4X^7oyJz`9G&zG8Rki161*2N8lgv_{GznD0l-~_|f(Yudm`);t zE54hX?FDTwJ_Mmjb4mDAo2Noid&#n2PMbt@9F4z`lVEB!-yI7VOIJcrd6%r7b<FhaibOMf$`#?{L*HQgo&lAk_U`YG7+!SK4m7`+`03gP4cgDq-j2Oro zW;%bZQg46s2#|rQ5{wYDtElJPos&HhcVvS{FG<)u4|7-LLQxwdA$Z&qk-$(j<=7{}sgCjb=hnOPz%kVN>_c3>0QNe95bXb#zYZ#zGmN|zaXL8?Yt;g8|j&0+zX#$;|;Bd$k6CFC~ zb2E&`G||bph3n~;?K1;1iv%NPISziF?ZsH~7qTJ_geQw-I?5ChYGwO47l`|1j2`ne zVDq_oPezSDWv*Ze^wzxS#Uod4a4E}U?QKs~wnROCh2PlLl;bN<%^hr*X*mT8luLs_ z`WO%({MkAjQurDjxc{AIKEdNm#Q$~YVt7mrDMmk*L47yO==iyfMf2DN4JEwQbEXNP z71S-C75`?NrE(S$EhU=2Z6w$Qb>A14L}QZKTt3SNollJ1c)6lKq08!f(enGnCzI{(^__T3aFg6R5GbA8DT zQ&p)Lw(_f@Nh#k=OL!tQL>;3xo9bP_gzIek(tEgKJxUrxW`0$osmJ@gTw8u8E-9`r z(0bzZcG2YyHByzcN+~=L3_Ehsxh*&~s^B}uexDl@<@2#}{7=p;1;F-bK@a#Aczf;#x zL}EPw*9Gr*R-4~6ZT_pk+`==$b7^QZX7*ohvAI#DwwCv`*JWGoB^r|K3(JK4A{T8WhX|TcSWY_wih|cl+EsE-Fk1Oxb!{w09tz7~v z%s0XFm2;=CuAyqsNuAgH>}N-Q4z3uv_|sXdq*S6}k6-I!8}6pz>3B}|#;#%1*`1R^ z>WMTf1kWeU=*3Rrp(1xHwWs56H_c`+rSGM8sN@=#sh4xD!vnV|(vOq3%6|u;_DHCt zyCk2naPUt3PE+slc^gGttrt^;^KtKmVtg^ryRSmCrK@-wT>k}>Hcej01TL|+l_Dal z&5NK%p?kTGPu09lyxh;hj#d>j^_*pisW_21^PT9BREoAih+zReH)37toy+J|} ztei9>yzZ*nM7(h^iH@8W%iq}6#Whyz8nA4=)>pb(0NJ&|Fyy&EVuacqQ$ESgDd8In zE_2f|^7C%Y?dQH|wyhM`RDA}^_-F$&o-X4z|J2T8mzT*B&Aw%DJyjQ&@2xKg7cU!+ z=1i1iIQq0b@AAx%f?IYGgq{Qp^RUD7LngOKninz&yk>{3w~$A^u|O7351TU$JFcaw zI^KlYIuxOn3dAehE{sb0__j+OSFK`rl)XXKq)U%uRz_1FfAc&6rfF{XeJ^#;%jczA z+XbDb{ou`F_n_#Hk9N9RM_v=t%Z4fQimZfe&L)Usu?3nhfEfQ(R2C6G((C(+zQG@h z2ub>qdBp&;`F8RIVA@`OYkbx!;@%?NtpX|6V5klMBdCgq*M23cyJl#CwR&30sYAMw zQKtRajg5kOa@=Y?$5C^57Iqw1t^{B3lVK$ul9WPCc=NFIc;1*8`CL|ECD!I{2s-kW zRtK+MNqM^yxw3tWNKUpG#nt`xyt3pBH^}q}CE{|)>FrMB4QjY9?`5%d-)Vp=07Q@R z^t!r_c6vK6cB3Ix<8UAM&3`r1f)5R8Z}G4(9cT7qw120LxGp^Uy9(_9!)1lwB+U!+ zH@;?^Qm6O^QTFyWRb~kqucX6H5&`b_N7q)(j#_`M`t;@*_~uop7YYkYRG-5rb)65D z0G{T5&5zR;DCc19K#t#TcE+UgDJYoF>K~@N{FI$~=e*nxMaX`+0ThX7sk|Q( zF}kfH?=X}yumjw_ZlBS?lD`!cL`E#KpR6w_M^dL0A1b>uc6@giBET#TkK_d8dmLZC ziDL8?gOVDtnp~rfefV^E;NvndVXj&g$zJ@lk>$5q^(fWffB%>%;KLPL1fhNK0!X?) z6lGhO7OLvt-feF<3Q4kzZg%(LQCYGvqLU}n`!f;ky;3NFQZM{`2pV(ulWQ00 zi~fr>exz~2WX-#1Nj*Q$PA_gkDbYK7k#9ojn1T6{+0Kprconwp`|GUo0rcCkqpMD~xZ0&Ro?yUW&t3=^8$VFJOq2P6 zmI`4L&c}EA=a#0erio73uQv$L0mCOuvVTRety!-*m&X*j<+Avd%DV84XcpAaI1$~^ z<%Q{@{FSky>|L4UKvXP|OEsm{HAB4x?7CVP6|$>{)4mLA^6G>A0XRCN%lrKU{B^*0 zIag*4XD+@tJO3s^R^#Bf+DRq5=IQi=YjO^b1+#xOI*x-)y6M`fQQ6KJ>Cv345>+K& z)OF6~DbE>vv#W3crFCeyjTN4RNP0Aw_$p3}t|m&;X`>WFNv%m>@|%uyUPg zVlMw`wM+so-PHsaU~cTTr9dt{<43s9=*mDQYaDw{47hX!?Z*n!QHX?ugi-ix3)a2v z%_ty2-Cb2l(_DTY)9C)3o>0ntd`oMWbIC74rnj}9qgtK@p;dg~{C=av-YZn_pewGY zH`KdLj^eOL`46erF@ckG10y5!9Y)uu$NsWZO>D+(3tn(eHpCE9zpSIe2D60hC^vwl z6yyliG<8IEEUxiK7bE=orftO2+WGy)E@#K58Cnk1-JF$ax8OSb8}RMf=2i}RL;T7$ zeZtJ?lNzN`nB6*LRB&1ss`<9FrN`5SWwGVgv6lzXdDzL!F1BHpYp&UKcM_8AZfkUG zEX^JH-Jd)4dQ=PRI3Z5So5tl24E1H^@qdX^X0H?!q%xwLSNu*jxhnsaC9d&pw%pi% z<=UoOE&Y*#3vs2VCa6^XhG3XwVQI_l9+|eQUoG`%hOy(Pac=G;Eeaq44%+?@kGOio zxuOVes~huwakbl7INwR2Z!ftC(S`?5u7vF;!Rz#PS0p_>b(tST>aM%x&0<(uv37Np2aqqLf^t`_ zdA#cQL9VqOJpPAmYOPPW^d@5BW%J%#izJsN0r{DOnkRD#Qi6jm{g3RX%|anq9$nXnf-^_Hi()s=ju#k{q1X-@HHDqoh}OG z=1<+xi;Fv*tk)%<50>aCywuvfD~cg874}}40~8ZmF@w%|leABk8m5lC5P4WrYD);3 z1$|oj-BaM?Xmkk)@cDq(II*^B=Sq%7ZD-yEdoP8}dS6^m&%b%&F*5qE?R?2LQt+$X zCAbTFUEQ)s3y9ZAf3_cMs2#w)cDn3|hjeBDJ0XT~+Rf@!do_o4&>~XE! zECY_O-^}XSBny~U9W}TX%2K7NgznOw>3?KW-W*=+| z`VT01r&ap(jo-ydp6W{4tcuc=7X{wyR#ev~JQ?W6;rYr7hv6;%Me4dL@1qB1>~l zo5l2cD-5QLj(qh}Fh&QzRbMWl^J?p3p-e9KJ9@7dS)1CpsV4-7DafRT&+NBpx1E7*AEYV<=k2MWAW8(m4qgo@ziC?QtLv24$y)vO zkMFu_ho)T<-3y*ubrvbrnR;C=T5Ns`Npf&3WcW7hGh0%leQR38cvYUs3JE<&HJ=kQ zhcd2coQ4bI#mJRfJxs5^1|jIVYpT3xlckE1BMWxUP8hfJ(K$V)#y&j1s-hHSrLzJi zS0~izE%|yYeJ`sZZY#4kXPY7e{XUc23Y?01^?=wM$yjwwzi@9GnPFQ*)~-T#oshQt zK#!DyT1%JHBgT34zd3-L9Jd(SfDa#8;dS!>rGN5oiF9(7!VWbEe-D87)#4#SJofjZ zx`RQBiiuToH!;dgl2gGeC7s5Zi@Jf_ij$t?+48e8QFdhtoFO!7)ivArrPLa7e=P}I z*FAVZJ*>s86v?3jY+HeZ`tOtC?5bqM z4$VF40Qr@Ym%(q*szc0rBhrKeG+tjdRM!*D(|zox_C+jK4Kd_p56k6X`;S8>Hgd?< z6I~Q0T#+2mrLoiS-Wq-re3vj`t1YXR(h-jwpJy$tEP3ep7xn4XO*>t?*8USOQbLb> zJ~9C%aaij@>`Umj1YKFMn%R#8?Y~jf!iicfv~1Jhq1ZjF7aLCj0t+m?w+T9AMtEv= zwko9*_tWw!SUG*ldIyCeLF-DEb{afCFhIxeEEyxry3 zjPr&EVtaaob<%6JVp2q_gC6*WZwNAOGmX*rdQ{T217;}7M{iw|hHu>j>3%y2IxgLb zV&~7t#E=i9QsC9exF4*|=hrXp+qob}q?zPCxPH$T|AV#tHdb?JGS%*`J73B?%9h2M zmJmh0+P|~$2$kbrRPTZ?9rsP`$e)(7(cHupAGxL=YOH?#p#ahmEd8|(=ds0w6&c0z zLY3W+!=7P15XT{{Qh+qNhbxPMcCbB1X0aCdD3^#_#gW3b^mY7mL#x%`E-iA-YtL*K z3!&YyfCND)@7^PPISy6B+|-dY+lA@Z+G^ITt(jeky{<*p?JlB)j#@ZtU3%| zb*|>DFGUi_4o|Srxb@g=^4<$ZMue=H$txg0;eXf2V@JhUk_jo=^wnPMouEInyZpV{ zxPXH;f3>NyGzSQ0kIl$Ww5DbN?-TPxq73z*Z2@tJy3rTTKd4t9Q`K41GGB|4#0h@O z4!yIVaT^3j43nlqRa2%~84d2{5-6!M3de*QTSL4MZ*EZVXuT>Jz+8N_KZGsXC)!3s zs=RUy@dDgD*Nq`mvI!}Ks9?*W{8Vex{v@ZU6#N}o8>=;xy+t-qsN=7fIct08Zo1p! zJ_{@@BUBe>%f7-cFoPNy*(sM(Y%5O|i*}X?K3)*Z-1)YL3<~)ycPyG3|&(?K6{Nj3dI8E;){l;`{ zPr95A^p_0w|19$mq$ycDIl!uu5qaa#ZV$uv2Fhj`sr18G(pOoY&?%5rxtTmbkh54S zzZYKIS|;f`ZLPk&r+aXyUf++81|gWDBI9&h@KQlzW8*s=l!b)_0s=x-Ru&$MVL|y> zvhi3OEB{@88o8K1b52rn`d!(q#*%}fa%Q&2UBk_XgnqBU`M$n}*0vW<3ZRe0a^SEX zWWrjt3ei?%a$ZNiR7J%g^@4))_gw4?6<~ruc@;k%*{;4C0g1bbzjK4NF zy^?a%7%l}8{t|`J5tZIisn@LGq2f*D)JI_jiJ^(BLbh#Fiy644lSUWkbxQvPnwttqKmiy6OMl+o-7#2aDmxwQLFV)h`L!w!~+ zcD9CJ)dzEfBme3`U$&Vzm=ok&G?mi582FV&k)%52O8uc|hy;=8HPph@kE@+s$^A$k zK_5Q)>cYD5&x5eTR~Up7=*ur{S}vag&D%WseSah?t6yRwr!-;!Q9@w;Yf43oSs0#tm4OuM^(zB}VF!K6;n7wp?%+HwHUR zr1-$FZ&*_kgoN2TB2ZB)80A{V#~j#D#D|#~RwBlOt&BoQJdD`;{ONxF3iR21jB!^4 zo(gG`f1a6${K@3F)vo;M?Lr7=0^~i_E5-SKR~8P3?8?fn0-t-^c-@e&lE3F5z?VE+ z&VdJT@%t(jFek`{9HobCFU?$2KE;FLpEIG%f`$L4(_iOG}9G z@c;Vz3kwS^tgNO7{>WcpJti1nGa(%SsG_zuKAM5z`=g{(EJ^2D z#mxk`hk^M#f%6-^0ARzQ5x$;8qKD!A>985wp>wBrHp5TJ(OK{bmwS`>9FhL(gWjcW zvBE`rmz$TS4ov^Z7RC;J*=qkoH(lI0v=_m^e(Pkw=~7U-84wp?uehfkf1I=`)M;@N z=Tkr{li2C)Z1c!_B$`x4+xt|EvpjyRzmi|9VT~EHniuCgh?Hs2?m9@I6~aXdlAR;$m2ldN3dHek*vkgn#f&~f-BA=*P5 z0;6>oO=8d6>v>LWHBX-#_^nP|-*1|< zmzph)QRFuL|J*45*)H9B@HqgtS@AHE&mVr{V!dmwFyN~FI-H))c#PIllUZ%Dz2S%% z>#Ll*=;vxm2-9Y)2@h9Ni1cJzHXXX>DzEQgLv&pM2}H z7$j958f;c15VU;FA6iI_#q&5r!o}HjdcFwzlre6(yEpey+{u-;OT%`X=Kucir;p#E zO*IzL%RfHAyGrD|D?Sa-$c>mqb{@G2RmoSD>GE0LueA;LRt)wbqGHg+mChn#beHJHPCW=MO-U5TVt=qoD%Z_$D{8h|>E4vbcG7w0(~9)Kjf^ z%Sr<-$Nd2SOaEf0i0|s1iX~H_5Y7Ja_dc04ip%e7vji9zFUG9ZqLqS;Kl5gvx9J-_ zUs_0~n=(Cd-%}0KISJ8#E+SA>JEw{H8>xrt{TC#{;fkD&-I>c(6f}R~^8m*0JDt^A zy>9=6|6t8c%t1Id!qoJ=NurW|*Uy067t|v;av@DjUZ`-feFiyxWfVG*@D(lx97c zzB#^vbzU0t@1yCWx57=OkM3N{{~zqV1y@|l7A~3)A%p~yUqyS~NV=j?s%81Map`xt95R(IE`RWfVVH@`WnN=Imp z5?t5ou=z9N1AEPIC=JIoVkBmZ!>Ti~wP{PON?Top;Z+=E;^}(bsV&;3rb>@WCtLyg z7|A~`$qXiAVPb-pON_jymd9VL{YTdWH+xw3*QPJpX>I@&WMPcPG=eZHQ>9{>dtW_f z?)&ETS$?F;M!I+yX-esJ`qZaKR@H?%)upa;3&pNWbkUJ(Q;l_AoIbyv5^Wyigg$n@ zY0l%Y<>lVfB*^qP-CdhrOS){nY}RK-_03kcn?)No@5^GVb&Zbh&(56^C8<+KNJAz} z7N{=Ig^oy?dMABWuHH00PMiy)oM99y`WL3G~^t8(?+YlOg9TZv4o7$(Q z5Ra^mLD4{L(nc@t+DOF}W}DK2P7Dlwg_nHp^ppkh)y$Ds=e$9&hES0wZI*M~Zr=zt7Nr zbB?1M-?mX>PY zPEmrz`&+4dp*q={mA`CfOearr13n(6B1 zOKklsRX07S8UsWv>P639;!IWSKQwDF#KOEhC`uh?# zcv1=)`}2qE-Me?p%*;$oOniKNLPDvD*#n5ln*IeGV7^_s>o>TOF#kW`QaBBEHzwSd z-r@t_IsJbL3aql<@ZtZT!T)V-0Qx4VCe0%}Pw`~!-Qll?e`ycl4szitjPQ<%s;cKt zo_q@lkp)g&L?V&>{W>DQCb!#$p%calg6UviT)DlG*Lh>N1I+^r2@(!H5zy{ zu-GP1_U)(`z`P6&4q{+ntOG@RfB~GfIDG29HoZE_s`~)24E+p90F|XUX&dMOymcE; zWH$%-X?3_N@~SE-qL%(1E0z84_b|{H?_cmo#>6~=U4}3T|FFL+p#|_7=1C0Tg@38c zLGVS~ycFllW0ZuLn5u@xrnIdX$0X)`dhW%gB}g}((+*2|p)ldUBN9$8$^Rj(^{;}j z?R*qWhw@!bU9Z1-0-O3J^MDe7ymz4f9_Vyft*P`GfU7>-nK^2uHGF&n2-2hMpHR`e zfxY7``SmW-G-p7&auqT=U;(yh0FYECXMC(x3DBu~jaIuKL{S?HM4_~PfWimj|A0}v ztH!^GjYb?G-0=qiPSAyeyR(XbBa6i7RcKd=2$v<*tOpRpt4y}nUxiUVBYc5LcWNMQ z=}rM$%e)~2%wP_gv~A~Nv3%9WFzpbkylCq#PIW0;_2?{qk29WvTI~xm7d)9N8M@or zd@1sn0~u&$Ym{YPnqBWh_aD;mEj)jjB5)_+AzYL@NRHZygT4{|s&c3E2O@wPW+VW20RJ?piIT~3l&;!sd!Ry{6v|G@>FlqffLP0{ zH=ugOn@Ujc@yq&l+0kEwt~VsvJVy|_N}W_8_6dWD3)<)O<6U*LuQ68b)d;vYZiH2x${RymEG)3CwI-IJIQ^mrJ-PY)Ta#CK(8 z%$#f;92_hxEC4#;`Z)$hdW^I5Mx-xDiqk5=xga=&QM;DDQ9^b%)J@jS>n6HCN`m=k zUJ)Q*)yqY{8~>`0ABK{O-w8wI{|t`IwsT0cbAD}ba4?|Tlk$JH{J(2-n|!FWOmJg0 z6N#DN*x|v7jy?g5+ZMZXC@)7b^d+1PyUQuAX(;L}kdS&&!v!GZO=xEcz71P^e5&_uiUC}VsM+o^k4|o)0c>uB75Muu~qWkznX%h`pGnkJP1~h$WZ&66!kuF@^$o+lk7E!~F4xvcQOg&{xQp+gNW-nPQYxpIzcLKQ9{R?vN5Kt-7y zjX~)s@hwCK^DwyE-AMwyB!iN>M)Qv_svFK0EU7IcA_4{}(vlVF=p|P{w0%QHC5I{; z-O!ZPq#;z{iWsrocx=*r1xI3R`d}(m`el>S>U>6)8db_=I29GJ8|aVem5g2q-D1^) zo&y}5S3~884@Ic4WMVQHSs2L3SnDfA(pA6tU%j5g(eqvNK6cRkAZ;pdDy{Fp>uzjk z{@KFlR`+5$F+N^XS@}a|+(afAy1cS7Sfk}HGN{TNqZXHylY@$l9@cb9%`3X ztg6hC$%{pqXAxKke#l*E={?eLU#XHGSDxWFjqcOj#YyH%y`E*uWC@-lwGD#wvx>@z2)SEV}EyTPA3?&2jlo2QMkE;U^AW z9#s~Vm2o;#=2%=nEAZ%*-7i-Cjh}TSB%bUFY?#*@H63Lq*@TzeTvjkY@J+6v&dl%q zWt3GlMIqz1QZO$bB^UUHFqG}}V9(Eu4R$j(#jD25FE@~Cqt=EXGc&z22T0zgsdTwmx&qr6_@Ze0cQI^flR%{DSJ(r`QeCT}#q`*%Sr zND--CU<&W=y-+N5ttRE3Tuv$$#Y$c&*o?8%Ks?oI= zdGOX*{8M|R&0G_Va(lMXv!|y=t0J64fPBB+=lWuEtc3eQ>jCJr$c5PFZ+f=$uc`7w zD;8j@Vq#S0A>qH691Z3F%*wOZyWaR96B|pqA7+`y1b;@%G;<_*rey_)Srly^uwI+* zDs{py)kBFZc5=VI6pqw8l<1rARit80VXZqoXyms19XS~ssWIW-u!y4eeX|v(Ce0vy zzNP<>$2(3FzGyB$G}%9|Ai^7z!*QGWMFQEsG)$|X%^yoYLiJLkd3Rz-+)svS2^Ec- zH`3A=)kk1+nEufg)OTrqO1dO_#%4HpwcvZbIiCuaX>-({{3+zF4Jc z(`lNI+D*nTagt~EpYL?Z3rO@L@p8dCq&JJM2FV^Nlu_MLSJ=LX;R_4mEp=|gFD&3* z9fc(7SQ>OGczFJJA`VE*@RU}j7U+EgqHwy9*I(g*Y_L(v2^{I=*p zl+FwWoS9IlqoWjR=*)x=DdSx`{UOXLhiBp{yKVOpRRFiSDG>YTDM zQ~>I}a0Fh}K_cYS8$7L3W6O#6%!zp_xz*qfm)-NLxkEx~&MkMojTnr|A|$*#LOlaq zuurJ-{LPZ5H?MP5Yl?rN1C7@VAgWl$RqERQ{9 zBCP+@7XEm&yp7GDnejW!Q1Isls!H$|tD~7P^SUmkI?BUNhr=N~$!sKh<4jvcme1u` zk;N%)h#I#|C1`g45MfV==x=1&bP=OUg zQXG%XqF(;#NbR(WlsM&flk1KRFp{Nv9)#~5Fr!c`h48gd3g`8wuM)}r5uKy(c6!%` zJ{;WLp6oGkrC__pIxvFlqbNuotk!qIsSk~>^xP#eN5S}r)xEEe2WSu?hTUJ9M4#!K zg{(;=n0cEQ3tH-u7r~8b8XpU*q%sz3dVzH-3P@+gYdw33T%8K5U97PI12bWW#p6 z^cV2g-X5UfbBjz@dxqJd#`Z%>~q%6l#dTJMcm zCnQc3#j+j;ARE&M5hJ@&nZYWgB%H4+=vjnXjB+P>yzY-N$WXEOg~q7k!6r}^W&^IX zCn0QATUAJB{exi-SO3I`n-1q=DTexx#2gBNx=zdOg-go$YGk$M>Phr6`+8j(dvz<( znr@;a5J5Y1W2`QjwXCLwTbwdrdJ5wJ&t*Y#cq^WM2F7(;%**zfKp~b_`BJSixRyRc zCE)|EPpaw|Z`x_UBn%)DEYY26-v_dzJqnNgzCEw(NV3@T2 zdT;wTTGiIi=t1Xe1X4D;i0`x*DNsHD&V=H1#KvRaI9L^l6y-8iRJ@&@F~M;zEI)*X zj0Qg#er9fw9f$w>;`sK`mvqlt9ONp*#L{@q|0oB{3UbY~N|RA;^pY!~(-C;YMz!7% zNOCeG+1rVii?d7edL$D9W61C7>c?Z}IqL~Lt~q`tyDu~_x7Tq*Z-#CNuLLpCg_5_2 zG*4BzHg`Zc=@DCMUz=-ubGepcr4I%))wKiSoR~3mcG6N=44SeWdT@X11j^7c)3FTm z9H5SO>&Li@K%=NCH-zJ9d=DHI`cT>cu7Whsp%=%lkh*L>4+KA(Jnmm3dG2skj4Jdc0ll=Kn8 zIsI`SIgGt*>E3!Xomb+_9I8{i*)LFT-!j>HDuofP^Zn+w%cf%eH-zPjzjORN=Dq$+qz^Y2Aje;c$G39B^z{85UlbjkY5_ zCnx85-xuAr`NIUmAv;p~n^{h?#{tF?N!YgzCmV7ph5SvnKE;L0d4|n&$;fNN_%~Cj zvLM&xA8-0jP)O21=iJ%H*AU;~)={%L+O_F`P*C#UET}!8_JnK%o^Jxt?)ZJ+ZTz9 zbg{HVTOB=O9~kqcWrH#xIdqT-j-1$He6yx*=8q?HbPSzcy-evipkU}Q#Fe}+ua=gN zq9*qbk`x_es;As;=&RQm0k;P3RsFY_&ANrJMnfgm7WSz&WrX+s+cZA()o zc=Qhr3hb5Vk^Ivigp&Hzt47aRSqB};q@USp`^e}lDKHgxIVBsBVrf0>AkeQRNmp3M6=o1{NMP+q=+ zJZD?qGZqrfqEjkkLWtSRZ_rM{wA@3_OJ`GCuumN`{BUYR&FtD5`PhL$sqED9X6Uy* z^<&3A=Emig>5f@iD%L(J>pu}y?J2`%wS?yF>`<0-5XV^SBcH9V%wE95A)%ezs)$sN64>O)<@JzOVu+=J&^3JY`n zlCq{dgMw&Y9RY&Rr|cRDe=!&zG+S@~ymo z|9TjqIxb^zO=){(+k|1;r&5Kn0RqP* zI-g|yo}Y`NFpG0B3H}uEdzoY;{Fr>wTTlW`8+OkoQ;ttgnR(;W?&C-xSPGaR_sW3U z=roE*d;&g&0u8OB0?F2Pi%3}?AHgbn z;2^U*&dPElAZPToT`)&QkoHdGP&8@nohtiu@^DfN*-%1NPqJ^_x!5Km9({=!ltUX?Y{yrWpDjSC9vrEpg|K_srD<({$F3nJb~;d zn}EUc^8rL^ap?1&yGqIe{E)4*v^3uTk)=ANlCm-YSgZT&?y?+s`fySS6&n{8npjZx(Vl;PcwOhoAo#pnOz4&>=}H^^q$#e)Ia zo&{W!m&{S&P@sW%Y-=(9f)IBog7c2Eq_+9`2&jhoog9jjfHX8H?_x55pMh(B7{Gtm zPS%D%XoIjXP|=MIE#O};<<>tv4fHC|x?xO=fGDhC`?+L6@t(rP=;LHoaLu>x8~HFZ z{TSUFAR)e!fWIGd;s>4}-c2AE2l`|8m4zhebZZpVn!QtYc5W}N)mM)J=@w6QE*43b zl9qn?~(wNJ(R+hAa{xDos0Coxk99-rZzVV zU3;Hwy>Ti&$-Q%v{nZ4ZJ10Wesaym(fPh^wDk)%|BTLF-5iZwEFunj}UvDqv!kZT_ zgnf;TjUg8M^@=&laoD2>7X5~I5wiCO4Tc(kp$_(^_)p!hM1V%T5h3A-#6WAd2il>o z`yOc}mfD98_Zj%tS%@i}Ra8`}RMUVTJWTFl&;F`fvoMgd`Db7l<(Ho4|GyImQdWiwOMl-_!LcUj}} z;VTjy9qkQ+UUh#o{%Fq@m+Y!oIfzJ4PtUR^%165PcRj%9b=`(CYj5akI|h3loO@|M zPIw*-av>G)5Q8-=GvkNwevyY+#4;AR{1Jb_C1f#jRvZwdolLDd^uo#>%^yX8U5F>;QO` zHlT7wUyXP+uBRj6Jmw}Q9B(7#QFL^4M+0+7Z~v!ne%$rVvaxsu&^NOH?zzPO5q^`G z3W`gyGcqD)aL%KN}t3&a5*-cAr%Y zrJS3oKUV{r;j%nCX#W)u3rV05Q-iG{ zs(?BdOuWm^mVo5;ef!|Y?wt&$QXsQPGZ5ZHw1a5={1C7qJ|Tp_=Gsvwl(81zTd7nt9FPE(z(!K6#RndQ0E_upI2| z)w=DI`)5q}OPKrST2NJqV+!IC5Daf9J~u#}reKN>9mx2IQ`g1|t_PUckhgh3vaHL~kbcYqIWDk@3U7x-iGBno zLWQ13((+e|kZ%g3ZkC}FwlI)+H{MM0@0+$vgwM8f6#3vrk6}>!7cVIn4%bQF=6~*|2 zk182T`R=Pf;|p@MHUy5aBW3^BXei}~_3tNwC@Ji?h6r;Hxnv3fL62{D8N&o~eFH-^ z9S=4eKhW8gN@q^EBtMct`ePETxqzvj*HG^tADbqq>@4yR%L#BnDnqGJnp#?U7;`gI zQ%Onnl0}OEzZ}K)1{eYh{KHs`)s@-d38oxTIW0z)A%X2!!sO5;GdV7^Vox zijJfpj&?z^vl>!JMDs{ybWn%7IfngCuo&JJYch1nK($B|F6hW9{*WgWP(h*zSjnHs zH?>6T@bphMw?xBgHR4BJm@n)vbpWM%PL7N?xLP01p|j<%iPC44!G*)q(teJP9?$>! zA{)m%$_?vjYZ%1wU~t`?6>wPm9iaHtYoiEh!+|%+m9|%w4TomM@*@U5$Xg*J9?~IxnAiD3DOsh^63TQ`#X?1q zjTspt#%Vu5GQiwmPAm=7X++h`j)u=96iRd4?LocL!VC7&lnf?ANwLyMvK^=otq2=u@K$=iCn%iAdBz344R}8Gu{6>8!(FmEn*w{Ol1bm#ea3Nm}r7kiQ z^kq2=u#?H0kPa-dZ{7Oc)Iw>!j=-U<6GMCkNB{UCJj@&ZmRE#6MG#Br$jME~EIUUc zJO(Ypyr>}mtJqG8fM;)SZ?){Uq62z}c!o*$SA{jr{&Qsek&! z^)Q#Uz4~{qLqRJSiB<4-m8gTvOJFY|W`RV?IAwGKs6F{Ng*BS0(>05ceWw1xehK2F z@__+HSj_+$!IZ?3aM<&%VZ{@RwNC1U>GiKr)Y~o?G%Kp^a?WX)pW8A$-B<;`l6%S# zGqnAr(Tpg2afwms#q@pkr-do!QU*lhp1$-^` zm#9R*1TzOU&}@M1FaLvG0Lf_(|1|02Q4EDAx^PSh!%x&Y_;QoJt32faknNe+!!>aV zL#anka-h<9L$y|fL(}?B#m&vlK%mB#FJBrPuaPi54As_yD*ah)k=_X@I$TF4@;3e_O-fdWb@G&jjp4#X0GaV|9ZLRxm`Hyp zg>Bx0Ci9R?(jJz^HjQHpr3$9>Uj;jAG<9~RXrt(QG9{fZTMYu`WQu!+6L{?#U z{F<%)s;~cL=w*P_=3fL{>U!=co4zmjxj8afoT6E!9DevJC})Pisj@E=`E6}fX_FV{ z2xAljEC&l)3MFOu0YzCau%@%YbOkLL2D4L|z*|J3QUPNK$<55} zE&l*L{0e7d`ZK}K?Vr5iPo%^`c}O){IQ9%Stl>sCA8hduEfe}+M>o_wjW(jbosu_SRr@bc{NFYP!VZ&20Xbd+33O5r-{t-lLJKCk!T zOViG#m-8`c-nakd2?X#^7c({4d+p+*yyIB(>bxd42bj@wT2tDp7PH~Z>(fTuf!i$Q z-GJeDyyaw-W8-XVx=F&Jlv7&z%>`l8_mOTOBg1IOwCIgRAhs;~YVf(j(1OaO92y-B z0X{z0(*3x}Cq@ zrmxz))V!k$k7#Cl!~IiJx?73bxHv!8T9k9{S=(N>@%h_2)GQKc9t-i&FvnIZR&#Wy-JW%7%spImN{*# zzs`V0J381f9fKl?*mpE2vtCwmjH^?=c=Coj@2i1M55YH+t$Fj8P?)Yinx7OUwMyaV zC?!cVm?9a~2lh|#7eC-4eSLMK#3u5^KLTbzRy9jnI{|1k&)biyP$jCSrTn{pZ5`Ih)^Ca=3aP-eRy-2XVN*7iA=!W;refnyhhjSJ=09 z(XprS#d4gfWKCGlk+RkM$T-k^;?%~c&18yamLGs9vY7*e8XzR5Zlz263z7;8FvrQQ z*8fmD72CSE5CWF4!V{<0upVTlsxgPQ~wwd+UbELOt z3BQ(8Nlo!1hs99{1MlO}>Iae?SQqu{!Aol5(wq1S7mFb*f|tW66$0BX`kX1%lqs{Q z)NSu z^uM`Jk(UQOCF&%Nk~eBC4O(Ar2ix5AoA#>Ys9ixo^t5z59&E;aUQ4@7yYFzi`S#%X z9Fzu&mv@|x*tMSthc;#?u8E*u7qRK^(_y!(9fE}Q~c|CV^W+Q5@gbXd(D@e(~8 z=IPWk-W6oyS}NqkNLKTC^D9*leSYUG%7P%VE%UtJS|;nM zntOLes0$J?TCS1q8di5X%~LUE+ks$9*i!p8hh-kPjZ~mv)}3f}zUVcpR>S=|=xPn>Mo?FBDhIWeby zL0+$24O?=HpsEQiAKons74XAKgXUeH82B94vrH_7G+(2dZ}W$ny;h;k{C1b&XLr7TLC;`I@&qrGriOJ6ftsM z`hdMa5GF*?&yF=+@~wIQyoB$%>~`8QrfzS&%y)aF%VJ-dm%?U_M>`veiDffQ_(SS* z<4KFH4j+o0PKzoA?msr;vc&_{$XDe*`7DpvGY0}J4 zRGMA+p06lPDTm#B#WcZCLC4kXV58nxi&L~Upr8VKT*sj9Hlv{-<2}vs8rCHgJ44O# z{0qBh5wTvBV@OE3aZQPVQN=J5Z%qS-KrhncaCjM5!sIp82l>*sFn-h$d@v-b&s=@U z@5W}j0k!L}LAf1+YLxPq34Zh0xO%-#QP$DHIbBX%x~VW75h`4Nm5oi_nvZQ~03L&aUQ=p>PG=^G3zAJiI|NvCaB|$zasKs=U+kNE z1e5gwT>AZ#7xs7{4bl{}MZgB%!}kkIhu$8R%xRZ)&Y# zEU`V+VK-qT>t2@>r#pHzTespl3e6`$c}L^?VJ3O-)<^1I2bht}Fpx!~Eh5Gt+&7)B zsa+OATQQ|AfvGs@qqQViW+k($0P`{S-KX-zbmm`0_q zPs43o+~Re`Hu?<@v!}vETSP@EDD+93XB`*Saap*B3`0U|ZbLp>HfeVuvHpazk%?;6gbmleUk*LVQl;5pkW#GjQ`p}aEzx|_E)7b3lWQEg*54nBq+j)58@CHL| zXVF*cm1F>eq`pvcm^y#}NyB5Pe%)+ z_Q4c=SGvIFb(v64ZQKz5p65;LBkOZ@*ssk@Oss8L&3eBE^|M?B9OenGxqMNGWl0T&U-07FTS3dJC!LFWA9gr>J(=cMk^Ym=^O4tAU zYWjh!Q8n*drdQum^r6m;_;NbfT`Q5OS=%sl{)|^(z{z@QQ-eVROCoA;o{At((d?G9 z0rq~)jLyg}w6Aw&(3|P^tWC{qy}nwR|80!`>mShH9F=`>QQ#w$Fd1-Cwc+sR^0D|@ zn#E?Gx)hr>;uuptTPP;td7dTM+;lk)*NzFk5P)nsNl!-EAhV!Ur~M>|qj!lJSwDhp zlkNEr--S^t4O?tlNuKCpXQODFc3cKfkVr69CIUDnw72oZ6Wl%b2SFucx)+m~^cE(7 zOf`>O-|-m)Hjyij-5!8z2GOaXREI~&AT+OUw_uOFR8Tj8p4-iyuCBYqy~oJ$kF|f& z{6#`8OBdN*b2$k+nAg_#*wd=#3j5dP?9666C?;;)x<*zrC}qkIWnHIWr#d+neXdbiwa*& z&C;n>oto5$U=jn+H-1;tmxmHsm_wJomnWpNw$6hB^W9+>S>3l=hxMo(2WhjG=oq~@ zo@52cuy15Y&S?eT7|9upDLVTS)bpw|;_;ls%*2P3sV)b;Z30XqPv4sw#U{H;mI`kC zRHH~wXV00FX(;w*+Q?Uv!P1xv0ve8nQeSUM%;%zD1;cpv>mAbzb z&o6^=>VLg)VrHT0giI_BFovRR1>+6cpfg5LO#k(IuHt>!1*?ku$l%|Oa5-vatH=0~ z2m}54<7BCd7e&SV@LJ7n&&%;{`M}77HY%#I!BmQ}J-*R`b>?^>sv!E_qdor*ZQiC}wiF^_25T1bBEnan0AfZS@Pksr2XFB7#Y1 zNDuhhws_84TT>9*HAsv$y1?gDF)_7w?tHv_TT-Q#ZM)X)4fo2=>=*)4$pKxX!4!>Z zlIDI!b6wrHCwspwv#mIyCU#ce7n-l%S7`LQ`uR8CBR$VTc`yb`8#7rHbt|0hbkn?TYtDb`VB%eN!}f zU>7p^X_}aC;^ZU@148t4vLlSDHR}CvwVstadbkv2ajh69-manWg2>u8KlThkmw3$K2y8i4{)!}6MMc{o2H}Qmz!BPuuBjpOp zQ2q84U7m-%qAllo!Z2=4{F1Do>k);Wb@uhHgxwIwZ0t?-1-i$1pl{XjVDHzqtb;{$ z-+m@~x}&-VyPJd2z%UYCC;Qt4sOxz~p|>n7MGW=+I@Yz&b+Yt)xlE0jB$@fv5@z{RoC^UuY)#8a(bjWzd@NTcKtLIdcy4B(c4YQ z7k=DSVR)SQrKA6?kb_(Qjmkd1DPfOy|BfCCnL1Ntyd*znAG4!=Wyt6c5x^6u^Km?` zdyamxBjDt=8%E4$>+x0ji}laOLo0P#evgf-!3UmPTRgZ)ve#j1rwUu3+jnA{2yW~W z!IO8on~3J^NyjDL(aeq6E^nght#`OI*rYDn6@yHSu#5K{9tJw~JGih^oTZIQ3)kx4 zY{=@IU=#GZotOrhB2?;oIc3Tmb z=^TNghmEyPT5N8`%n)*Bz*Ru}^1X9^%rez%qxTeN?X+l*%!uJEvc|4{St_`DgnTTp zhO|~F&MNL17y~VJy4m~k1gGXj*=N?l5n3USgm@aJntl=G`OJD(l8YxRMh0&JFFyUUsrUAKqV?pN{G4^ z%xvr;Dr2$u1ZH;;*cI-c0@!BKCW~kG>R1L~&0MLl&^{y7?|^f6Pa(w~95&2@Tr~t_ zA7lMo&Op~vL{-LE-DbXeki6P0RD54bPXG@~VR2UhL z$rT|=6v!K%E|paLL}kb7-cIPUfUfrV*G{h=IclRRfLp9O@wQV zHor#kE7A+d&$%0GE{lcxesXlUPjR1iC5$c+6!Fn>2p(v8+CguIse$W<->D;e0_(Oy@MFNFpeTs{f3~ERtEMOPLnP; z5%?2!TSTpLe5&h_m~$QxPtr44RQoMDW^|*=zJh!`HXbI`ZTrS67!D^3fX9 zq-`TZ+9@i1pym~SBM&SMTy@#BT2-IRwUkZ8%pgvfrj}Q^vdwCjFkSUQtrVQ|(eQ5r z@1Qjfrr_>eT3u7?NhpT{8zM^%d=~sR&muKl6OV$E!q^Igwx`?26uv+0zN*d;R?nb1 zbo8~hl2JiHyUZ;Jx|Yw=SWC8XM@4fC63I4^)bQkb7tO@G^q( z**PNbgWvrRWP`e76xd$2`nq!DajB$la9_NPk-_v00S+ghh}p>GCmUi6TvKd{hq?IEk#d4xw>NGHI{6H44m(FyhCx)^AkiOQpj zt4@bv$>gQi1Z-FF*Q{UtR0U^a6fTcpZ`Cg1cRe#`e~iZR>J!Rx=VeUFrm`;e1gq`0 znR$$1>ae#aQ%eOWGbL_q!#`&NW@Oe1Ck0$@83Uz>nB7zLh9@Uk6Pc6?vb6i*q;o@= z(=_25qn_Uyo$F6B<48LR7J0sj?&7aP~mU* z3Y$aw2=sdU>m~5;=}WFbIjC>zOV)ha&m4ngG0!2oq*K4HJ*w=4OlD6Q{XP?%j_0F3 zwFzO_9mC;Ev_ye#TZ_??kqQ(4N=PEopcR@&78liEb2Cn|ivQ(e!gmho zwJu1Iu1Xyf)R^pBwLSW$d$tK`m2{}j>W(q1A~6+h;M{{{e?Be1kmGfJGbgxh!46pn zkW*+dCOT|96@etwYxWnk5;Iy~@{Bu`uNm>3f3Od$lk%}U&9L1@?@MI&iPzgL(XN{D zTA^~RC70=)Ro-+k0hDS+X2p50~#dIF)p^W9Z}Y-Rx&gom=k-*Iqo z)>$7%;WS!MKKFt%tF{NCcfiXGG_!O4A{HuFf$lFrd{8~sT`w{AtS%?UNkpo2pP{cl z=O8Uh6lKbJZWEV34DBf5&jgp7A4Ax!*Xf*tE#@556;<_}omr=dk%u1F5AI(UkA1Fi9}EY%So$9LxdHVulAB7lUr6Vz;MeG5T3>QOvIgeFo|5@Yt1gw#M!1x7}Z8z57P=Cv!AI zwC1-_o@OU+t+m{kVTk*q4N1>c?=#3{{Y!|9|MW^{i```S_Z9G`_Sg}p{y} z-N1K@_+XSHVtQ?D%>-v+h`oH=+QnAE#ZpFwNlQUSCPPHomDR1dI5~K|_sY}GMz1Z{ zE%Cv9x8hX^^-(C5j>KfYrl(r5x(x24bZ*xp5`GH3!l6u5F%Oj*f%(Ikt?A-WsKv=> z(Qxh@+jE(ZH0UK-CrjUMPFe%CeNR@*Yed{zzSDR&RUb>Obgtwl|J)?*^}L`Cv8Y@9 ziXte~#tw-fnAQ|p4M8>%Fln**-h56)$zNO@7Q40#nMP(qY(_S5L_`JRnvH(U9Aqj+ z(<789mvRKFtc^Ee$VP;XN6CItns(%3-`d^T znc11$na>~<2huK8lM|}!O}nuUJlC!K(1Yp=AsD`h;}eA}?!0%MV_?@l)PdK{V#!SZ zMD$mJlH0@jaw|OugCV0E zywN|$z^zTMXmqB%r8CgY@U^DDE8=d^(Hakja$!S_vy#}wS5z#@iCSaWtl&k6cHP66 zq&cN@v5mV~KXlg@tEsume-5=Bsu!BTmIqF!kKpz1nBwG~;C-t)+5A8mNmo^D@#f}> zSkz~QM?%GpWh3Jw^IW>xZw^foim;pvBylxs)bFFeogcWTl%s+3(M=IH-;I~9d9I3? zMlcjFx3GRV?NaXsH>oLU2-pY1&L?l?XaCO4_P5(RKHEzrRF>1=ku+IO));*m%G% zXOHv7k-2(f1UQ#0=d|n*cBfIamwA7OSslJ=q7{gNCa*p7FatFu625LAl%OK^e!3%| z0>RE{hLmO?Ux%`4J75zOE3!fP$-lNqqr2Lkx9MZ`zE&C&^b=)f3za^JR6!g(AJ!vD z=9gKl=JGGm2;(uh0i;=?XY7wzn!>sxsI8+I4acWfMC4Wlx;EH+_sXTjXrn zG%~9xCh9*Tk8SV3L-{Kv9M(g`)`N+S-kT?erQI2eMwUGU@s*xy&2opgBr$|Zg1wFJ z&MDPrtILc|)H$NIW>+m(ca0$U>v`&#*r#W)3aLuj`!UCBT;}ZspY-fjn631%qb(l^>x!`8m1R<)MwhG@*^XMBeG;P zL8#T6fsJE~u!gH_B6PQbfl70C_Xe-VUGWeb$Uz5|mUztG^cmqOb13J5oW;WtkG9FV zDae)&%!oP4{7u>RQ+-PQ*{y`o95(ays{;Mm9U-A$hJw=aGAtN4F*%Kra$z+Tf1=pp zl6Stsc8qeOa!LGLA)Z>Rq2?0piH=#e~p%Q;vEIKg2!#h~reK!4z?!F*Ul~eKRqE zmB@1~X1-C;4&~UY7R>jFbSV^}Qei5ziaQ-8y{RRP9aZ9#Gkr0-P<^@iJ$3HpWGF=Q zNl$OB{Z@s^PCcS^+X`bdzx!10?2l9zwggNDih;BNj<{df*CWvdQzx6k9d&R|NiRld z%xLA=LSTdKh;*#!MCoF==k&{r)7b`O?fRS6s%mDA13db&?P4)b>~}#IQ9m^K>~}`y zGGofO`c1d{RoHixa}9^ zZMX_obQ5%S%_S{!Cm?a(e0*u^M??jR)c6vo<&5GK+LXKCM;~{H`9o;;6Tw#ph~N52 zp6cnUX?)N#tB@Ola|`nak4Da8w8k+O@0m_e3o-D}^ZC;Bz$E---lq0J!4ZSmv4(_F z7?hYaIlObzl&4rV>;Vp8LU_h|FQrK0hYK5&vF*#Wt*?#Y2gr5P#L7<++Ov3_Ha{ra z-cRW6f%h01w~Mw~KSm+3Wx~l6^*uX3NBPof{iW9ii^)fc1uAK^{EZF;73JKt^4C4Y zSYm>t=w3p9WJYW2IH%2}Y#nXk#$hs67LwQVrGvQo5u7HzdYQ+a1}Ideh0Zpvwr?n8 zJ9>iyLb~BmDiu;WHiDOmp+5p48Iwm&ahF`3$`NpmxOvC|^((~7?uXyQIYjkxG&({t z&{E84cm&ny$7GUUA6R#m=+Rucy1iP!<$d{8iAloX%jtQPw%z*=HRGbV2)+dP5Abnb zKf$F=AB61t69hLc8`Gi=#HH$fSVxu_BY7pnNYC@7=xlR$9|G`}#_IwCYsHDV?b1wO z7V9J;w;)#|57>=h z-{|As-P0+xnU=eE&lF)OU^vc=v3KE>TRgWvM@~eHDIVRxgPVq%3u|dC)2BoMnGZ2g zo~BYD4A19IlB7tamwR_V!+g4sZs9aG%yFeVTlA~vzI1^a#(n$s(fl8U)eqdoq#>+1 zEjf5BlU6kgW1oSTNNOg)IbynY?srK_&<&F1tEu+B?)aQv1qxHG$0ifRGRq&lNFgqx zU2C!FVsPS)aoH2t$WTF!J#0iVtygGrQcy*mDXhv=0#5)~Xc@tqpRym^6L4HRSIRjZZtPW%`axJ9TVFs>4lLam;V`Mv=`pR;~u5-yR zC{>JCK!q|U+H&zTd_9^$52QBrqaiFFPRFlg{k#PVdSHU&6<#t3q8sC{m5P>r6_^uWHPnJ`sRj z4khnEr~HhK5<#rD4hK?)L117Y1_p+y*CUd2F&1U6m8T30@Sk5WV%RojAZssX!boR$ zY;0`SHfEaq2WerVBVqnL7iHN@ro)^pWOJL6YLWG5qKiV+)e~kcLAdo z;ieel_LjVCD=!S|6yT#t2iNFdrl1MNG#HJb%$aPH#lM(r>KrUWJ>>l4g=cZxDNc)9 ztueb9_+AphG>UcqN)7Q>0X>5!y4gOH2ny)A7M)!6PZ~l0HT(CN0R=xc;PD;aoF!<# zhY{Zo$>HwWjWyt4B$#MgW5N#z568XBFu56#p1^qY%H+5;zuxmOU%};0-BcNp+zaV$ z${Y~ct|aN%^DLC_b@2dHorQu73DB7yNev*8&wXfSX5i*mfbSaFv=U1{Et6h)6OlyU zanH?h&DrwP=dT9!Xepo8gV7Oy8-HKSGhSo9+NU`<5_B`(?~cIOonQ0S=brarU*PMD zHG>w3yBah5q8|VW_#Odk743~E2m#kwLIRVMP32f9MAZxd&sP=Nk}?RWR0`mL;6V@{ z(*&{mg!2{XW#Z?++dyEt2hGFo*wp~f7c$SZp}|I2WLy3@rrgy?(h;QvpMN9Mv?QRC zEmv$)rPVoM{lQD)YI5kofIdSToaBuAJ#6y_Xy~X;DW08Jpb~AK#uS`D#e;^-sl6A$ z>%IP-Xik^#n;-IZYB&FN@ z^u#YDdluc?hIeTzg0&lc@&xY$Rh5yDfkCxB@PzaD zQ1pRRWOf3?%!TSmSiS743$i+0k5lCvno1P1dTEaf@&<7>D>2%UWcwtjI#thXz4LLp z-{pDxd*cqZbCwv1QsdCo@qwR%U4w&lzOCU&4qaWJCF!vR7UR^6%ZgAQFZoWonQt&V zR>w_kY`%eDVB}E<^1015ND@hO>Yd@l)F>4RILm8EC_qm~%2wa{f1*r^)XMhnFxS} zZM!=NUBhsg$xPx`Hwsq$xRNoH=k`7j9!20LAll*K6?oD9GhWjL$(0r`j?&1QyQBOq zGn&FyXT6O|y>Xm9llDuA>QEfZ$}t%=o9~5Y`9fYvix({X*ZJ`$0q?~9_oxj8g&!Ik zX0*O%wEiG&QSAv=Zhq){D?IUc1S)gP@2mmgB=);gzK>5pFktqrY&c!@Nv5F%zwwm(w?_-+4D6V9CGsB0E3KWo19=a7DgWyg;9o;n4gh; z)F=)l52#!xAg!Ap2m=YWF1|a!t+4rh#fsAg_eGCBq)iEqS)MKX#o!J$NV!xso9&xz zeG)J9jShi2q)qCKPy~6nHkDl6XR_!`a9;k^aG|+ihQ14SixfWUEk}(|pyHC9Ct+l(%>4iw5gT3O{uLtZ1NGVI*jFLx3IXMZ~jN>S+ z(_vvbSst1uLLa^Cz0z>q%SPIbw8z<^%yCtWsw*$5YKhcY@C;kC3`VT1pG>3y*>WDs z6R!!uHJfF{X~W*oUyN3!@~@jtokFs>+vpbq4U1Q_qH%ka%krTK$bagczuh`|EdK>Q+Jxl`G-Z6ar?oR{LDSp0xUvCnYKuv?OCX?kyioAM z-qJ2ryi5)2ULMplo0ym|H8lkodJHm&R3qHDFcwA@O}m@wnN-qun3Xnj$4y6y?(#=< zx(~8RT&!}(H%1F;4#q9^JA{ngY}Oy@x_uhiuBNfbN7PyseIG`K!z@QAexUg2RYW-t zQ*R(fsN~Q#yv4V+rslluooOp;II@t(`ua*-5{^LKMv97Hr9ebL&(pikj^7%?4DE3P z^$z?4ij+`ZYn5c><<)wGNxe_}xldt!anxamntbNVs2xd*#(%aN@KpPR4?rha3lwl5jK=ONOq~JLH+X1;<1z- zvO+X&Ny%8y>Kqk}MLG~YR9rXlYeoL4CvAli%Y4h540$xOlj&&#pEr&#N8>U=qM+(( zRQGp3LR?njXp9eI)%0bpVz1w2&G*UHS91iE(9*H`^`YOB9Ob}Y&UixyH^pURR@$XT z)Q`+C{6Ydd>QgajLxGWvSIlh|Pzb%)r=CEcvVj>gFl535R-f!oi{};kA4CXbYo#qR zSDVu0pH~vX3P_}IPrjL}n8WbjJV2g6%a5G9(AS>eHT;1?X%~HQB6$A#AQoNbkaspu zy{NF>SqNt2&Z2v@GHiW{Yib?$n2qLnaL!pf9FKcOMtYx#b@VeoSoRTZHNCjgQS~tY^a&qWClYaqqUdyLinDx96=)yr zJ&Zy&o|zH)Fp8B!yE9pMrtP}(v3cu#gA_}jw5-H(bX3=?WZ!$}T)zSha2{PRAky$HZHs(~hocq?#r`9gm#>gA-h&8V#3o ztT|jS+3$E2!18SW$4B#x0sYLwhrCN@%qQ3W<>U>g?axM=2@B?$Y1H#dGEio3eq@da zH?IETy~;91JA=vczds@2ciHYi(`Rtl9Cz*u*@%kkI1)Z{gQtp5qWm2E^iJ4T&GzZz zZn$k$XfHWYPa?a!>sUoHmYU<+AEMfh#us%mD>DpI4>?`K^+T1nLaP{*#SgyB#7{v$ zWv9Yz6G4APCFMlC;nJ)qmvG)zJCCtZ#q6T*-`kF-2R)hjYwWgood?HpBIqY=O)4+_ z_%pJ8`AAdFKs;ysaMI6J?)k4Vj+~r28<=vIS@h+AJMe8#z zGp$tUb;eRR4@tKN`~yY)y-~Fvfyb ze>oqFslMc^goUw`HP@71lOjpTRG(f8tQ8alBqQ`i8)=f<3d6#|X@fd7x zG8dmW-sdB^+BIktB1~_WA{%iKsijEY_6a~QndY;z^}}H|ExYO04SBP(y zgkhf8`a%B$6h7t#p|f$DVVUa_(rc$V%dVz=czPiVD4;#;yZNo$Tm{K6@Gt4kr&h ztmb~*;}~^zwlp%L_iY}isP2~$;`zt%Lf}b|u8#yTW2@?Z?gjwzF(5cN*w2sGcD4WP z03O@|p<^mv{32HFxIM_PbyNo#-m0eiWQuY)tsuXjB6Cx|D*U0v52x8Dv|&w5*l{K3 zs%^oQYN*f7<6^Vn;8>`(#%Xv{I|uTOYC(;`9F;Hz=Vyt=j-?5LIi+TPqnrmAZ`vAdz zaPTn8x6!e3#9P%UHkbEWH;b(nWxrcAKVhz%8@tOWzDX(ZK>|#T+}6Sq&LPhiT&(Uq zx;36_4L1A6-drwTMUxvT_?Yc$*javmcfk{bNIb^O+>ta`(EI5w#gf>CJH=+z6%Qn= zZZk$ceN;0&Ni;??>4IfYCXMdbcLHRlr~G3_OZ$ab5vIpjQ#vNZAHir8xx{0Tlhn7_Aqf@dGDXBf2FsW=Eo2ub^>}nPGtZr}ht5hA z);_x@!Ol1f;zAwRHid0aw_2uW_Rf4Q1Ucd|;nPi!g2s~Icah6y6y_s^#`wOb(J{ss zho`Hxr3lrAgTn|j4O177!?6bKt>$DkeV)iib-|~Oc;{iPiiT`tMiW15KC;(}lDQ4_ z%`_$>hbsrHtM8_)c04WqX(Ad|gGXA*^y` z2M~XJSPdWl;q5kvZ}|H1IZeT+CNrgfooUGo4E{2}CfUJs>RJnbC`|K-Ecw}$LtbC~ zX7!=6Zi$NuX)SRtv0sO}Iv-{d&FCug)P`K`tYRy2YqyUp4;)|dJ-)K0f*QT_n$^^q zJJ~sldDt(IW9PZ{fISF)?kG4VFy+3G*)1UBE^{>rS$iKzVcH4FIrFh!eMs;-*NrRo z&e5Ysog?lUa?Pwq#Hq?NySuyIsMsW-aKf0#>xE5yhgBm(Qp=H~S=2&G7p?0jnsDj1 zae+$FSl*U}sUu^nIf#A3hO6+?K)x8Gcg~jYQ+3W#uqfRGX*Gu6LvC|Hu!b!z{gt2` z#Vna*p~8`|mBO6qdc||Anjep8E$G5mbv02&$F0s@!!WNcw&2?3Ny-!n`Uyof8klgK z^zG+|Wn{ct3^5%bdSZPfWF|Thdvvq$l3~B{on{;Bn9y=9g$9S-%GZDg;b&NGC&B@F zj_+689JOHb-D}H7ED>V)RU-UcLSwnj`8IgbhC#*L9({}$d^=pOqq6~}=wtUwt>hXF z=@F6no31@}>?n(63gx5t1fTUDL|%D5SMyC9VtewiaVdrQsF-AEPqUUXQ!4pQM;2!3 z#;7q$ME$r6Ki>q4&N)I%l#j5`Mf2xkJRfZGr+y?%_BVrJmv|3}Qdp2abJZ-q{ z(|%gfKNdJDlQ=!HA9ECIkIrpNi4<~Oy9+1mMJ0U;e6Gc_g+fadJu`K*xP<8d`B~U! z&u8P>dq{qw=PL6gscM<;NV=*9;;v%uJ27J;ahli`4@{LOCtMl(^w6-dx z;9ZLvHHVu8NuRdIr)THhkHZq5CNa6^E`}9NVoE6yqRx&F)YtZYjv`GfAM!j{+;p+4 zY0hU4GWhqa_?4yS?qGM$bc=O zJ6^d+Qa>SU+aHDbz8I;v+fgu?Es?uk${5HL;E}wmt|d-_qc4a}s-Z@O(v28#l@3BA zTh<^x_GgE+vnLC&JlwG6QvRt>yOaHI4Ii;$nr zzf5c>V2gq0eXJ_(x|aoo$(Yj4#ey*c4(INfid;w0BR#KL@2MHOY}Y3pw6WD^JH@C` zLfVci>M4E>U+1ZBLDh3RVkb(ka)%I#pl`*h9>q9g#YU+N_|`ub&bBIYCmrjfSABS*Rg#9?nHWeF->w*bcI4<;EA6ohp}IUw9eEjSor~ zlg?$NzeXxZ-h3Bwn~CU7C^g@m4o|r7FgrRMQ?!?N;o@#ZJ=xwp@|vQECxM(C?n$rs zrGbEyhwPOnJ)T+7n6?a-zwS&wEv@K4*VcnWFj3Z@|({A_BEtF=*V%>H&fNFkr2ib-Nvt` zmb@>^chmiAUN|{5h(Tc1c-9gHt%7}9IaJoCj&H6coLq10cw?sW6`g{$bALK3WnH6Z z9B%c}AsdZv-^9|*vK`=(Bqy*&0|viXVEGH0)AcdhY>t*W~WQv^}W+nA%0_by%_ zWC9NbHoGasAL`J129|5%{3p~-@YTUlW&I&Tf_3fkOM~=`3~qC!f#+FIXWrcWBou$$ z^G31PKk`fKJgC53_x4)L{^NYVA%kFEOV%v6#i}o*N7Z(G#gP30uY*M{A+pT3)4%wAj=OEoSd_LT{3(OcH_ z5OufIP1_Bjj>9IpRf{;9i#=jk>wUg_3&T|7QBR)e%)xn8YbUUg@J^Zb zJ@=$p-!TSmMvVwdDE7WTOr?vXo~6;;ZkqcI69Jve%!jMKi#%4P^qa00hJr~4`@ogQ zk?X`UZZj;XKADh_*I$0tAqh9WwaZ~*6_TK3mdh(?tK6|UHFb{TC^sIn3az2*BFJ4g z_v2gFr^sa@dT0;!sDeIwHVDSB;lngGmloG5Oq8+)%vnF~+iW}+0@3&#Sl#0C7_(e$RN=J|}l-e8@~(Ra$^7Z&d`O6Zykh$s!6Yu*U+J^${KMNwg|`NX;C z`Jv}pK+eLBHczTu`*A(W(Tw9q7&g!1OVLUCDwPs8@dS>+KAMa)uV9jQf~@z;H>Z2s z3InURN;IDV7>-cco9P^V6?M`;>med~>)6c6aa7b=_wzDClMjn>YzpeUjG?=y7=&+0$0tXR&~=yZI?qrfCiR=2f2Sn`6{;|{&FRGnkh zwDf^+)XPq&vwiy-lh$%^H5rmMTUuoK)H3Eg&cj@H#BHG~$~-GX-DS}GC%$A!MIYI0 zxhA&x6x5z(1?d|{y4a%T@e#=}#!#1PT1|y0C8^X?5tFY@- z!>b(}{ZUJG(>YxQbf-dI#};nmhL4pzcTJifu!X5im z2hC7kOcH*`JT2-*;R@m1HsQdLYhsa|y1J2t>gT#De03Ln4BEDvlNqH(8^fvItq+rj z)f3)0B$w5TM6$d7`qh7#tD-q^0AUtB&)$G+$&Htkd^eZbaUDK3m2lF}xJV^Pr{78^ z6P=slZ`vjrbearsv<~qm`@~D@)EX*lu{ybzpjFR8v^~8y)Rane73Y-wnel}%cFD$M zbA=cTsu;5)K@gqgXm%FX=dEJShs!(~w8>#|_7Ghozvn%BLte(aWT+rZ1+?nDMy@J% z=k<6g7d>5X^p;Compg^ZflK!lxv*@kONCM#r={oe00Y`#!%eH*47t$~WB1bY{MYH5 zHMZNPrWS$*UAc}}G+n7DAyf9|hK4h4lQnkC^g*-s8Fd(+c~)Gn{fW~WNcW$`BJbOaK2yRus?#0%;Iw(;Z~jo zF+bXy?VLDM=sn~rf>dTtLAoWP(Bo>}9W?3f;#qikdBaCX zbP*NQ_G1Q_n3X$E1qwx!WJ=1)z|vvih1YJEpxET>AJ+$k&WmH09* zP%>aR_tVetL2Besm?B}c@L>!-!DA%?XAL##sEz<;ThG~Wab}~B?l_+$=$H1sECqg% zKrYAUd|qUkS1ni>N!YFMjyF+)Iy?uR(Sze*godejsuU9&D}KB0mq6XIqru)Nehm;}f*#Slemmmw?QxQ){8?=z=Xz3qe_AR!hk zb6P7HPra&aAJ_xB(a<(s= zhvSQxOi?c+4{dh*RmS=yXToTqYOz9Tfoidyxg1Hk0gp|@+-zggLaraAH-g1!to|PsL}K+PEG`-!T3?Ax=?8L^+s7ZstGKc8yF*h=}^)l&$4@-Np=L z;|k9czJ5F_Z}9=;c-ris{}PL*4U&Kw?Bi^C@VMSI5)o=na`ai_lL!Wl4l(R#-`U;` zmS#13k$qE@<5e8%D{%ume2V}8GG2p#|GU%EzyANut5d9C1pcke%6tG+tNqREQ|Bt7 zBX?-X@iY--;~qL7Nca;9B_W2fE29EXC#YN_z z%!GkSi5-v`)%@(p;o;5v{KQR^6ZJm$Pyhk}sdRXlm8b8bS$GE=<1rp0YnK0D5xpcY z5~B1QDo`gZZ-SQ$Yiomz62FlrRpn+-z5H9Apgbx4vuDo)1qJEo=m07ys4GTIy#O$$ z;IQN@RMRM#XXj>7od&dHkx%34GojiYeD~jkCehKI6Z@*DQ_NSF516jHfa7$_S4HH_ zNvJSxGV^aBHcHM45#>;WP*8Oc&*;?{K^LrBX0K|;HB0YcAG3Fv^exZ9!E=)GwASX@h=nm=%e>5fzNp z*4{prHa@v2{~gqrI!}m>e&du`l%A53;_2!6$`@;G3L;*-_Nc#!wD$$`*SBgf(@f}U0|iw(VZ?-ouDg<6LRD(M~hZlMj4!W8h2-2hTZ>zibPC@ z5V5bJ(p`CNaJ-|O5Rxd^;4u=jbJf|i8C*^THT77@Tt4_rU99wkcB2>mG0v^`O?wU} zo!}jaqbr{Xn`wdIWIB$43F9!8= zwRFA0k|_yymqHR$qBEbyG|&4#et?%6+iqC)EV&s+=^HD)(#@vk*4^h=pFGiUQtZ{$ zbRzG^RDc?mpc;&V3WmZ=62HS>L!Y{xI6;wiqx-KWuYfPo<&v2k9yu?LyP}xO9a-Zx z!9mT-SFdu8qOUbk_p?{}w?eENCJ4p;D0!XeKQ zy{I<#-lJFSb!0H}S7JTnupNaZcq^2gkzr{!Vc1<+%wsqE-Y2iHnalZH=ZeOlzcX3g zEGAR6?s@`$DEvfYo2$?R{_I-kIMktm;@4?}!^czBtmljLH>-FNuLcw29@M$a2R-uk zmC{;2jErg`x;M_a7voS!!W|F-P){ak{KINIIi1=yMe>XobbLdnSy z5g>J8s?y5A-oCJtZ{y)v-jByG&0uu;BUlv~!_1X<3^K#BP)T~1#WqY5C0WdVE}hYt znWT6JM)>f#lwe%K#_9Bm>)gu;pg8{bOJPOKT&ecpa;Y2|G5+BNRdK0sn(EoKQZGVv zhQ62hc@cVZ2^YR`z8Ipwd$sV1-r*)br0(q4WA^$Y*)$9Gt+!esV~kPyyGP?mXYJ0- zRgvv@zHho>q1-maZiB(<>Wt09?I7vqy18c)y8^>O(lIWCM_bO*LHKfa>!!{M&R%tt zWpy>svnlIww$a$Qxz|7B^0ECU_G)jg@+I$CaiRC5hs2|si$0L-PgHti|ByH`+Re6N zh7!ov(KhN%{Q+znFUf|NTF@cieFW_z(rwJpQt=>Vj$jOr84n%Why@mtpbCGf;z&o% zqHPjX(&9%X9fvi^3#s77vVpMKkG&|cf(=%$6X2lyk0wor_hMt=*QH%|W`r9i9MjIsfm>`_UbC#cOY?2IXI2i1*S?)PTF9pY&EIvvNnroUb6YD ztxs!_a&;N+VRU;N@ocWbr>m7Wp0qJW_S8e)<_m|Rl7e1qetj4@%&-inU6YdKZ{NNF z&{DHOx5HJO<%f$9>7CIpWFRKwf3RdC1xQjokh$~H31Ojxde@^&iz(LU7b_)aTo@Ts z)@_iBo|$eU@m7x6>UWE4%aLxO=mvT@QY998{AQCg*39EKmE5+|*3AT8HCR`S`o5pn z#=YMlm8CqqI| zoB3<53VYY@eUz6tkM0p8BXIOH$U^&7RpKZ7FXiDZ)srvR`yr+!%frJ;X5AT&YKHq< z4p-$JEejmb>OiR5Kd_;95HrP^S_D)$g~pyG6@_=31TdI!r*&qPLHQl`UWABH<6|V9 z^w6Db3Vgs}W?^8wwA_JRn;y5p$L?~LZB<*i+_Xp-`BrUSom&}sTqRrRC>(yn+EH-X z-lu6S*3Ou(wlB6PA%li{6I`l=)8}zS7@7ynpaRoZ30T#-0|%7&EEWnQq#+eyp|#VRSbe1R%!Wmi$Fl($b_@lA$>{$5bOg27eqGyw(eFTn-yOzGle0}k3ig`gk3)_U{QE6k{-1V#?N%DnNsqp27vWdHl zA0$-yCS&pDWCgr`Hmb7Hys%#iKRi8$0sXR7d9#-#e6^>(VVeJeRRw#7*L)Cx3>Bdn z&(F_qcW>{}Q~{@H2?))LGZJn%?6Timcx|ScVd$9~{JAB1cBaxQIV&q`ERaw8DfA7Z)LIsEk7X3f*l1LuBglC3(nQ*U7uPnW=g5MZw)}8 zR69SSnj`3?NuKFmE^LXt#4D*H3xXAl3V-|__aKEwBOc9ZC{ubV)vf{_4o5*o{%WV7 zG9SYeJ~!unQ9uZ*cRBzlo1*ES+LNnP1$at1P+MuTzKQ9_(wA|wSaKegqcMF^jljV69mrs&m@Lv{@d-_NNNDr!HwMl zd<&}nP4FENqac zasR7j3gCP$=3p!#A*tbe<>xu+21TIqwWiwRCx1xZ1hK{hq~<~cQx~BlmiA}1 z`fvGjYk{&EC~2vOF$Um3p;4{(&d7J&)%O7AO_~#z%5B>Dj~8 z11aZZ7!!UT?~c9**$BmW^oIpgoF0HJEwEp(aD8?0;>C+6gv`J<1#q$bKOhP1N8Spa zcM2x)9zGQ3JF9t2vQllUtfZs_#7r>48$ODM|LL{@$v;042sM}vnR5RUPfstjZy6ba zPWzvo&AF&%e4Id1S0O;#1_faS$7a94c~ZV#?Fo`!uk__fl3+C zd;d}jkgPWp#O9ujFiIdHp3{rmwGsay&LjTZlx8I3Dt9VfEYu|X<<&x)n^)85M7vnmp@0RNTdE90Xh-52p}Ydb$Z4GS2Q(} zuyl^Yjo-RjV?A1AM{v9#oxy8tH%P^Y&PIMGSQ3avh%z5y2aW zs{ZKUAbq|D^KG#Vtbj*`uN(M8gj)s2Gb-3WA=-wYX#V3`ptf#>*o`3FlPX08ao959 z>sR^Y%#oOq0d`mhq1L2UKyGx*?zGykUo3wpW>R=d@Ny%0)(7l1h?ob{V=VXrCwBgi z1paUh*IghkMsog-5%0xP0|sIoCE5Q=T0my~1aJLJ<{ll!DUqQ@0 ziTGzawn}q(f?CFUGuG!tglLsFhPQFus zsRSpfJqj(z4CBHkKr2@($V^&TSODc~G87o2BwkbF^iD}RRehZQ2`WFVX?6u+f!&^r z*D?G1`#@X^ZqyZzKa%~KIS~;`F#7Y90A3)b>$DS_Fhy?w-U1IUmCS$8_jeHRfJkzucD+;vUm}qLGpJ+xPa^C;pO6XxE5=gp z{fD;&V~7#fn>3V^M7=+nF6Dy=cHL62iAKbx)p9!Rv)A(eFXEIT1x-Ut(}>CY?t5p0 zFR492hpmSt2;U{=S zHYp|gf6FuAOX5&h)w?@X@TYkF35&lPH5NJU940m{d2oHGW5J+>LN}bgVAs{a2_5%n?v=dBPQbpi)nhBao}$EY`4p3T*zKlm2I*@dmWtCV~E~ zwdbQILh+~ZCU(%c&wP*)xD+1^7{~OC#_mto*=fPsk4$NRlJm;ET_~CM-~Oeo?}LGx zJFdJ1S?W!@w;wVN!T*b@IiNcU0Rn@!8A5+P^e?NJfc0fMb2)Svym9{(_z-d5TTt`) z-`$rWFF*=nGXy8Vn|}}Df1P9hW7mKRMWF3-{lM5iZ8weIlA_>E7$tcAFADz4=!>^Z z(C7T35VU6ttS^8^=?AQ@{~C`2jmMg!I^CuT{xyC7Htr7QVX=s2=@tll4>TTZ>_`0H z%OXumxB4w3GfWnc?JwT>xACD{{Z?@P(h~Id7NBN|-IDh&0ham-8pk)sbOQR#Q4l&_#-o!2?wol#%hpMRVekpsX4 z78FN*z(l$?ECbMS_$llDQ!lsqX@CiykI;94^f@^o-#cVMki|K0(^WAOzy zmxs9}&=FYx`77zhgt|Z+z&}s?wcPb{fB~u-)u#{tM-vtxHkF2>{1TXmEWzNo^*_!9=U{)@7}44hnWBE`?25S}Rts%DwzBJ(lR(0JRlF7BD3|4y=`lJh{6Uacm> z@@*LUy+Z$M?h^-j$~~q{`i2Qrzd<$ne5+EQ-#&SWEZMlJd%GI{`vVFqc;zQVdb^nX z*3NLmM3i0tD@wJ`C5M>WU)+NT4vC<4HQN>WFB_M~9MQ$(ZWRtLdmWq!AAOzO`!A&; zl7faNCu<6lOOs1*o&f<-#AW{uH*1txs}%a}yZ*~~(zgl3k+So*E}}>>QhW=$S+H!c|I#cBu<)CTek24ONk-G$p2-0}2)f zFKIZ^scVB0Q-SmHkR)IB!RH5$a8kNsSyyt)im`#)31mzQHg^yB_bDEr6+*j{7rGm= zDfyyqn_6$?3?F$X{q!jeA+F%Ty|I0(v%3BfamvccMC5+sw`@;B$hQ#!P=ckz7zTA4 zx0Tib=Upc|b6J7fQz_#7hv8W3eB>>ap{zPJL2G)Mk~Pm`*N?492pwieKU zy=yC;<}IBol1Jmd-dez_b4jS=jMi)FuM`UAYx3vk=MC%Cw%;=# z1Fz235Hq`pq2>Z}OCA36pnpfZBcC4Mt}g&9*Zfrdjsh1N7=e##>hJ}bqh-DP8Woj* z3hILmB4mLv+J@P$;`O-w&fB@~@-#FxX=rHHj44T|zsALhC#Tlc2}~BPHH(&Vfzn~$ zCqBf(3NqPwe{1HWTVSA#N$SBkiSEU@)TH!b%bC6+tMC$tZW75XT#{T&J7eFcmr#3+h-7y;4^EU z1di5=EAQjiftffdZ{T`B421C3z=)db8Akwt%0L`P%)ZooE1QJ5q;g%XwDQ;eAF94O zF3PU?8<7wJB?Odiq)WO%I;A_MJC+WS66x;l?rso}?p`{UT)OMMxS!t>??3RlcK13l zXJ*dKeCN#RPFPX)SZT$Mx}C)D&4ZU}QYOvf;v>fh*3Qazd>i`~gg#(gbv$yQi>|@g zYj~5ueF?_MlhWX0!+nPh@qq{lo`z6NcW>de+12JYN|3~u7g4Je7awC`701#b_gXWqKfIl7` z$6lr@w>xP`tfr%>hg>EKAJD&{z5Z=D%Jn0W&*V=i5*&FDC4`)dj0=+LXkj?zG{prj zXl_nHn8+bS+E*%3Q);t3)){+Jsj_@NSnzEma@EF85qaqOvO^9R1ZmrQ=Vla6Cf4uSfZ6x`{~HO`nwf2OK{?@SwM2^hWxz1ukC~@>?vqf$?U)(XHG684_B*g;i5K zB-Tfeo1v;_k)*&w8N2N9^*+URXH-qI(eA1BHmIWIS1(z@dkcP4YWOq{S+9jA97&@M z`TmHALdT^V@YCaCY311y(ood4O|9mbYpNK9L3~YLu9*}l>GE>b8xWRsR&i0cuBs9j zDV|)nK35&E^PrP9r4dHQEnGP=vJ7K| zZnYH@%(ePdd$SSp_q4)vWyA*El8Kcng?%Tk2Ty4+b?)YVtR^-#7Mm`yBG1K5f$qw+ z`uN66PXf*Pc%DWl#{QUlC2@j?=Lh$-ONnae$pWTe+I_zTx=a{cWT!Y8@NtdLhW;yo z(9Y=tFl>g$dHbWP+p;8$3+x4UWCTkIV>#d1uCK1H zE-p|eKN(j2>iYP-y31#PT{j2R%U~??uy=EM>cnu|OTC=oeYf7J(}7eN+H5G{DvpbGomQ5%*So<@_mxe><;W8brMheqVybjD_K<2V3a4 zsvvE^gdqqEoHqhN?^V}6J|mMbZF46$I#$dQM9gDqN?q-xo&Em7F~5JjEo^^I&k(wl znN2m*M5-#~L>#b@s;lYk=67|#?UE2E<(ig_7n+6_qp-w=@>}*BgvEXTb!u7~UmK14 z8d_Cw;xwnN+MA(5Gb$0vy?diQZ6Vt(_oER516_+D_Gu|(p+xYvIMlooRO!%b*Gjht zWyl$V=40z;n3}J!=WJ<~h3p5EnxqKHP)(DpzW(t!Sw zpOh?5`j&2rx*$u9dRsN?LdB==-1r}PKH>$9j!aq2^{$iwZ_}GwisOEu&!iO!^uvl9A@Rl?ydlbvT z-N=)dI3^a?kZmlYJxkyk*-cQNc~ux#D)9<+CXp9W4~5wWH%!mC?n+ZK^>Qc7B)3}a z1zN;&j-E~D*I9 zJwfOoUy2N)Rbj~pG9AhKcD46cjv*;x$|8nMqepb_H5wFe*Z6xd5tb6nY#H}Ael4VR zSkHkQAs0<#h+|7|!Ti-q-z*4jAx3*(tBj?REATq9I*yZyEZNY&Fm3i>Jk1D?-Bs;6 z{G$qu;~bGI0`Dgsc#j!KMN}tPrW5?NQ+d8PdnBQPS5W0M$nh}dcrN1=-zPhxjw?Cj zUw8)2XQpv=EgYqMcC$9$bLS-Vq@_JpZ4*%98MMCRMk1mIwm4qx(y6zY&NV3o_M;Hx z8vnr`zdNlsSJUe-Qk>wLjNU2At3Fv{?pZ~Vqo$^BH%xdZDFu7%!(+SMMZcJG&2MHV zt9_9%#EKv!a{k&HMLE8#1qi(@UP`~mG58q1Z1N)mg6b@zL+v9YPBbmTBby zajOY(j6a=99?s)MGg;h#>*JCSoaQPfnjncR)9G!Xj3JqtNnpkmqAY`_uYOK+1X&o3{HwlL+l>Igiuc1`^f`1p|^DYs7-? zR1;~9b;)~Ncwury2nONG)1VQL3J4Sd;3`fN)!W`0^hVV;9|aU3-UITebUcy(kMtKx zGrfP|5m;b5xX~Ae#l%Q7OvAgs8Bd<_kH)AaAJ!|>;TLp8UamN>Nc}?bJeCquX8LI9 z)usTB*T0&|t{ce>vTYQ;Z&|pa4l~+^#RjzuX0$7*>SUFd=huVTVYS2j#399+ebZ8) zaxdHqB)%Ha%T{U1r19;u_%fFsS9-~gr#r9YKOjo%4g>yDX4AV9A|gphHDiUI9c^M{ zLlOf-*%?e#SXJ0E?c?@AQy3RwZ@e^E7GVHD=1{5EpN7>`Yo79ySe*lN=Rh`k?ft@O zj*+H+(>7DyEecXr?NB}O`6#ib{pIm0wulU6PX6Uo1)zZQ~eFGG6OYiMD=#=AXZ{P>W3(y!;$_PHglGI04)>Ig_9LYa^N zZT&P}>}~5rl2UGwGS+b7W8Im^5Ad+XB(WnA-D*KQn>+TDPaEB?gR1D_Yjd3>3k?t9 z;goYdX$y;84C{~hny!COEi@HplhYL95>FgP-x2h(&l<6HFXmwoI!!bdLRPijCuJz+ zTztq~{Dz72&R*-`T(1r_s1MfF`Fq#%aB_hh_b_i&2OK4P8(#Bg}Cve35k zli;vZ6>7_Cd(O7;)@vFS1ofYxM)3Xy2dw_I6g;a4ti)OmQs(Gmb~?ZMybBdm9=F;b z&Z5hnRd4OWyrQDKxrK?f^@T zBxBF@dU}##C_`PrCNWX2X!)Eh zPI=#*`wRnoRaDgEc?yh-c6;MhR3(+^WA^14|A44(1pBc!4C#4tcp%8|by5c04y7AD z%Lyn=3&&f{cudyrWDsQIzc)}&4_FSZKlE!Z!6{q#j+53KWh$ciJbPR;)g;`!zUanYH0eU|R*ZS{z?}b0 zbgSo@^O4Hakl|6-R$GHfuVGovn7?p%#_dd49)SA4(Gk1p`#lf8!NGd`tsixff!Jtuyu8>ds4Sc z24vVvHOfmJ4K@abJ{)?l>P$Bs>-HNCkHrD|!gU!36F;!I$9x5}0pu>T%i&Q7R;kF* zmhl+cyO?Iu{m(`Rrc{`tC4Nd zh%qabWd|>XEJQ}PT{3T<4{3Nd?%H0fGqrR`^`(EjhJ4y~Q>h8*W)sU|7s%@PM>j%e zyE7(pO;PcqgaQt3Y6ODBS?M?U95AAdno>%^J{_4az-_; z*1kl9h=E66ct>&{>>1z4uu&Af6aTQ!y>X_jGRM*IPBQ+lFAm`+W^c=Q8XXtPJKl~> zc0k$Fow2VJ)o=2zrxMnnW~?^N@-`>G!9+QB?x=&)W zNP1l}04%Me>ULB0Sy@3^!_WJ^pQ*VwO+trqz;JzNyYKg#_V0F^DJNy68;86|j@*F~r zoy(h>RZ&qf77yI5(8+eWBN2fm8Q=XI=%uRgFmBq({=FY@01HTUjfqnalUw&)@O#_o{F|3x4fK&k#AywRr|9^`f|Q8uH@(}h5sD< zK3>GuOs$hsoyk|kym-*N>96!RCO|@!k@dGeq03_&8=m*v3A(r!6?(DRj+X9-NqOI} zX+r9<9ZPr$($< zHD>uOev8CFkI^OZ!aEDcUnRla2M-j6F^|cI04l+ykcR2)i@h%dsDy&c8$rtgzzN#Z z)_q2OY|HA+3IBRC*3jYJ$=j?tOyu0|qlmP4&2wSZ`uT2YY+717@^<}Q$;?jq9SlN5?9&x+$dN;*{fU=dCeg;RxCX z=%E2oT`IQo@(xYb`nZ(H*e3T{rD__*S!H#e%eu#Zq)4@y@C_Ywlq6L|L^l7hzIUDL z%#O!3E27hDBWW?07(P00bT$c?bU>va>6w$+_drrJ28E844>^BNFI`Dpwh;fl=buv5 z^5v;8ysuhiQJQUbxh^7*HUnx~3KWlxkG~sOh1O2=?eVhs1$PuELVm&vt43VL&~i)1 zs+B2f<>D`T z&nl4*)*awYUQRj+!7f=8-4-k#u!*R}q1P!YE2>&oaFt`lR|6k19@hs`fWCa9JG+qu zu3>?FkZ^AG*uWR<4k0i%$}K`kD%GsJ{VKe7@K}OX^APDZ-K3-{x*2Rj_Yyo%bkWBJ ztVK#+fK5K#=L+`ZCv?W{f!kMBwE7yL;3h{8Co=C_ARplQ6gX_soW7s)u!vUuE!QJ4qM#S zHlODe9U~(n0QId#v;9F$PohEe_PajT5IrKzY7_z1nI0A8hZFeU%DsXb*hIBV#6*tD z%wzU*a-9(BWU>!$U3mja#aO+TR)8S_Sv8Fz$182NhG!??r}SiGIZG~3eoxm9pqL~t zwC}Y?famAb?ByR}U$fo_5u^Rc(Z)tK>nOT8xxuz*P(g0ysN4HMX>PxVd#rBq^9?qe|%SBgrI< zV!aIzsqA`A??!kh8Y0lr=E(oeSW|JRYLLL;;AaybF6UmqNdM^di zp-Ln_xLp)2nWmd!e!FPz6gu|5N@~q0bQFlgB|J*y1p)$86@gu;Q7{;;jQ>0o_ooV& zzr+bjDBV{70{;`{iGm}zuOr4iDr$lE_AH3Po<9SIXS-USZxrxe;!7g}Qf1*up5Aa< z+;db-wr5YTI_6UiMl*Q`|7!!HnD~=~F;zy%75G%iP*$ul@x}W2y$;n5yrQzI+}zzw zOiJQ%Gkq|03^W+vyG0_v+5QV_ha!pN6_U&okAo<$u8l}OXzk;0+&A8m;m0~=UYQ0K?=Vo@PJj5(aOslX|c)15h_BFNP}P(JTLLFBe!|2Jz1Ru`LY2in*56$d8yv#IMMi&H<8o%~5jp z0d9?cH1Lpi8-Y@b_VV3}OaPl%1{^T=7_dYC;S1xcy)a;K0RnFDzrF>CL+qO*5A@l> z-o;G#Vh_sz55qp+GXq|}`@d#x$X_IFE1t(B0tgbeU;yGH$;&n_@$XK6pALJzNJ1S_ z_$knB;&{a4ukG}B4-vakMsbCcgF@)aZf&sZh&cU~m3T-Gi$2=^KmCwo5=H|oBKtSHu1}aAFW;xh1-*?4C9dGINBj@Tfj0ChU#QV# z?I80D=%$~7i5}=i5Wz1hIeBAydv8NH>11<#osfu#gOjs!+riYqE4&`i$^Wsr zoL+Ds_f8=2J|bo!cG{3(6)72+_UBRf+gqlF6@R?p3CQ-|9t48eu2aZ*dg}XMwg9;6 zA3u4Gor@A6+1e&XqI(*0Th!9h(xH{L{$g800{n;a96u2i6^e{8BE1qvPS5rq^EVHB z*j@Nh>cgAu85lUfdtskk1=!??KZL+-N)2vDZIeq4QiKeyOG%ZVz@yDuF+_U#eZqf- z^Rc}pc)SRoVFZr_&y?6hxTas6)W?yN^oQsgtkG?5-4Ef-)J_t`vRt7@L)o^D`^!Yq(THczFo{ z{+jw?PDX)_+8)pl$y^V#9g@c72^n1u6a3M8)wr{rl zY0hgEO_=Dgw6HWUq80NqTBM&f*If8=2_CL5`t5=fdumRSD|+#!oZ`-Ao_P#F`!PvxR{|7`S$_gCBq!Sl*s?bq9k*q_j zukvKPwpLj*4LMzsvTlE0s7;inqnJ_mV!2e*CYVil-J>Ws;wloRgDOb3#TvTNc?NZv zWn7!e1#WVDD3`C7;N5S7SF2sC?P2DS+02x9xJcM!{G8OSx-inZ+5kCHrATR$B}< z)^*;lY@8F3n9;?b|31Tcn-yXl+vggt!%*h#Cm@0_ri{tLv?&n{8 zr`?Z!@6mEebkm)F7~Mwig4XC}zasRh4)!VWn%!2~um9gf^i+Hidz(#cGC(gfvB8-% zkD}sLIEo6VLyq_sgEFonDzl8}>)M-(^FqjyN`ChzIQ_;Ff#?ZeIk>@6i$qO}yw&+G zD(tI(eE?#_-zEFxjJ{x*K=or@_|>VbRc10!4T_U*0FAlDd~9Qz1Z%pAJAq6fOo7tk z1B>Y@IQMc~KrP#mtGcyU(pimz$dg+YQcZZQ<;ZXFRa&f zx7|z;P8DfXWUpb!A6}lGU=YJc6h=hW!4FV!PM9}aPUY%pu+=92APUeVj1gGX_*gg%p2UXf z&D*+L%DhRV{cxHX{8UdR|LJ3rqISCOqWcXft;BJeIk;68%mAI{vlyY^Ex<=RXB;)d z)$H#g`OI%t$g!vWv42rD{`*~Ln;r~7G;;c?^YEy2v(N4H05Zqqpq9|S@Uf+@J||z_ z-L$!1%w2aCCXML^&<#@Ag(5Rdu|qByj1m!4NwSNfpdR@AWF{Ckbx=k|EA%3mBn0Ws~oEzUJ#f0PP z^!CeY0d~|t(+Xp#{9Z;SvfL}AWu2tb4%tn4Jue^iXDfZpItjXcn_(ZP>(=!mB{6wE zO+?PzXbQ(oq#KpJEV=a4h2VA^`A@iD=AvCf3?#VMs7dk!uiwTz$`iJH6El9p`I?b$ z1O*jX>f=83OIOn%tANnTY$Qsv7eSvYu`2POv@{p^SiLT~Lq-W}+d)@ZWg?jgVWX41 zCI%E}r&-W5pJ5Hp`=+vloQ3KIy|g+x@`v2XevCp*)wK|TKf_njOA2@`pH+-p-UroCH9Ve=Ig(nqZ#`)%bfvKs zsf}fTPA(n1o!p|5ZESd?|3F(yOPWodCDr7ApQ8HNK3~jCCG@UopnB(4Q< zkam4ckV#XtEhO-u=QGRYF*~zx)V|(l8|y^tzUEKY-_oL@YQyg6%+ltzM8Q%~L6SV) z^kqdt=K_Blw7pDcclg`C%%eTS7DF2@dGUG_Jr8S9)g~6Q2`)mkwq7hl7@aULhULisU{HqOz6Nam zPr_?4Q%xr@Ye)f)M-E4#%&NS(heEzs7=pkn)lR3n? zt?`_@^{%e1`cYJ+A(&+E6YVazqSOjQoAhI;Zkt)Xha94LJ>-(l@;W(urU^);f4;nI z2*DY>?-zjZBMq3G=Xq7GN<-pBlNfJ}eOlDPN`x%eV>O1!fx)cR$qmCv+ldPUrC~`O z>J&}s)XXAqPkPm>ELn~Ro7jwVCArbu4M!)bdHLvA4=Wg`+g$Ad%ilc{qNM*F{B;LVE*EgW*@~zPi1G_hR@K6#Hz#Vt^W-k(%Ub7_q@ zq}a?Ahw9n31c=@ygc*1zCz<;sHBnE$lU`4aW0oa#Qa%)P{O(%kpp|7!=<2y7tAT2dlNFwyqB{-$jK=n12KkG<| z%f{jjQach6E!}1E@)vlnatdA*U#@XLTy!<$MLXtvNl-o-qU?k zJ8VGV)3j6q$Cp&_J8xV(BF-uAcpvEs4rOZ3@!WjPHZb6&J_l+__ILHx_BGCgTojAJ zF)d@>gzIswjC)vnLSr5eei~g=swExzZZ~!C=S6UJUALrr;R`y0)Tro z@NhVdK`LVWbGk0gMEEPwrCA-PAJbY4r9toi1Q~Q40oX5pMDc#bJH4{%w(!@&QA|9e zcW3pR@t&vS!Q0P?dDT{R@$vcjJ5rz-kofVnu&wpNCSJ8mTa|eKc)IHtF>a0{qdNJ? zM->1@tO&qOE+MJPk8ds>d}YLu{sZse?0Zx7I8w4KA zr)$$7XB~>vA=zN(8Z{g9eBURiKuH~#GE0m_0Y_ISH1Tk%%_8H?^=ornVp)gQdizyY z&OU>lCFHvi`U9dH9F$ns5fz^KVd6lmf@WN6FbGE%PSAdBr%2vjL1~T%<2>C)&*jYf zbH-e?HPmNBt6kBsHUF-fqNPF!_qShdyp`qFZ%Hmx+i!pH?`G1ZAgL@{1@To~9sjY} zFaevbpv^i00nkc<7>Rq_*LAn?>&$|3`Ck_^EIb^bzT(5s4J^kU!~{Q8Ouq;J<1d3F z#+o?fHquoyNO64Eo)p|__tQB{iBTVOrM``m9T7mbxN&w;EJiL>Z#4?uPKT?fTDeJV zcA_dmEDlzTB%B!P$hAEvvK)0r%NCp_e19Ph7Lw`Q+DaMBoVgVe^KI6b_wC_i<_hA} z*(yH;S@O4a-tUke<@jN^lqb&BgRXw|ljMcgE6?abDC;7Vt#xAM%(WFg2rYk^nnIb6V-lFO6(YcSSkU)Qr9vC}+ONag+YVH=4Tl8y+3ib+0t`r_yOLZyi-(L;6bvvYFp}-BP~jXB>va-ci0dD|zFX z#Brej%|my4&p|?RK*TAe-J!L(YMn;g*bvWrvDz?8$)aCBtN)?yZW$%9JH(Zi z#q^dTxF_K+*~s?fq>f7fh7WY$er=3^x9SdpND_dt8C*S;Xl3EHwb3N4SBHTui2E@I zmHYbaDgZ~)r~GjLGg`L}`M;x9yg;<(s}?K=@PP@8uQ?EculFwhF@j>p$Wr_wzWI@r&R{6&v}RQ>NR4ThiO{h z={-sADE&o8Mb&NW&rz(aSaE8f`%9y_-H&=4I(a_yD?Z56I`ci4xRzm(X-hiz#aYD~ z%yiJdSBdwJsVyI#)v8D$-)G7i~Xb*waOnX*b_08-hz9IPy;!9Zw04CIShX zl{PC8ch^?Y7}@y8IyZL$&n6hk3(868XNww6lH$ zC5#Jweh+X25EsjPmk?);Nx>a^K?whx8jk_`YZ@sQ{sddo%o>N)?aIQx2_!;UReN95 z71g5AcfpgtOp)7{ki0xwq#t*l8&soYAo~?hy);Fl!^cgTCD~Q?ieH7L(0UhGn_@L_ zs@CLb&k^Q@O_qdaj@eVa5@RFHT<#C`o{l?`#o|NY{Wx6cw3_Y}m4&S$Yl`|fR!g}o z;7zIDcsHAMDdJW9&8>wKKM%4&Z({0*d+b>)%R<6Tu{gP<`_)_8MXA2cPsc$MC_4-fn#qwha7FDqcxqj&1<5x#$(U%v0N^Cs!o(flR?N{lDcYE9txkU90HFrg?SJ=i~shw z8`jSDI$Au6PVzC4qRKLeo}S0&2SXdT1Aimncm5M)Sz{#zVuc8`&W zR@U6yyyA_z>(uT8^)gXJKVH0t;O@I8z!L0T8f>$RcXB<)u;Fp?U(;qGzmEm2m zAAzkg)&3g4_uy@5H0ar}d~fxLf1G@m--+A8?_#TYZ+sd6t1h0;Fmjw9%oj!#J3#O^ zAZ^#Q+5D&v6LKteqp@6lW{4cH@QR(|*p)Rvcz0xJHPY*RzpRhsmDzBsS;A4uoE2B) z92fWG;pAoLj`!_iEfY7WGUsA{y(|Uf!s!kt(C)!doQv~ES=v6kYo*S8=^`Bg?i+OZ zO1cdDZNPAPK2^ujYNf{Y1#5_%UO~`~FWvg?w7M|K&~s6hv@*I3ls2iV3A*8{^Tb8Z zB*`+&MquHwOxX&fTK_5yIZrwhtkGsO;!Z%2c6q?Dw+h9N9d&|JlASX}OyhaVO&L43 zmEq}FZ6q{F-m$x(OD;Rz`xPz6A_?+_8H?qV|#Q#ZWM)vq`Q{`A;AUo_iLPKop zFElTa#3$3 z;I&lVl)(npao*bs1WF$fa640WWciK7(DO(SH-J5l$8Y^>c1>x&P z*+D-d`$Jvas*T#pS7xoLDzs*uC$bXQB32GOj;mMt=<%)zPe#jMofqcW_s?1qJsmmY z5Ck$0t(g9$`=31;1nkkPD~-K5z^*Ox$+u{(Nx`?rUpOiXo#BI&jhqd-RX~N;@856F z&fD5rA08eAkA%~T)Q_ZRYva&i?L`Uww`GkDh`)Dfi8(P*u%ln_PzdX5sHj`2R=>W_ z3|&t!tk1+Yw*32BuvSQ!^X>1CcQ7#%`meN4z)`T0?Ys;VBRG`AgqB&JGira~@vFAL z?KvSmKgVCcul;Hf#!!s>4XKE8ABIByt?HnfnX%)q^6s;wp9WPLx5DLU8tuv@xzmbt zC%-dWTOaOZb9h`rGg6Wyn?0pNU?e-8SU4mn$oB*>e;WJ=&YciT2!KCdJ5;P0SZMu) zLxE8C^wOThta4I|W`AgTvQ+ zqDcXfL8ZHa=)$YPsD%2 zAfBIq2uXI|3!D*%mdKEHZ>)T!J}B=8<7(!1JZB9f_C^G^UBV!{Og^X3jN<>G6W;-H zK$Qm8o=l(ssuYN@m>5U6{X5VPG_{5dxF0yZ@W9Vm{a-M$-332U0Al>d%YDIQz5@^y zkBH`t83OPV0JyEG?r;6~h=>747#_xYFzC@pZ2sgIKn2J#DLrJi z{nxAigyTJhUWn58AEF@75GNp8Mz&j%k$DP@A<)tMA01x0<2QyKuf)T}Z3Zwe;OsVV zGnTdW%S~t=9z3-k|HiyvfE!Th>6e$6y}Z0^fBU@vyTraga^pXU5qDa8V&d-l`cr;H zON*AWGWj$p(^+8qj?ntwQLGn|CA_3gw{UbbQv#jGysSL*?_UXZ^}>`Ct#9AHiQd9# ziMolJOBQ8RR75mzw66MbpG&*(>T~_iyiW#R=w5W>JdFRZ{x7)``Zw$9>lYUm6^o`D zmIm~hQt=6BX=rXdpT1u51u>$%36|~L^iCH}9K$(rn>rlbaX=!Y+=wvv&sxG@d=vpj zwuIN<`T=N^nhcm!Lwozm!a^`xx4ROvh+eyltfX&Fmyl3W(kJ4u{^=~BUAE^?CO3OYMS|9mF4Pzz*^aKoX6k!krDkv?LgbePBaoSd{ zvkk3H{({47PjIEDd2vtvZIR*td|+z<9|y+M#-DM z)a+7h$JL@^2aTY=5oHM!o}55xv*|TNce11G$qHfR)g_LWAU;QTbh9(FI@S*tj1Lne zB{6U?i=z7qE%nC_@GMG*5ho{}{v5#>6v5CO6isP%GB8Dryl(u4)roI4y1xBtu+R8H(8m8p6JU1sn>! zV3NTp=~tg*VIw28`5Ourcc0p#*}19Bg;LJo4qJ(Xrh*~}IL&X0LuuBK8;5m$26KQ~ zm;~GpTKW!H3GWSEI6J!zjaB)+!)~fc8+xVlzc)dKQ=xuk0!5FC4GNM^QgkE5E}Mnk zE{KRn;HLU0E{Wp=2hhh=*x(B|-|Pz2Bn0EPqxmzHwRJyC?vVw(`?PSwIuEPyZ29@! z$a(v~P$;+W4?J_Db?nFwYp&1p>wa_etq2;hV&c7ZWCzb@a~(EduVL=b@PBp%J#K4S zAmIdj`94qfx{p6LGBp`8R=?d2P7hP@9BqsG8Qr6fQK{DX+L&Cb^0C*A$hf(Ajt$ID z%AseVW9oy>wkAaG$C~}Ot6Vcf+~C& zN$WQ|m6w!A8;SH3DIuh=+rGQF#yPni*ZIWTq!=Zg6Q$mFp#4s*6Ux_QF`M&C%1(|( zxePxm67Te(G`cvxE+-+Que_Dsm$lLDoB33=iI}DenpY>?-zsmB=C3Mq%EqNHVG+(vH@V5(v zk3k=}ErVsP%G8G&E3JEFBdqL#x)s*{78JyrYa501n#T_LJVH`8sm6F4kPr4scyd{N z4uV4npSrYUO`___YDC>@Wxz+{^@|n6w(AA+cb0?^ ztdJtA9qLuP0XU&&*O0qaAC-1nS){XCEw1Ad%rPU*9xsC{j*iE@f)@66uI;ebBcBei zAFS*iB^3nM+RlSI^eXu}{796bR_zkX2^%LPKHgq8U@w7{TB#PiXqy!1445;heuQtW?6tL+8;?>24n zlA1ELRM}qxNHQ@MlMeS>Zvd>c?`o45p8wHk8jtO2Gf;e%VV zQ>(ndT!wzPGf3;SdpCqvxLW(YUdbI<zM`R=EnAYK>gB7;hfvolW zT-11()(ZV#aiz}1(?K!~&&XTX@rWMHZ!eZsfNtC}o?NzSO0V&eMQO1_jd&x1mp8W^ z;!BjqEMv-~_pN+b!^1prwzy{3RxDlPdyqbR3I*+lU2ysojYR_z^@vZktjKXIy}1y= zc5?FQrxRz#{TSuxX@|vN=pf1at5VJ<_1Tg{kLQzOk%(@=AJX@PnA~&rR|4@^moc+U zV>a5JonPd>L{^*~A0Nf+lOT#&cVigG<(g1_lc;K>oV5zS>ym3H25(K{@?rX_Uu;b8 zkIYd!AsCq@CLQ=(L$K~@4fdgN0?Y4;iDP~!5jdw->{>BX-qGj$jnk2O2>G{5D-BpT z305Cu?>U?}w;T+nlS{2ReT7=@qN^De|I}>Dpm|OD&DR;ny(aq;$=xgaW|V26lBd@W z?1T9#3T`1K-22=5RGy;&Ax$=AF6weK2wA(C>GXr=YOB4GoxWhyvuoPW&M()Vxq527 z>%TGYaRaCjAMmC$CufKCv^YE0F$uq=|4^wB)nIMS@8IoLw(J2ltHb5U3*jacEVvKQ zsM48yI#Tx>DRIrE_Rj0u$+n3HUkY}E(>GUH9x4`;x_n!0_H&UREvWhgmnmfz)L9uw2ME;axBOKG4cY{*n8g7LYZ zF3wmpIk`l&OtVQ?R_*yTQ&1s(txd?NDH`fr;ZM5TM~8P^T^o{p>;m!-CI1x zw{}Izd6GH`U2$O*9M7n+nn=E&?Do)2*l5*!R49-@sd(SWyRIPJy7<$2#!B}O*?3cg ztKn5I+v;OsZndU#2$QbSZFA0b%97*Uh)$fGG17N}zrCbckG*v%HjN^I(X5*||FJFq zv4W**Ulq7uRu4I1q^6Zxm6g(!rqV72uIP{2{m28kA03yTaV4vgAMRNZ=!ef`<)A<9 zuKZ{SQ!?h*OU4~3`ONouOC{KfA#vh_DAtAesXSo8ojKj?l zBtVPyuFH#Nc};fgP2Km))&-j;g70WG%mx$DGXcsrqBk`Z((hej$`!oFx@OKZ+Ufi_ zDHum)p>2D{?#|C_YIeT{rzv=Y)wKt7gpbXe+oc`vRVo&h`?+YE>(=h$tdgK=IJO)Z zzZTRQ%7Ji*48q;YVny@lN9gDACfe}iZYw#a4|A)o*JnMH(fcq@JO=xQeV5kAqg<5T zu3D6ST;1PMCicku_u@<( z`S9v(SI|PUe?6p*1Mj|5{sQ7HG=lqImjVe;y3ys9iLzwwm5$-fYV~M0rB(geo3&vk$VqGc zX%?w!WQ(=I!Od{L`2BaEYc=wPyZ#v4*EgQ;fOG_?Pc{4#h?H{-6l20^M9F8(BT)**W88#8Ml$?9G>^D-Q(-jld5MY)z+pqXlugNQaTjb~S z)hh8;giOlyNx>(qt~V$0gp)CWXWwxS;*3hWtNk&fWjByEbZmQhPQz`>nYhR?1eU1S zmUjWMz-&bOVyHnaof8tramhwr^8Ap~g?dm~_%URuz?6E=q;6uXhQV*tS|Z2u8RA3G z;>}3MIk3xPP_pFf&n(maIcjsFlefX|uI$vaO22PK!@Bcb zWcgn01Z8?#MyJM)ragBq@{qD`^kN!mJu3qz-iPHLZ%P8gJj{MI6cqW5WeVck`OLW# z^N<;0^0@x!c4ec==N!|X(v?2UM z2Eel`F3q+VYvG={OC}bT)L2F{YOJCgTI~M9OI?tk=TRDnaRuUtixup> zqt?v0hB6Zy_aQ#k>78W%f)L!hnihN+#`0alOeHPLR)UNcg#H8-j>_$W$Ty9$ac<7> z`HY*aCAf-(_p{z_=QewCHyGmSGw@Tri_cSvn^DE8*>SMcl(_8pU8xmjU_Y{&^y&Gj%N^%22N zIEtdyqI=$)1k`*-S0h%O0;yU<&PRTM^3tHEwtK!qaFBDSwSP(ncmU z+D*aWd24kUSo1_^a^G;w@BZWrKRimVf$|0%VKc}6kPWhl6zDsDqmwBet>a>|1jB{L zSG}K$+Owzbb;Q6iX1!GB67iH&Is7&qZAWs);geTvKg1wwwPuX2#}l6@`35+gw2egA z$DYN63ws!Foc_DjL;UJ^z6SC~r)E(oUi}Ykr~^;C*L``L2Wq*Nvh%pxFcjkQ?r5>@ z`s7?AI~ysl!Rt=sr*bCjL~+l`N32A|!V;Xsu2sl>;#^deSNRVEC(>QIft%UW+wM4^I1LvxqYZR>4TqId=U;yuhx9DD5b=V_Dw8Nbyj7n*OKBjS zxndHE#83{p|4FzCt}_}N&7o>FbX50je1y-6KmlDPRtwG}-)xQCAMSE|&WwLu&N5G~b4YkQpkbIm&;FZt9!yh6#& zDYI^V@Jv)&j4O?=crCD*cKLI-kZ%?VV;cbRU|r@X&El@OO_btoPC6z*L1ua7}e{FH|`gI*R)!8A5Ao zS82L%ndrtk$7cmdS(&MM`$oHZa&j}G8?k(kd|}q~-hl!a?|Jb&y>tTuZx%oDV!P+q zQy*Eg(oUU47Su$ToE)c4yC!u8bNmoUdV{=>~VQ=R>3>?DwX@P4%fkZr0 z2PMgm&VCkV;-T(oBJNs;@+q{!jtfVJGnk0raCo@JS})`@X_>%DB_udT+Cq3GgLOPoQC=pc_CA z^wz%fULvAZLg~O>Atd~e2h*(C!CGzhd3tR3xCZ)n3?0v*K7X4KJiB|*<1AhAv+s#YM99ER zyZ^TNgjkwM_{O4n!n^HDd^9hGnm)P%_MvKau}r_hyA&xUE>w{tM{?CJGHb$N;-m{a z?Gy}~gX&Br2hYme0Y!(TW>&v^g5WlPrfQil@Py&|K?rjDp4PpAt4rBRda=2u3JB7) zbfmJvsYJSnYMB+d3`hS-i*W$QiJHVI$49)KQiN0IFAaG{35`OAalYe?ml zTe7^c8&CSE(VXk+dKHt!`GrOnB7=-elF4=YenNHcj@ANW{j@0}=|c07u)3?@XcJO{ z0JnwiB*(7!V(-L4Wc3K6^wcRr%T*CGLz9jR?-VUW-}xAPUn&IwC$DX3DYeMxQ3$*Y z4SGBS_z2D5#H$IWnl(1nR6Ejd(}hhLND$#yu^8;A)NwCfBP<_K%2xq77Q;m7J?c=m zqa70a)OQ<5kl(c{pPs1sF2eyxJu zf;F|G^Rzi!7)7tg=;g1?c9c}|($o)6@St=pEYf( zI}62tzG3o~`*<@P%V_^GJxc!7(96M#dJlY3qSrt9j8C0k!WeySIiTcNHJYoELvTh2 z^3M4&qnco~fd-c;OwigZsXQ?sO?n%^`{?E^A2t%e9o=sv6l5eIpzGq&2qVDHztZ1d z6&Pri%_s0H}N;aY2n@-%(DoK(6cEjTF172Tn(mfdY7&72zZ(^*}~;$@8H<53ykenF~Q% zdH3k?G#@oSF?jlT*Gh4L=o(Mb|BZ&UmzSsC{ezGnukNQ2<4+?MPK@U}G34k!+!u{G z26%?wmDK3EccZaOPKI?WWBvU4QFN{DqS2+|D8^X=|A^k+&J$*WDQK*jaDPtlO8#)E zO@d@O{ZPwqa>rlR<_iBIPlvuwAsP1oDRYr_RIBBC!CkW&1}lm~Yct_E1*1@jFCqI+h=eVRLi(2CL@A~eVCfWX$qDhV_ z*)b~);z7|lG5jury>gjG<6cyV>?`Atp9XvTo7Jvf<&<&G%rHbA5Ymq~Q$<_*C0)Xa zt4@e8xKLQmo*>73DWUA{?;L00Ed<%s9XAezjvgM@?PwJz=3swb>C4tnVJsWBB$x<- zLw?Ne*@O$2?$0b@P@jZ+m4cE;vWe)Cj9FZ8|6&f!uzfq~tv zIf3dr{^PP|C(8()bDNWX!2&l*uPdUi*s%+W!7~p2H#QCjbVs>}Nd`oALDM`L5e04Q zTV^UwB3imTyErL&xCe=S`zU>(Ibws0#JrGUt&7Sb8R_(W{Hs1)VuY<`QdE{_U8V$Xago0Jac0^CxYL3m5g#WAD2*lTEA@)heSZsJQ9VFVCi6uy$NMDs5s>1;a`O*miz)FU$*35 z6u+fd+C+X_Lxkr+gWmMlDM%4~1DCs|8`wuS$V(K|SQ~H+|7r&h(LZJt|Lt@8%s*y( zFZ2T2Nmy8z!)7TsDvE)QuA-vC+Qx>w$jH}MFCwBQv7^n4VbS*)dm4*A-rtW4__=yb zLHMqIDp9%K>GJaJ#Ydj?HcAE-i*JJ|Xg_!HVkX3K@bU32N=nR*gE}jQ^ekJ4Zgr}o z(=gw|gpcB58jN*{YACO;4WWD~!EZ>6!Af{7e399ZShzyOWKag2lLTR$6V zy-PIv*rfLC1meblf~pKaJ_= z8kkz^3SL~8K+S8kK=dl!LA`Nb@XDwl3HEKzZNnsJ%$~~>UajAV!~P)6$|g z=58#a{h8t~;Pk0y8+NaTM7vG5lWnh9qQT|LW)C0N1W|Eu&mzqOqAO;5#spi-Oe3x= zz{{y4_ibw3e(i0hb4FMc^c zGo)0zasR`m=P;FSFE^`!a+OJ?)tf6>!cseW3g*sJ|>MLVwVCB|*T! zINLc-wyykAF;*|Tera|dIdtv)sRWG$ZdwQ<3+7yxIDTu#@_Rgd!*k zp(y*^8ExgwjrW^tdt15-B9YAau!XKaipZf_{2v>lr0B@N`0wn4Y7PDR2EmZjnD<|Z z-CgbMUY~k@nu9oa#I!Z#5l_OVZm(cv<0KYeU)-AACRKnophq3eP8}hNiAE#X6S6D% zP$Olz1v-cZ8j@Fm&d{h{*hByn|2F_k$^lO@Lr8FAc=Gl=DkUFd)x`wC{oa1?(TCr4 z`%&{lU;bc%#+7}ck<{nxi3=To|4GDZo~S!q|EV5AL@O9!@+T!eF^Lp&+?%jiVcuNO zw<4g{HCWxyLLeT(uT=Gyx{&47^n?$d7 zaGHJ4A}^YeX*WgVpack?t^hWRP{4y#4Mba`kyzjvf9O5cC+ zRg&q^^0`{zUK~mT=<|H>_ec54`vG1xyRd+H;tSzwH3MxqM7a2N$c#I-)8H#(#{ONF z`i~7eiUXL3M|FMJ9iPaDM1c_S|1|aj<^w8a0B1FU283dH@b$g=PlM)hr*A+Jo@x4uYBlwf}e{lUz5QX6{>Xz&tw5Bisw0Q{PxwtI{(TEZA^768~o6Pn` zNp*H?*D=0D@yeq*M>m6VkcU>ghSD=OLzyW}l3J=E3R z%+0YV*98<-4K)5wY$*Xm#JK#c&;uAqs8#&p4HVSb8C)@6`-OfFlGm@xx1Zm#0Q_UG zAt3{nmzC&~cm%hGe2wdyZ1-;AO;dK(7Gq`XLQ4?W?cCZLQ7sgPW02Bsr%_#Ibwy-Ap#95-hVaPoL{w}I6AMIhRgZY1& zng#2qD{Ie=L5qh;OY!iul20__qJx@hYeC8V?%Fnjo)#b{XPs_nTP0|JJ%fpsT7?>q zl=9UWkm3nu@)|1c2y}ZsAsID!NrjIYaSXp;Y7`p8k6UgFmfC@2TV5s!O%ruz6KfBG zsNUT?=MQ)02dg;xd^v8P#qir{QN&`Px@u#Yc*j=8yY;GdI|gi}L;mejB=p?{>JYEt zRMFKuNf|-VK;GzZ@4!!PaIMEn8!V(}Y^-c$rRC{Kd|@8wJ&3ZWb>iVXi_yZ}oWOeR z2j{3IiYUHVdX~3dlj51FMc_MP3|}V(CF;a%k~^|>)^w=c%#f$U*68wRD?BYFmaErz833`KkHc#USPYk^`PP`dBk~C)zD$|i^jH+sn@tCU3yE`M11S%!<=V+ ztnB=fl(d~{IWqbVG%}iM{LF~(0{Vgnm>hq{dKU8z33D9+Y6jGAVIJ&IbhtpqK~B!j zP0h{2BTgKH?!1~+#<8cYUfx%{bJF*jw?0SFB*ngiJg$Gj#C&aAyxVx5FUc_+F?AT- z+EUv{5A_m}ztq%yQIZ_xQgNa5HS_uv$wegCxg(dcNA5a5>af>5=xQg{dA1N`SEz1W z-=o{$Zp=CV`{Y50ewQO|br5S>1X)V;={)bPkOH25SaL|jj}Jv4i4VQzsBb~m+v`Kq zhedPOtuy8_dbi=tlyR3M7n9x|yqnFQ&aOs*ft>R~3zdUEe*751Wl9@P4KKEHc0$n} zF=JNLx+=ye(i0xm>ihXqxVJl{OmS0`DyRGve|zJ+SZi826IY%zqZ$<&l+@VwI|FH) z?iG6m{080xt5(k_S0mM?!w-@g8ST@?iBuRrLqh#L?17$uq}v%ge)}ge$}4JXAQ6m80n-jgM#J@)GNnsNOUk6=Lggi- zw&IgSAjJjtn%y(RI)_JP^tvfbHXHh!9Q_n#;*%0mqobpf)3s@GiGDz}r>0OX(JHd6 z7*Czdxf$P+=Six5?4qwgMJ=Q-MpxMzE>x)|WFg7ymg*Z~P-c1s%zs%UtzB2|mt1m3 zMu`$!RZvaHe6Jb?R$}{TbfwXkJqtSkD|GL5d>2%>g+_y_;QX>x>_f_s)Rzd98CX}* z_yAWG1#g%v)(qaN=0sIBt&hVrp;3lgXy^6RqF6j>-JyeYC}!Nb_2P0E32ZPmvG1se ztZeXLD62R-YE-%;NV`dBM`_l~>9$Syd6=n%3D*kA-*qbG6ek$PDioWefsG44_?=4) zDCQFPfp&dgRuNWd%~>(;y5c&k7ktXdj8|4GXiU~f{k0nsQvUfDFMbwk>iv}782d~- zDFsR*sa(K^4?0K(F4ux~5X&SXLZ(w?WrJ{W?@)q25;X>j#VeLtlyQnK?bWo^O{Fsf zxG+Vnn%r*ok&!4!$DJBdwx7XDc^?zS=VFELc2n;YH84c?Z<>KX#{c6X1E7*T71Bgf zax!u8-uU>$n28Cyt>ol6xqh-kvhhP_Z+a&_NxtUh@-I#B-pWoN%|j$A z$3JA*M*FziAa|td#>Z`AU@Fs0CM(J25b67w6jU55WME;Xc2%-#UT{hN{OX;P!UZ{9 zKTJ2AkR#}uVkJk`&QOvqQ8<1sJ>gHX-qdEH!^OkPn$DnNnO~3G*?B{zoUlb!;KyAG zcfdyU5X&}O@FVbZcm6aht2x^6PeE^eWP7)R36qj?S_&f4*zU68(#=HCpf?m-%GirC zY{u&RF0cFfXlSH`UOxy4kvPB(-n6Z+z38|fFp)C#7;^VlS;54^PS#fX*iE;gG>wUn z3a_Fjr_Kko+%TVnO$v3fuv(+XeA($dd{d%+FhD)vTBG~HF;2^Ltd3L^qGkeAdZT>M z=BR6%CFI*TQP>|0ElIaM`C|Lvhn?=zmmw`;LJRZtfX{(7VQ%+(XHCIlS~h%63UC9= z{zAlI18!|KHR}qQ#m!7)0}QlG;%bUjGo_qZ_BrFHXbID3TLnKm1*xpQ_8V+&_pV+d zNR19uAhEK-0+`efNd&PBg&(1?QvKBE&}A%fh6a;i#cA`WD{PIWnCIP4|L`3!+5r=% zg_mffy^!n(UcKIGW)(m*WS8Sb`;kxG&{%g816gRgl{>v- zA|INkJk*kLu@mE~#&&jTv8gpPvM@8#t0;t7u<1;^^ev1$};6evc9^zf5n+8)r&!HW*cki@QN%hI?!znVcDGQZsHK8P;MTvQxr55}}rk<2tS zyvz~gXz=!rAkjipY_t3($t(X zB^#YK5eY;)OS6tN{xG9~Nsk5mpw#E>B8PPA?TE zOT|9N+bC9Ea}DT1Y=KubDGpOoI~rB3Dvlx`FrH1Kf2%mV=wpMFq-^l<;aKs`4y6g) z+oW0#BBlmeD80aCD)yc&}ki(!onjOG-&ySt@71 zZqWy@YeqPaq9qzF@Fn%oiHjJQT4OP$I0aDI&y#hf#+EmsHjG#qoEa&Zim_}Y;sGm_ zh`IiQ9z`^+)c4BoyZVq@9L&VL{CN@!3OLA^^D>6vJbOOdokCJ-p5ADDZqe)~#6oHc zizg-~pXzeic?lKrCr-}Z8L~)G$qjsH1*b+B?=pRTe0j(TOvpEg#o4lm&{}dkj(0pC z%UJBh>Ja>kK1T07z%mllq)vE8xW*3wH3m8aIUKgPuxfG9@U+%aB#~ZAW=iKO#Ui7` zjeN9Zo3bySrY^x@VsF(dLKXjYcbXcHu3_yg7V!QbgRWn!QG|&!?gT5DQ zHhYX3)4!*fh}Y4VO!PsL&Y3mp4JyKbNoi9HZ(I5tZB{6d#0yN)$w_dKv*g=FgF*Hc z^-C19k^5j2JD{iE;>Q+C+3|wC;|6(DHe3ok#XL|xYtjo@lrZ(-2&*(+ze0t?HWV6u zjlJ*ip?Nb$#6JTUTlZUILwyNp*V-pSUlHrk)ba*S!tjDAtR}Y*fCE;zei9^WA}FXJ z;!xSsu#MTBH~!&bA<|c>1 z`T$Y(d615QJ{b1oZN%_|2Cad&{U;x!;n50O2Dyl^vu2EKMw=8`MfH*Xjd(j6YOZmj zoPv6Vid-Vg=JevLd91fP6rp$pwZTysL zK%B3Ul8&0Y;>S$Z;v5%Va?7XY=8%^})iS&VWUK-l6<-$2n8v@Y1vhDV_}D1hXxSNj zT?P%ZY#1uQ@!WwzqGhz=va(b^s&|jbh6c;2V#?O1!>@k(lFLk=q=@;`MSzoAT6!Z9 z@}O16C2j)b+BQ(WIhS3GTGErP$l42a*K4}1suGW3RJhc!Wq7S+;%$S>BEZ4I&s!fX zlSM>`?jZEa|2$O6Eurl`9bQhkecUQva`I7d+_AjvbDU}y$w#`;(9^dORpsxBS@$Na zRP@YnjVs#nlUtfuIA6Jc`;hoOH0N}YebgHL%WJE15L?A1D?J}sSTjR+fEyR2F?B}Z zU_VWvhpON;eR-YO`X|r}3umo&|I$8X^86TnHUH-2H4!6W=HkLaYFe5&Lr+1@paU7p zhC+#g%h6=yLzV<-p&l`EtwMGCI-#Xv{gf#ft)X<`%^7ot60@_8+-pN)Y8!oLAp;>3 zq9PSF}3knKKT%02HXQ!ceopqH} z`6(HRvB~lAnS<#4DI5a|y5(&JTpWBviQNM<;KXfkg3|Q3#A4}$P-S#%?+_U)&@mBlH7luor=dn=m6Rp77S(^*7^rUB91A@ayxZdZDi>Ra8&t9x-WH3l z3GZ0la<+~tgDfu7ILg<4{1I@v#WmVJ^Ntf9fJMRZP?Q!fBc;eZZZZ z1_fu2$4G))8 zRTU)-D9D?bD)bF5tR64mCsc$@I~VgY$*eCvHHa>`QP&zu*YF`kwN)nF6!RG(hSUW# zWQ}U|5Y=YfUCHl$eDC2*d3>nq1TpPRA7{y3>#t{ew&Us%n?l2!v2%4X$6_Ne6)?bx5UF%}?~x(5RcG8}nA9!G3U6eNjWhD^*a>L%+XsMD z?a#^Oy&FB+18G!U%!zaBM=r@M#BS_Y&y;3=Q!M#*O1}7y!+px+;T*Kh$NtwD0cJH1 zfYasLe3OKXknsl~T`NPOJwQqP4@cYk?4uO-F2QM# zHE&-X3F~F^CWQ(vO!3($)nLO1>LgbEpL=0sgeZ0bI&1iNc?}E=!$Lz{c{AS=7MGRf z71{z*Or4xA;}*U-CVrr_vapDiAeH}{2WySj_vNWpjcSPuHa@=d!)-nOBP7Hx4mLI+ zr#FSUUCMu-SOAs&R^|vt7cvkIzZF0{yTo#nxA)tl<<{OUhns0n_onMPu{Sg{)c*c{ zHg0bHM$E-F3^Z8((U|W`fK1KFycI77&;qA?_7mT%87pjn-(V7bLtWiPx?F~e%fmwb z`no|}|E8J(PPj?fRt!mGmh_pTUB)I&qBqRO4;82tB}tl z){gvdTeKko3x$uv=9CH`-ReNbL>jFqFVFuupb^oqXr(b^a;(`%+|{)+`R&^`V4W+B zj?`q`I^)oUO-^v8X3ExVK;(5RB>X&|eig;-ziSTix>1UYO<3w&_r0rx}upQLO^p6jYJcCDZ$hXpt`YU$+~_QbyQ$hdCm%FVGhi zA@e?t(n!e-ZJHsBCQtPm^kf0lNzbp7E`a37d9kTm5TN~gqyRRsLGXD$h|NNF_MSO* ze~Zm!r7Zvs-EMFYIJ+t+#NzSI8q|wqt+3jx%U7uEPqrnL$jDgF#1^w0x3}dl{8Fl& z(5&bY_?l6Bs`h1mVP-Hj*q}<|e!{~?BuTU4OxCdH(SfXbc%g!Je{lhI(Nu2XIBweH zP~|gTl?+~X>0n3If*V)t6|MlLcgX1yZvDYtS0~_;N8M(`~T4P^)#2$gc=0DnXSO=62k}&r@ohIlk!UY}-E|pGb3XBI7zQC^ zVC0PVUs;S!*#|@2Sk!Yba+%;AjyJ7dwQ213e4L?bTS2#0h0b83+^XClEz^eY-{w{j z;;MB~iQQf6v;>CIOYL9 zYu2Z> zBL^wzFUicZI*l83!Nm>%G+fuq#R51}pJt}&roe6OSUHQ$1dOPvtX8w1Z8xh0a2nL> z46$HXI_uorKG{3^dJFTm(vL;u3ylt%QuT9I4LhXMz0T!X9j-qMAADTPlUuhOG2JS= zOQoZlt}YMUKuNTmSn(3x7ayE1q5K=z){jn&b?MYNJx){ZLZ+;(cE>^eeW+}r_yV5w zcKv?3^4d2xGj-qJI`kDTRqo=C{Ad7m|)Rq!v)9Z!=X>^Hj5b9st^YbSDa)NZJP39P110 z*>8P0IudZF8N1tTyQ%fzchse>>~OGK%ynaF%)E@-HG9{{G=4oKSmkP>@MT^>5vjrY zp_&|&*7O~;7>>P-UX|J5dUXGgHt6AURmaVCcOZg`Ep`X==50n)zVCMa zEEkiDijS;|?P-hd(;A!ku3Si&qPKdz0O|e6TV1-;_MNadA)-;Tqy#k)xJG}m6zlZ? zyl#lDi}*0WLE{SwCgo_m+hnbuYPI{N#a_$h*+qWk;$oxCaHI6m&+pjv3z=>Byh%dw zpEGWwo*tjdxgBHCP+TtVQW&Ci)$^D&r!H3+@Yp=2ug03xjsfOn*Z|%%@Qhjo8w_|g zy+JOQ4F{e)Bc1PIl*AJjr-J;H;k?(Y%|X#osC@R43U-xv&DUVS^LmGQ!s(v+T zYY)SOA#eQz&U$oU4VHEAc^X<6&+BLQ`A$Gs_ILfC=4XxzIPxAGTp|#2aBeXAwAJx+T=f>$P_$OgCgpB) zTvw&veA}WmUeRT{{Xx2yVGb7~>%i^KGv-?oZ`WDo@+;{jE*bE4sQk`_)e}YM%B^I^X85S6A$BH5?r`116Io zU=25?he=Fny^&Bc@I9Rtn(SRbsgCs}iu{<)n<|bn*`pQ7sM@_lDtqGS${3Gr1_N{I znv-XtbsDEfqXOw)*IWCFe~q=y_C~nc2AP&lTO74%nQ46u-0o%eDkK+%v)#>aY{yI@$4H5o_bUZ$EuQ&#M=(jD3Ao*0Dn5 zXx(`2#dJ==u#od}-PN2g^06*(3DzL?fl1uaE~`DSnRh08PT72is{NsBGWgB*wxdxURK>VKC#)} zW$sYU4EvWo9b3kM_7g_v?AVOb1o}9C?`q%R09sy4+s<_g;7tAL_X@V(2vnoxcfO#M z?bdE{J(XWG}zQe{IaeZqiR@e?MQFm_Bg!o#Untx8FZBe|&o~h8)4R$I=J;p?`?0)^s$L&2rl`%bM#l z8^lM94KZk}{h&7#uKh-S_54zU`KI7IpF91o)4Hq-u$Eq1RCoiuPVrK+|+rLufyq7HAqO}n3f#5 z`KG`XpNb^Ij8S8=>jLKKJ`2TkBy%$6SB5HaxOL%u9}kEhmuI{7#+zy@5-u2dEe(Ir z)Y~P+hKAzoAlw}12RsOm4xa31U^q^5n_N|EQv^MRl-Plq6Siz%Xm~J#sT={5Q_t3z zl@zE5T|no-o4jHsp?g>Eq%FlkoX$>=F9f4ms!eIwwq^%XKoq9BjIR~8=3YQ9V zJNH42w7rpZwy+qj#dIxk+MFDs{(i~G7Lk3r#n~LqqZT6-)R@4cL7*Ufpb6=im?;?}F- zQL8rbY4^k@JpyHczy9{%c73^DV6w^hY-zm8M_CHgxX15>~3=Yu&32SIK$f@^@w}*V=p_duc=%nF%=oVR#Lx*+h#5{7+sc7 zE|}LAUo#MN*k!#%`E;{bZdsDd@km#7p>Z3Bl!CxbemYe9YWw}fu=cU%iE+fE{;^3B zSivFn>^=wq2Z*E|eOArQzAg_bN?Pa*Uevg0nyUf=ou+U=gRM)hn&C$Ayi>#f1SXM>%;3IH56khgbb@ub#KHr6Tv z9dCfKBawr5(O|KRTm6I2)(j+$2*}peE#ubu z4(DlqbQQnQMr*KyVB6dNvyPEf4!xCr;&HL+>ix*Zh3x2l>oq=&;-2BC4HnyW-glp+ zi#DgzqGOH#4ECu+k;CQM^AWzR<+P+B^(JYNeFZ0_v(4sNZw*eTVK)=hI#GL*@9Ee4 z8lFcz%Yzh%o{R<;DM;)U*Pf}(xLX%F;E7U$VZZX%9zLfRS6tqD4^vSyuovV{d^7^7 z4?Gc?a$g_!H=A1l4s>$qebSEWZvIyA%IanJK|&GbC&JzBGKThx$nUq`D? z)oNzdp@XjipN=IVsaftib9~zQ*P{j-<(_WO(|qnGUD!uuPw#iDY-QTkovKt8+-IAYowWa`U=-UvhZVnPp-iDAtt@ZLabR$KzY#h* z#Y2nv^linWaE)T+0e_0-q|iQH*$+RsI}Tt>+P0^NOxtM08+)r7G51+n@6AKH)k^Kg z#~>0_VG##y@S=t}vSEhQfz!pTljZCxLd4rMeyo($_xr~>t1hfLpPiMwDdeCE;?wY* zO(x#!f}~|nV{~ULpKLY`H>bwRFi1e9X65#29~113m#!^ms(4|K!-wHas4Tvi{0;^UY_u@y=3q39I!CALQ3}oFE$ZwVHc+Ox!Ker|s=PdTEl~$w8@-2!tQw3|? zY`*Me>-~lW_sNF&u~|w_u@yZ}ohcrf_i^W>6LY`@oP~M+)KCuxmp1;%I;!ym-r%Kav(TU< zeD@;_PA?Q06VS)BDnFMkM_=SI+7+#oapzubwA9y0S)4g4x(#Ab zEoeOAkA>LAz2nQB{mEFu6tm1I?0lH|9oIfu%VV8qHEU69Wy%{CbQ*a*tWZUIp12Ye zl7s(YQJLxsour0_=bZP)Tem^O&L4nlBS#78P3VorKjdCa{xoj3mX(cYHD9c~z7`HL z=W#r_Zuf8ocvBS#vtho%%b3)*BhP$oVvS=D3ZGk1wR~&nlXJ2WLNU`2l|m3W0)B-j zsXr65r=}PB72+bvtCsOxC*`nuaQ>}xZs9kv*M>0-cU_(@t&Y#FkMHZ3H?O>U;hes1 z&xvK+G|VwAIM$IMG$b)Lu3ks-NL#9~?Cwf1UYa#hG*&!pwIQB<&`3@?j8$nY!g`JY z&o4a*AS2Pxh<*YG{GJU19}k|W(_(KWHvj8?02RAP0D@Qy zL`MW5h6{n`w7-X>PhrXFtVSs$a$x+_$#TQzxr4(%WeE7VTONW@0x>SkgTgYMB& zQ35IpYW{1ox@hI!G6T_z0)Q-@IJWIYfrPTn^S-<=XiNRJbs5TZ_h>;$0GEAfxyfu+ z2dDZE=J9P3`a_-^eUgW(>yMtEi78eMFMc@G6#f1mfaxU?CN7X1{)1_(HT&l+yr=$yd3-wrP$lgJ8}Uux zTSoxjXz_~hh4=%}d|P`4G`=E7Mg!OB$zF`&;Z8#-!2E%bY@QLG31=Q+;3n*z(V|03 zcv7U_M*nSks5gLs=Mi?FA%N>t09v$G%pNWEM?kx0ge$3G5t$2k@;V2Ewb3;s^!=j( zMoyrTB*XSI2`O-08W8r4c)hRE9|1otJ_}v99N7olRQhw>&>b*&9{93gI zKUxze{1I^N3J{v!x{<^I_)0J!;PO{s4B;OQ>)8Pn72K=P;u661r02w3q{Zu!KLWmN zd=~m&$OsVjiVf-=^dAlX|6Brm9`xP9TWIY6DB!myT&Z9BcV>T}}+h3q_GqMo%YWkV3+y+ZRY;gR)I=c!Q8)o@%+m-m{-gx;aX0>&@n(gnj62> z1N(~4^$6I1`#>daT~L2+0nnB_+1SDY?5LAy7@qyJdnN)O>;WFZ3q34(t%USfl=$ao z@QWV0>jtXGljk)@JXQ;yD6;8Td--3b5U!y^NI01n(rtrVikBnJ{xN=-#>at$@NFTmyQ1iF9d`|uYdLK;L!4unvFWZNGooJiFI_{h!VP zlJwPo{9oXI{l7{f`t=_H?cn~`|3A_YBLC4a<1fIa{*V9rB>3U}5%81dbHUQT{?GU! z(~=Q0Tr97@VI1*65;9K{b?k40zR!aB5c5cE?X}IMMC=-4!@X6Y+GtG)UPeZ>j~?6t z`UQdb3=|ph;>!~vO#uOW@~?n>D`~C7E&c!D83G>kH?$>m3BCZKnsBdq9i+{i{^au>^plsBhUc8fYxfdPq zsQP*m>HG6P!TNR>RI)@8ffgb%KR=I*jBIajpOTW|=H_;Da|3LZ{r&wvfBpo>=`OOP zw369-nNjZkQk#Fki-aqw0%lImUjPV*p&L)f!I5;fHAF`^pHtL?ix`x-J~7()b$nwd zF%jMLOb(4h`Lxk?^{d^_E;zeIDh$<1t)C9fBfQ>4ZL_npt8H%Q0sVIoI2^^6(cmE2xq+`SqmjUTN$xdfa>VoW z_Su3R{_c6SW3u^2=B9fFt>6hSTWf1P)W*w_kbHhwY^&|?{dvCYgZbKvtfP|g7M~Xb z%uX_s-(gM9R_fDpY~gM}%TM$q0fdaG&`k@VlIVPmSkk0azLE3%+8tj;K5?h*8@E|z zbn(CZ7Ao{by~AOqrjE{$XZ7(2(QDw}t5@kIQ3rIMXId#TSFeUG7f1 zWq=hFd%vQ>(CLqZurn`8=B*+lBMXo8N^JsNTakgfvgepA^!v{h>iL5szk_}@`|s?B z<^x-Tqx3hYOzDR&SXfwfYetQ;PG^L&=Q#;7X3)cW=4(V5&x`wa5sWV8XhF^_X5+z7 zHgl|jMiA^q;-M%(!i(greIpu-PC!XoQr|=Y_y8Q8s{lFPdoj5mRD5e!n2WV;Ee$l5 z0B>0GeZiZ=n`A;c`56y;inW+y;1%y|%Jv)H+3HPS@KyD4*d(x{WMaI;aHF4wt0U%X zkID{mRDn*-i{&CJ@A^S&lPB?*A3arogMuR5U1&%Z>h)Jhy9P2jUi!Qk56x;pdswho zu19g$b)3M_Vr&#bYqR;nDo!Us`n77yaHNVUuP2qmL9XyqYQuQ~6~3)^o5w&B#mGwN zEKbYreF3VNn3xplyW%4jB@Rx=XQ6LXKJmEQq*3$HH%poyG)TGcb(*T#Ha9*rQzuAO zsBk$yP9XORWVOh9Rkic{EMcNf@Sg-lSg8n^R~(cy)`MR#4BczRHE{8hmUPd}fV}Yp zy4?pOS--pm^y&)J)CD?>NS~Wi3vJV6cJX6*;@X|P2IE5qkC-M+XP$-QG3_^U2+ZT* zs*YK^YQX!h$O|UO2{g{was9q?-<5ZO^-FOal4S57JAc7!^hW$L+PcP?mSb=*D@}g5 z3PN5obtFh~r@yIaYFK)@I^67%ick^0k{qD1+zb%w2{W!c{v4@*auyn z!=)X0ee}M=%?5?xVW$d5tewYZXa_lqe(~c({*ks&7P^Kq#`#JXd10gTWYkH%;?HJz zV*d?baruXRqTx-_p_m#Qd!Of(`MHaS(QwlCy7E`uo9KQv0&nk&dUGCmov7U_)Kr3t zq5a3phJe85BMR{HB3k1fwXO)qy4+iAjD`Du*n7*UD7&y>R1uYsl2E$4yFt2!?(XiE z77;PQxsv10_poMnrdhmM^w?%cYq+IFb^HMM#)V@%4;wU@|qW$YL$mERJg% za;~*E%NGx;ywaJgQh-Y{i{gE&$xQ5vR#0LUDqUb#K;OEGJ2sI?v`dzU$vJggzknbl zOH0~%%<~v5G-32=EC|Qf$C#B%ObSAYzfc2UN#OX07&_<{xb z)OFc67u~~e+)uO+N}7q;1G_#CV-)S{tMYTEld4+MhKy+(QM=7n@v3BmoKog zAuJuy(obwNBCiVBH*a?)tZXL$+M%eV-${noPp-DNx8+SW^(MJ?=c~$Om#@x-In&4* zFyQ$v3XopF{)#aZ4UZ?QZk+(ZEXxQsiW%NW|cq!-$RwhxLL{auBly-KFhUnoI)OYMV=qi zPCRL3kOYZuAK~!#hSMLHdLE_2Ep0rCB0ai?6Gr~xS^K9tQ457*FP6Ooh`$NBA1;5A zVpOqYOF5NHj}T4NnkJzG&R>F?D`^-eE{-R6eT6c(yJAtwid1TA*~;6W7)Mp^h_OUX z1tTmJRPrAvsN8Kg%-frBEq%Hnpf&gP2n);BU%s01lhvv6IP2>QS@PW1*JczS7gCiy z&G$?1Q>#f_!xS7!KJP6yt;&<-c1RDR-Sti5v%F4Omr*a%;qbcEU-n?6%3EUAqP)2I z=3JC1kCfgDn#+M`O}8&LI&CzU#WSRGIF4l!TE(6&e>K~d=`b(7w%VVj#gg~A7?e7h zksVO4ui^0MYK}xVmrs5;+EWFm*UphxazGzolY;T1x>EGPYBV=2?@hgqKz=L`x zJvJDIV;z=pf^K?u;RQd2J$rOty_&mREn}}Y%U9pBcvXfsHa2*wYQf2DClN28=Jj4Kj>R!aKg{e17`TtYS+A1fTAFWvM%yfq)22&V{tE#P*$G_OeZ$acbUexjJu zqO)eQNs#bn@W`P^ti|!$&2nm3wRWWrMa}D?_^@Qm)bu*T?#ob#4uTTHw0m{Gw+Ffp z&l+ljqIb6U)kzg=re?35O|3kXCw&Oh$C9wJMzdoluWl|e5WBQIlxOa|X31jj^!n?bSP~oXe zdxjJa%VNU$sdT&IRDQ>1`PNPnxwiWCyhYnzM$zb{L*S+oX)u8)q^nDDjbFLw<>#?u z8f%<%mg0|QB{8wFiaD$%^P#`@GQ7Zo8QyEifp-rEUypT@a!$zS^H?k#XRi=q&2&@9 zz)C51H@h*Gby=w`3RGG5Mg{6KBm!4(`xOgd8)Cc~xHNFe=*zfgK8XkOUN5RY)OePK zcPN^>-$0zLEj0?Y(y-2m*fQSCDY#8@ijt{M$CxZMuVAC$qhn%X;^RB^kMkC(7Ip1#}~1)9cz+&UpP8L=NRWv2@jzyvCbK_S|1Cl-ZD6Bdc5vRvZ-1p$bYdD zu54aBFPndNM?hv0H`;PEKYq|)Iqe2Yt$^4$H_jDnMhnm=X}O+#*~BWGKQ$VvI}u0{ zaKD<|dVzj2Xt=RSaLib}yqF!bEBJ8r1HDy&-{&z9btB&SrdPgA?m%5TKYguDdwySS z2QGZMRN=zUE0QgyUaLuL=|c28A9Q6vc_^2|>M<}{>a6=js zJ2YXzZ=(Vp_a?OBMT#>c82eSo5{YYL^(qCaxZZW91?rd1x&C}9pS`6;J;Ud&Bm}Wi zB9K`+hxmUFW7D!l#)jy&Zxy4qw_-YHSuxk#OVXZ}vi*db0vD zn)Gt}Bh7#6c$T3`{a78EU0goDY7lV0>vgt_<~+GOSQ;FVz3@S$uu`3lGh1wR2bX`* zwwmwrhz)&7UBagd=|4L4mWNtm!`F_(qLl-Vx*VOLB2TBVRIcw>JYM3 zTf_=>&Hpi6a(5dqbFkg8V>NYC7H)KepWegNaCD||bd(fLLY~;-*1w=G4~bl2N!yK` zYz^w&-**P{qMIr@<+idCi`+VpGZ_Aeb(aVQ6DFgg%W8v+wA5O3@+`juZ?b4W4|Koa zhnhJYV-PJ_e2hy~<9pIodhku_S#R2ST1D7%!uh&+7nSK-UJ%P7 z%W8N?6lTG#?*y~>%WUuG^C^Z%%Eb<_@I>F|P zf5tu^jaR+%$UNmXCd;iA?LDK#S^I{tXJm$Ou_(Ty=?O54u1^c?KP+Z3}*6EBmK zNyAX5nQLQP__N6wtfG;QbAX%JmofdI;CCQ<*rbz@uS((dRxB`6buJ|h^@@m$tmrF< zF1Bcb#%S|aJZxa~=-M2=Fl1SF*@^pGpWT}dT}QLs>Ve&_K49<4 zv(*-=RL0%@P%@R-e$U)7{K0JJi&;x)4H0>cru`&)XXuUKc*RoXsyZUHwjlE1T?k+N z9QVslWPE%nSW*Z6*-Hjv7D(d8@`g@MPFrG65>j~UaT+D*fiNY4d_-cnMje|6xB!k? z_s(M7AaZT+_4&ohDrFcU=U&SvnS(AhWdmcG$z1YPiDtq3{<2y-eL?HhLG^W;=FiEc(n?OUCKUa@Ws?9QGMNif752*L!zAE^fk`Ur=3s zN9pI($!kjVum;{+cC(*WR5GZ==j`_B^C`Vy_b#HerLSGw#fQi;*;F2nZu1k3!0NS_ zjp^-l0z`v(uw<_~hfmdJch<1XUOL~px!@P=+MG9pE*AqSD9gC_L%gIxV78N!(5Fb! zikhc+r22${W@8)l&(-K}emWTe+q27^I;)!+_7SbF4m4}mb;wdnU&S73vUshPI~qge zFIR+_&i1A@KF}qy90wdJFA=h?%;U#*J}WAvw(Pb(al(9CHllpMB>Q8W{H|0zDd%g;97Ib=M3 z$NR?3Gg|f1kv-)iO-8Jc3326Qsl2JVO~)}Oeu_j5vZy{ApE|5qVN zA#OEIXsI*keM4;PCjHnDc>dJty743VXJn%n`Ago*C0Zrt3k}X}G>5Z|uk&HQGDZXk zOW4@ZElS*EMKw0^^jR7kleTz&?say@O(n-bNKQ)HVpeodUwsWNJ)MTV+vS_q8+rQt zx$?KkCN|d(wHkEQ37D}F8va%eHBOOQKshA?1k^fYBxz}B3nCXPR7cZ+BGm-OVLqGA z**s8BIU@~qC@m?A@Bc?Yd@3@d!%D^u3lX>e0F#j9!B=kBp0%-rG}_R(|S;qHDiEarFM ze9J>j190$|_`A|@P7szR4U#T05>gJr!qp2Uc{?pFEn#7p#N$V`Qx2AYY7Fl-$24R& zH#g6PM09;=_&B+_XA^_>$-3~6HwO|~MF*~O!{&oT{YvMTaL8T&M|#v@b@m%3-emH; z_jI*<0)pLY}dkyqBJqHp_o-0`F_Mg_o7-=SNUgc?4r8^DgD%v!4-%3h@zjbr*v|t-$LW zcE5iMsQ#K6ohFkjqKZ2>MK+j6SqD^Dt&&WFu|(Xuoj;+du0Kb{tM@#k5yD-oOO?8} z2j6Y186`}(WW>D)1Ot18VqxB9TreZz(vYN3wSJzb4USEt24f-bMX zH-cVv<{lQW^k{^)XQ_d>qCL^7`ov}LcYC3p{xML)v~mQf7gf2WUge?@CWWNL#-70}xb(p+x+h7B9Xh#gv?{+YP?1); zZC^{Bg2^eXVD&h>Ui@11y}`#aaa9LU|4lLA;9H&bw~wU1MZ);vv8SihFq3}5VB*li zke9^%?JLV&;B*5o3jkd7+(R66v`qd*eAcH z{CAIOD-r03GY&eXAOgi4JfM@}#ZU@80`~Wa-n(d^KQvqs8u;DFp;Af<_s-}k^&9!;VyQ8|DFMK0M77TaMzBZVFE|X^r78ax;ivq?fq@DeKiNV zrmbxKjtoFp;0zQ<_Z#ZF^ZtuN4p?~t5H+4m7~n`DBG533UgvoK_f8<_RDm9)&BvQ@ z4*`hZh5;n=znZ@M??K2mDFDajjI@Lb0M~~Y=vh@Rh%^1N8o$Nip9XM$Ge!q#00s<4 z0%F8$9i8=wkcI2;h*5n}_>v6LW+W;9fszo$D3soA2BJ$>%yc ztIGeu@t6|8k#0RPeqz zD;oX};eBp1PU~NHg7%jBp@$m*;W*^SW^?5o=+86j6pI;DsS!VwYTAbdN!~afcrtJD55{5fi2l^ za+Ii}do6OBo}&=hEH<9C`9Hb2X$EeCx84L^|Kqkd19ZQXNwa7VW~+ifOYj8BWh+BB z?*644Na4LM4i=j|oVB!)d`4JYH#j&sm5nE{hjSvT6-9tMRG=yv`6yHg>yxNTw!(@? zKi&AuOV4BRkX7LA6JnyGuuq>pg@wHY8h6*%OHJ;F2duUejG%d-sRrEPO+ECJfD^&t z;r=d}(|&HaUH(5R2Wwyf~(kqz!a( zMkHX6YC~Vt<>q!XG_XZyHa9nCXMd2+TUgL}x%A}=@CwI?wY9Zu^TjP4AjZ+tBfxaW za7Mv>`t^O}6vI~kdK!Bo7s`JW;j26BOIzI3=%^^+#rlbeexTbpJe=p6pRX&?(l}A; z(h6K-@#;6@sqb{7EhRtcx^Cl}pALkkHP5QXBjmq-4^z3gw`W6BBl$MnHp|&EDaeN# z=5up!Nnzn<1aTUg8>a?y8L}Z@$^W)hz~8qVFcVH$Luf2IJJSYLvt3FVbQrgkRIE>5O~w$M-ZMpg)HOu(T>=m%y_Rwiz?zmJ*!dCbR0#0WCAGk38d zVq*cGDG@QMcsQ66F>1&gS(zHU5HTvd8oB&>B4ul64t$|*X#x!9V&))Xlr*(8w{Rii z;s!oT*}0fHIoR76x|kxkIJp7?MeJ?tos=C6jZKL-e?x|ju>(LVm^vAoI=HwR+5q5X z4V|rt*w}xM5Vmx22EG-sw{@_$1I8k75iyEe+5n(||Dmu&O^xkMOo;>p5dPuw?c=?c z@G^3U>n5jPeW7uhM#+O0Ef z>WVc&1WS9q+$p`Qu3S1>DzTU99fYLGY1m+LSz%-`k9xc@lh@8Y#FTlv>gm^$bxrmc zEj~BC49`vqi{w+Cca-_dT9tJdFGBR!o;-$o^9Tm@-6L3{a(NiRyKzDKmGtY7i>v>B z@d{?EqQ!uc3YO^6@8NK;BgT)PKK=Kn|9iy$M|lhgeXn5j+YupbH4;eoybQc?{;+lK zaThqBZufFV{`6ph3-OnTglh5Jg1_1;m+uKiq*|L~0P?v!&uWhPSG_-5bwL1$3%BS47 zmy}5D~3h6bsEObWq2=?9eOhOUQs#<-mkycQb4no+j9XE zt3@pt>)$Ux1o4ozFuB>LLFJ$^#K$_{!c~GbS;bSQRX?&TuUy9qnpWOz1q&P%g!|P$ zo-vuv&pK`!j0^(5?(5)`5cL*@YFV2C%*i&hpk-~<8%Xo^7nx`k)?{xIG~y*4-@X?I zfoesTyD=tbxA=d=mz$f++Z z=tHz3PmV#$dZ13eYgQ#_@Z@7k@{JqHV> zH}F4C)Jz?YWD+0-^m*@H+ny(gqT}(2nYGQ$0cF`+61niqD>)Hm>4KVBCZ8Ld62f)j zP^+-babtC-r>=Ln>HRUF`7&vU&m1|s#+%4Q+X>^RqKVNF^BwqOb7qB?uf|SVEPXuz z{E71s0}D?%^#q0+Iwo(uG&NA?Ybe}C1lhs~k|#Y%Bql@vsc3NdQ=cWIyRTh5bd1`v z=V51hI7e5kV-23|n8n?`nx|v=u-(8noxf3HN1dyPM?o%@^oB61DcYA_?%Lv@-bZc< z;-W2hG27XvY3Ze{M%~NLyIcU8{NO#2I$o>)t>k!WLFMcKq1QsjK5nNCwYvVXl-E`m z`8Kt>Y&D9{4`&pww82^Xgyi;gi^Esen?gQ;c0t0U#cpUpYP?imA)h1mO_`!+XvMQ% zLTB(8ejo#4Qx=Qmr0ngugt4m8QSC|8x*gZ|DO>eux~VT?lD>v5>YFrHmcaeG-i6(d z;<1!y)D?xi(vw*f8WN za~@S11rV7}{^tj=90~+li(}4l(^vYvafL1zx?${ZgxV}t6{e_tZdpyAPVRJ|_#KR= zTfhcgRo@{j&FOK5MC|y76u;srwc$eH6sf6K#Y0%!CM>FnX|4hZA2)s-$IXCr#v=0s z6GX4X@s|51^F{r%JOPRFYS<+t5yllB#sqBBE7x*3r#hp{*qg>kU`jtols8og&zLE? z_ngF9)d!%&H{d4;SWcANxRgqyvjEoAKZ}GZouEHYSP(sN`Q+X=XC!RrE>od`1v@E} zgC#>9wby3giZ-S*KJ+OEoS+idNRsDmxtCkl`%NQOV>9e;gBtn-A1-*paCM4x0cxwL5Q z<=n;y1>qRe>f4(Y`|69DMfN@A$_npVdXWAqwpqWX;c2wS24R@BX=YQ6LW>>N-1&qt zM{lz=aCv#Ul{=1ycCV0eFOrSIeGuRi9R^@?CycLREUnt@?FA22_Oi7Gb-bpGJ*%So ztX6X}a|O5Mc{klSCrm`cw_E3V)oeqha&sY>TLQNGI_PmRr_{WlhPPE!dDvC?ya7fv zSCFjYlw`Q`GTYMxr*SO)&j*UHJ*UFnx8@|gb!Z98^4eeq)exB_TGZJo@Wr8$7q~My zv$``&pan!5eQQK`a4L~~$-oBM;WVP=L0O`Lx^6Bx80TH)JKDuz(S5!G>20%wxfi9u z)KRcG?jTH88FQQ!U*)DxMd0G7g5L+^NU#KX6na?Ci~l}o_*YJ2MFy1kOJtyX1N zU*G!};R#dXJ?k3l)AP2IhySuZG zieXTvc^HkKI?l-?he5zb#%_u*yLEmM?|@ie5fMBCXSJ6IHjw@-(n z%U6n)6LVuuY;sFV&7E~(S9(-#XUdrkA;3sXKt_Y)+s35iL?Me35^WBRs)R1{DJ>zL zZq~c!gt*$AkDwwM?5WAhz(gx0&#?I7qDL4mDw-DK8)feiG}l5pQxmXJG@44 zoL8ZJR@IEkX%ny!*C?K6;)OH$fs(<8FvOD%ITaMQGr}7Q>kPC> zoFQ{_e7thoVOvfNiOuL9);j7q-=v<$EqGXnJ%$?R(U&u21n7HOFSj7qNy^fgWSsq= z4kkZ#Rzrpvl3`4=%)?aj>36B@IMajX9ZFIbxViM>g-qPfWOPRgg2JdqO;fItW5*Oy zt+`8|hqBLN zvrDZ{NW3~JPYd$84r|DxsppLxR3f`~BXu#r4L0$G+x4cn3{Rx8^4?V-MLWi9q=_WY zbeCe1V$pIo_Emg2sbWTb1`U#tliFUMCOn!rY0|^{7$@6I*W+7@F1wajV~s?n#^zJU zVM`4Pr2`7gbm`Ol8gXA?_-dYM1H~KUZ8^upkuV&6yJ1%$@xpB7)r~cV{Ts2dy>9^7i z{-e=zsZG5N^7T)vZu916K=izq5 zymiCy8GXiZooT=H_;{geifEoRXN`z_FwibXnXOB^wl5#+m{tzg>`C$~)o7lIYVD6^ z8AN7y;LnS^c0%gvYekv*ij}s}V(3%W9h9s-lDN!JoShYXm}OaMd);;|8s2;{6|l1RV&~ZBeD_?v7jJRspfx*B zWu7KvX~3O@evY&B`LGh)Nu=Y{Z#F+mvF+0&uI&Kt_txT}okqNi(#y(n(J6T@tZj>W zI#aLlEf0ghT2H^MmAl2CJUIQj-bVJq{X-XB*g>$w-9kPDhf=G+Y&v$x%HoQ-0a93< zvzD$C;d7cGQ`Q`Wg_LtU{ndZUZTTMk<9!*iF4J@&CkqhNTUQ=VT5wAm5y$Dp&nI+? z)BON%cyFN^pa4eGfE~7W!nkPVjl`eC>s?zb+*ioCSXw>m4F6+ITCcr+{peKtSi!op zu=+)yMhvxF5;BkY-Ks_8A>k2ix!P?V2bKiHZyKRi*nk4a>>a@_ zJOV59cfYbgCiKUrGav)U-)RW+k>|@d;b*Xc*nhLXgint=Z79m?0|5v5J0awU{|fPZ z-~Qq+*a6W;{2b^S3%{a%=rI2@AZ6qiGJN(cyMcl=BY8)V3{PhVjlq8*eW$BJdaPBN#6dqDmzGTFUbe|8j-&`1JMkn^`e*;*@dbo%-k-kpFI4_+_CL%$f;|UjrB?r5H25!jTg;_@r9o7#4{(2XOv&>X z-d?C%K&uK zKOV#TD-|0lfKl={NzmNp*AM;a0m;~VUQX^$zEz=q`7e7}#1SE!r!%831n~b% zfB_mnbI9vwQGc+1{|LYdd;4?yuZ%*7-VtbbGDX1t;X?uhsD5XF{PZ6&X}|)LMiS&t z_J=JlBY<8~0`JB@l4>IXR0xat1=-_&MAwFf{w5s%==-?qA9}Hb0FFKy62bp@5SH-B zbMNEx^wK{(mcs#1#a=v-`oob!CqTRe-=)hRZSfaFeG^V^^jZ?;k5ou0-VyNr_?EZ( zN0xN&fjLC$c=Phl13YGccr+9N-9I|>eW6oQ*k_tujhbHS^~a2>_;aPKwJ^xHks zW$qt_*}s81%)s974d3sf+15B=<~>h_Jcbz zCXM9W^tySt*){7xnO$b5Zw>wJrhxz=J{f1F(n7hDn?dAwag$bZ}` z`HuYgR>gf*82PVhrwe$K&=jDRkLkP0=_?X5&r-P*Ay$I8c!ryzCg*-x?FowO@?BT= z6-(}=(d?QHveG<8@U@Df!yY;GIrPF!xgHPBg!rCjS=jE8rhBjP3SLLFCs^!}aPLxc2;!S~BWR@ZPbIbe5 zGGF!tDWRDS-|Go7E!ub!TqK@MyfA|CBI9)L&X9_*Qb&Z}I#BKaQz=3x`Lu!YYD|W4 z`-A;bGxm_Q(3i8>t~ik)9~L~56yAjy9k+GO4TEdU}pME_I90OW7DwaZ&u-8Dq+sU?wPEI-v z5`&3X1h$a?Cfztuj6l@~b**Rg4UW`ay-t(?R-YS-G`M^AGq#wwW0XWf5m>@i;?AVJ z?OA8d)y8#eu0Ipp6nj>;?N+Si79uP#Y^gd&YnSUy^vZFQcWQCIG#~kH1ocplV4lmq zInR9FLi}_JayF9U=fMqPuU+IxzwS}lWI+T-%J^7mwVdn~o2k|9j)sDm+zAAMHRED;9%mh` z(KKcjm|A&^Lj5su0le@weoB1J{V2<0FJtWi;e&i4_l2_64Js&NL@JP3Lj=hQ8 zlpI2K^9{upRjU_0{v6$^mii-EbYMlqJB&328pstN9Y2K+8%(YXFQdK=%MOLXr>VhP zYVypaLWAO+{tMb1j@R?WTBykn_DT9`w5sm<(o2p%iEfq=wjkD zjX81Mw13>N{u~O8J5*>85Lbn;EJ=5ml^}Q__qKSs4D+3&kQAMB zvchhKMvG`vZ~7RmqLvZnZjHSyoh93LO_8fpWy=|MW$4R%i&_I4#KBVL2PLGe-lS%9 zT;yNw0|>uNz|np;VkalF=IQ*MrASfy0biVP0+LWzhKy&EM8C`;-FEdQ1smOE>=8P@ zhaBV{TT!-}ZdZR+voK5tvxV>6EsE1u>>86~>Fwo=Q4nDFErsATGuW zSC^WKMbXI@U{(^fR3{y?JTCM%!D4`ljK5{J9D;SL))qHmYfQu`H4`=a5dh>6-}9mA z1kSD0A^&pXsY;N?KQ9JC&(JeEp`Xsc(PbfeJ1JrSWWX7^y zoppL7GO;w`_W%p%JQ-8~v&xEu6ukj5kZ3X$70*g8Sg{f}p%8%PPhp<{!RD^$4eE$U zm^BKE{~D0>t3c8PMB0OFY@j4ofBgM8H0)5j+@K=t$i&O=bcQIgrvU-a1p?mhzl zOuw%KQv@arlASrblVN8`IWh1g){;If3f}4_U%97yw7#}$?X%=%(NB8|R93?h+xq>^ z8bJleUP|}(E8%*{C|x^e2?H#GN9ngpEb2iW4?n?t57F}v!+38gQ>^cM33cjTiAXjz z{j|S@JQ%b$xVDF_rRd-Gt9NYO&iieGFr4gIRR@dlBgvwL5^44qhCkp(J`{SN=J_s} z-Cqp1CP9*F%-X{Sk|>9O2{Weni3q-ySed>VzB?8Kkbee{vp<}?dKi9Jp@v#;5&}Ly z>wVRin`(W(r+>d?{4m>5!?5M4$UY1{RY%uj8g~<=q@aCEg713Ff(3Tl=bbZaKlaA2 zklG28^k^SIS4VeSOZQm~%D%S0FL@BVkE=+y)fbBLaN;tQcscxQ^}UvOqt+(M_o4P! zThXp4Q2+3laE9|?84PdU<YYLZ zVi_f(ju9j<-F!c7|DcY-pXof*@3(t+UlzT5mfTDlw@>J|X=XbrZ%4a}=zCL9PGGhp zDanW(rXc8H*dBKK>Y+oO%(fxcUY(lpzDzKYZyq@q-7T9GArHDfPst3_;nxK7NM4Fs z++FJ5)#%|>f!D+&d+eWrid~u9C$p)D+*TJrHuWfir7D&Pguv+;(Mi_`zWAti^#?b}8}} zj4P$TmvP$^(PT*+x{ny`P9{aYE#~Cb-ci6~q*_s2AZ_QQD0P3VEp{(PRDO_lL3w(VgbjbbRZMdo}EDm|PEWo6Ob$b?K#q7N`^DGE{w zNi#di%p)Og`-}IGpGDz<(PY^7?19Lcke8xR&DkYlatW#gW9CefE$XKeMBji7e{3~; zpyFf@iT3s}z}qaK7}RnZuvd@FqvgE?|dpPmIjRBa>r!+r+cD@rK<$uH*s#D$02RQE=ErF#Wz9Kzbb4rj0Ez0ibE*kYjs zmsX#s90jU%5ti_TdGWwun_*srW)}VBqpIeyiK*lpyM=k}xJIR+Ob84K5F@rV z!uROrt#LLq=L;QBe9-I1uf7#~eyp}HmF5IMM`WywP}hbH!bN0xKC5`y^ss7g%XVPQ z)SFH1e-GRgOkm*#- zwvw)-`5u>A-uNY&7-I9Q;lk|zro|OCLbuP!oc=+V68G$c@=h#jy~w08h@z=yO*Uix zk!A%!(|NG`1tn?t$^5o!VW`Kvd=kf%qgSboezvV2410e84+-ac3B&{`I}-cl$kD4! zBn;)vUWy1?TFZ0;M9jJ zCw^~}I1)6xkR`fW$3hM+4e#B(u9?qRKijS-=M;}P_eM}{_2K=QZla#{rCr52bPo@= zZc|!IYCdCH#7?_&C7xAF+`=0 zJsuZuZjR-}DJAhatpFNpjikS8J}Im}C2ndzHS^squlEDF#q^fu(Vul(pC;H0ATH}r zAG$AI3TPPOVnK4}1{kwylNy4;PH!bjYCgw!)#4a(^nUCai|e7kgR~}S-6K=?GLqb= zu1Za>DJ+rT4v4>^*zVcdVuK?43g2VT=TRnhyvyLxs-*WkhL&^okgeCNe>x8WTQ$p79Q)N|3WqnG9Uug5cA`H( zh~}m${_?4!c}vggI9NOSXvc%=LWgv?UJATsyQ8cNU*jt~iKdzV!X6JvWIT_Ek*Q#v zBj2|$Y~nqIPeG!szMzN1TFEOnd{A0o?|8I5+NADo)kX?0^%Q6+(g=eF8n&I15uFs= zyG~c}#oA~T5kz;1ByzEV(4II&i?yc7>##(*5gKwxZg!8G z=;2j0u_G`ap&3_FSjtO9?}IU%S{uZ%j`qAJL?p-zx3|gaB93J&j>CGqRydG9?hHeC+t~j z&R*w_#BuZkqiBXjhZxq!8Fb6qktUVvD|q;$)PV!GLg`7he1;Nx{f29w=juCY+TZrq zX|JJD>`5M^{PK1Ia6O$dw>XC$R1fNdgdKPob2w#s;j?yq$k>|4Q8|)m^p&`pcA9;( zCGR~WmATy-0=VCsTd+WM&|5``hNq@J_Hf5YDSw|{av(o87;&eC-B=9d78jKOJrjeX zR+VViyE8#~sGfCun_NhWPs{}ky}t_j|GZc77?F2l_$1t)UXMXcJkPNf(@d`xldzx@ zQD<|8GHU2S=2;x@jvrH;c%ZPi?$Y)&;x&kOx`(IGo8PmiB#X#M{5p!PYH+0*e>4%p zo~nPHp3|geR%QK)$A}g5%(SrDSrG6$zUecu9v*Jm2P!*)9-WC+z;XjMtUx1NIT)v^ zH+~gm%}OBfxx_^Lk{D?nHKlhpP>^P55RJ0EYV3#K#KAtAQ&P%&+@2wMEoEnpm;%rH zQepRfe%Vjh3aSqU1S+G%HXa9Iu$Q$FluW9FWcb?})~PhZp}qi~ZmQS7QbU~yddCO< z+P6jic&AH?Ws6x>yxO0N5Ur!~bq;%Z?Y3r`Lun+VFTyL4hxdC)^OcCTd4S>u$=&-A zqjX5OqYE^}Lz0?Edq>vbL8`1$5+laSNY8x%t#L(<_|z+KKv|dGnC>+f^~BRg=3UK3 zG$@IEv#B_bv?+U$5{q;!_$3E4Xh#l#<^e}WV=3@^7Ij~GEL>RGbp}5UbUp`~A8m@z zJ_y@FQKI!$4@kY|_kdqEsa)e%9uOquOi`Y-$U>4eIym9LPRfE#2yMMc{K|D4%FIeL zNLh7>e-9Xirgd#bK&EAegF`Wx*cRs2|M81Br*g;SmmZL}1JL-v!-VEK9W78(Ip7lb z<<|g-UpZw6IW*C+NMJLE;hm!=Wx-hlA*r*HupWH_`YR49zjB=ms|_3;mT^p$Ujy)w zpq7L#4q&iCM8xP%E{g{wsxy%<*cSif%)b()5PY1Me{$LH&_p%NX zAiZCD`oFyWvYxz z$F$6Iz`arN!})5Xx2Ga-bKnke%cfq-Tw%qAf=NPt`G&Kvo#?EHy786iT&JovMDzhV z1;w##R;M#W#1oKu?eNK=)~k)=Cybc1vd6B4{W6Lmx2r7^Q=0W3)r$IKMQ_=QHJNyM z<@nt;;Wgo{CuahlS8`!71}{1y&`0U#YLbjS)ALRET`VJkD;5d=)arRUD$#m>2DxFv zWMy8W>aG6axshn@J935g#9AUP?mW%addCEHd;b)>nH~!)_?Wlj_j6O=)siKEpa`C&ig3xc$*R^cr|uyst@?s*5WgMWm3O4C*=CXc*eX@)aSr`_ zZAHU^-4}bIXGx7rAcG{h{n`h!aX%a~`H?{D6X0BxsYrL*_?^4)W0LEr6Ny2PPq*?I2Ej(fp($3jZeHG?vei8uxbD_hx@V)m%1w zJrktH7GfrsnUcam=!Z(5Icqj3PH#Lmli&2=X&#CVLujfKZC7r=6n*J_q6eGGJcV0q z*bNg2O4E8W=c&)nJDc+~>g6fA!b5BM%4#H385rqyVa=a^ zn(sMgV>T=9=B-^E|6qap3M#61K;zhRN76e+8XC~+ln}gvMAEgp5ZHKHFD1%~@~J@hEXdfoT|jM? zs=`{n^X5hy#fb75uDyFL64kFVWZN-ZF(@4VyN>5NyHB^!ZpFotm;6+#O=wH_?A?X) zF#j~=hYIS!6)9uums5T$u`2_s*OydBRKeRGxT5`d)42}qwS%^{J|wQkBTJ67eaFG{ zcUrnzHBQkaGMCkHmV_u#)OpJ`k)U86Ho z1Os<0D;<<8DM!N1HL`8;!bd+?bcxSY+MNen;o2`bW(pN1br%t~D8yEuF{CP3vs%d8 zPz9=p#DsZws*92}rQ^Og^@uZchrG0(A~N5%o+Ahj!$=J7oD8m18k*XU79lfqGsdoU<> zcUe}x+S_OW`+k0(uq?JqZx^5@@@q_|{aCH+REou#o>lU?W-Z-x zVN8kt{3hWlhlEceZG0=&n{aNSIBLcz2p`B7THWN*fuWr;W}(XL?Eb`TiF-P;*yL^v zbo3a1$dc(C%Crxi=>@F~8YPl!8q-H{QcYdDCUeMTs`89U()l3FQqcKjhAM(`w6MFj zdnlDZPN^Y^zal-JnTVz5HO5x&9v$&rAJEkr4S(DO-h%V1evKqNHy* zk2;w`bqV)OH?Y29*Rw~x1_VC=IvGHJwq!o&FL>y(@H0&H$5XJJ4L_r`bwg8!5x@nqzF%CGiAzx?RvR4$I|8NbLwjYU{t9 z=w;VFtFv}b3|j?^h$^fm>B|29LEc-3RT+K%f{LhwfYOb03ew%(-OT}%RwSfF1VtL8 zOS-#D5b5p?=?3YB*$0&SyWe|fp8L!*^UORme{y)we)nE`?X^C8t@T-lTThnM$t*{a zd!o2+WciicC;a)i%aENyc*yxCcU-xpY*=JZx{qG6Pgm@ad{2)*nR2xD=j=wxaL1V+ zE@}@u!rm%)1(dw0I*S~|OeuRionN@>o}#-Kilq~m$Zk{1am+vxhNYM3Q$FV5_QFEy zA#f7_A_T$g^K&-Tm7@9Mo=WoXsgZ-jxwIvIK2;W7wwv)G4xT$V@O4FnH#5w$zbS!EN&qXbu(vpcYtqrJJGMAu?R|)-bIPCE zZY)X^Ko^D&g_S>%rvp(PkCoZCK29jIHp4$Vl5{DA-0^d0p*VT1 zVc;%Ff(%WP82Ee@xx>lSU_nxkBS4GKTd?-#B~(t#Dr9%L7%70I)VK=m5dWe%PcM*s z;*Lrpxy!|=PgXde*zWL6AWLdNr8S=s00=oj!ZXwW9I;l{cQj+J+f)0 z1p2>G!$F!O&(2^C3SMr2?qg`)=%uh|^1o4YzX7qPJ&*?dRBw?m2R0bh3x@{vu2Sg# zq)061gb%OIcj}KOEDBl@0e4U8vXPmR_aA`2Eqp7(DjC)HxLnIfymDV7J+!Gi9MLN# zxs&xEn7?f2J1rYPG^96UR6hn~G&V%rdz*`2UF8_Kbeh?YRu?ym2a?~+aK47g>IG!G zwefM$@gS>#Xf<+oWw(t9VH94wt0sb1wksoOqdmO^df`&1I=uY#4xNd`m@QWmFJASa z{Jp%~W&~XoDv=o}G7P^~vZ(NL%0U!W;h+A-O%^Q2$tITud3dRLCutB)ZqhA}ylT@)6MXxn6Dr z9lxbAHL6t%HETZXV(z{8zEApS#Qi&=OE5xy<%8&p4jLnu6?#d(gJbM?36bj`&*=+(l^}bBVjZa^*0&Dc``+1=-OXn2&Xp`UCXEXTON3~(PQH?k zrz8`)7(}!^H6}M0x`j-&UVcRKCzoQZ)L8E~g&gKue)q_5kHNtN6M^%MVz6V(qibLd_d2!)p( zIn&ivk-WfmE63tha|_TYp<14CF#HtF^C6n&-CCgv?@*y4w2~FrgQyQc!(hIUV`+U@ zvu!We$~YXOEvOxM(GXfPP`+OAzA>92Ev3WOa=|Ea*rFpS@{OWuj8HXU1YyQe+QN?< z?Y$p}DIEyf$6;9Q5y=XFGtp6TU{KrCpN7GPyoohWkc0uM-F7^rG?GEqcV0{TouN>)au7W7yI1?>KlW#buKWhB7e=Q)w60k5*q8e9ra}8}E_~Zi$jN8!XFmNGW9*hm9sK7&KkyQjuQs z^^jynq%kealBb-cEmtXRL)A(fP_DC;fX+ytLD_!(s@W9r`vse!!*m3Z%vf#^HXei z%?ON|ZDlAg#^4nmR_^efaN`E~q{gh!P9`P6-t0pSxROs|tTDdT1MmlslBGKF*sX_B z)r+nGUm7y+ghM7TLs8JFKEu@$vJA&`o>N>Gj$>Txya^vnu>Y^wCj0PYJ#p#8SCBH z%W2rl1!03FB7Usf2(mgG`K-(}n;6qJrP6wPAN}_Cmjkd?u0)OZ8vUrYmK#`lw+&5A zRc<`zJy;4*SP_f8%Cl|!?kXpqh%vZ8vN+Lk*3Hq6?&DLMtkf18K^xIIgq6BpwNI?h zJBtSgbh?;`314Mp&gpqasIm`yQffo=RiYvd)uN_p@`*@VVch>#7HI=(GQCGJ(FJ>p z5h!WcY0nivvva5?S_Y-2v0gNM#}Wpqxf4QdTSX2{98Yb4GJ{auyK$gYM;rks>o(M^eTGzjF;qnY3M2`|Cz|7T+ldOeq3B!LPCNi1M>c- zb4TWiT!BIK{SR*D*19gMNuPTXD;F$xrkv*|PEUt(l&BMPR#rx>PnAa+$Mj=W6OJYE zZfaSip*U~7jgGuP-)X*u;bK?$sF%Tgf9-l_`q9urV>5qpr<5g8%gi8peogPj3K~%c?nDy-aK+%?|C&g(NT> z?4t?kbr1K>!Hy!)f{6a*Nq0r_b=~nc<)IQ};nA)s;$;|)b#!OY9A6Bkc5cv?Kp-!b zT?uNrLG&|5w&>8#u4c>tg46CPvospGBRV@0n&MkaQiq)Hz&iohdwr zGO8&e9ci+uy^&BjMItCP(pshp{HrO0NSolZb?p1^poBSr=Ph3pY9VF|9Xs>3OE?3J z>!j5hnoNy&mn+_gPR1xvhY$w!Lj=2{GKiO)BvO($dl&m9YS8q0*n4HUq%YsUN--6x zD}#^e{Jg7(!0-z@uNA>ic#7|fe|P)1w^QrqojB>2^NIQhvfazE;f`G;5vSc!8#eFG zZFOz}Hn~t|8~#8C4V3dD!`1Xd^mHDI25*G(8KtBU*>*Swn{d=AEtM&ka;?w^v$YiD zP3eqgb`ElU(f+7xwSQGLZv;DusAz#Q+Mv_Bu}A`GEbv;QF^hu9;}RC<>XF+VnVQTSe`ea zS@vXrFs(I7myooeeM$F4eQjQz?@)$S90ZQD%zNFUDMpm>xEztRYw>>9Vzl&1>Ut8A z5Y$jMJcF9E_kCse2A2eNXW|LpeeIf+miAVb)k<|Jn~6RWUHWF8Qf=bU!uG;B-0_oc z9P2TQ{Ne&zXjxS{qwq*wwI=*zhL!b#usWzG@9zEY@rwD*P2Az8oWJ@p|82mD#UZQp z0ynxUHX=O~(YKbuDkU%nrp-nVQL9~x>!IpN(y+SbFBbjp=(udQT{bL;jM=?U(Fv8n zxxz13*ItlyOfngI+kta93^AISYWODa06N72OcVR)#(T6vZTy)cN~jD1DOZg)FJ`Gi zj*e?j6QmVYg zm+olCNl#$k2z{FLd)S`jG-97cY+ULh-6t&u;3(F#zRBg?j+vU>t-moL8rT~!Ar1&6 z4&Q$9eIMj^+~vKbboEF>KOovrG%qds_E#aQ=hQ@uXz`V+^*n0u8H$FSxX6Pa{E>GIB#69>f=>7vX zv3*a4S}6fA4Kv(t?_k<5JDwMXp7j-~5k2|(FbA(Rzuj0?R?G6+Q!9ZLW!NY;<(zRl zefI=r*6<9nJnKPDXG4{2Kd-oi=;H#hstM#W2iXs3P9!D1DMFJ-xB)dU5AiA?=6^9o;1^QPDUUP~RO0 z0#KW)KCT9z(cD=52urew)mYujC?&$amcp%^ALbm83#z%8!5h1h>pKm-u6*t14l#~R zk7nO^t+&`l`v-H}}tu0C)yBd^E|HR87(ge}KJbCiY$ksW_2;3B!P_DMXxn2lVymWBhkzw8Dz@m^}3~c?SmD^*`dv1%){tq@IjcY+TLI$VGz|)aWpU9z1~I zCVTl?)R-bC>44lHBtpX`1e68l(^KDB0qbF^kBfKsA8V@cj(C!ED}QKc6LWxLItyo zc!{tGRi&G0GXi}g*k2uV{D&VSz(ukAlj8Ho|2_ze1|p6UPyF#O$yf*rMkV)$;rt7) zp^W!FNGs3T!5wjl9N^m*hUjg#DHxCrfyRI$Oz)oQ#MM{vuv^rer4tA^w!zdwi$$J4t%G>8G2)2ABp!e)pJ-? zGmiCcb4jIsYd8{S+nbj}o5Kcm0x!UZSUaIv@!Sv5AUbb^2-?|WHQA<;mF&-jhlLFe z4x;54izNDHb8^<;Ctfr}q@)iSEw2`Nt{z(j5>6h&JtYDxLvZ;*d*6sm`n=-#d9J@% z2*uGa8yzj;ZxRJweUR|3l@UlJ)~n(e4wdXc3)an0P6dmDF;8TCGhqYh?ZgUxz?~Ad z`qh}Wv$Uv`;OYCgTI{FaGuHl4m&KhPg`N9+>NI3$5el-uH{ssqqt8RI z_687~#-K$6`4VWac5q#_#IPHKf zueNL_sgyqXLyhd`A=7VuC1lshOeSKM44KBiRn9Q80O`yBO}@VBT-sk9d=#Q&{wI#weeJ*%V9rYQqkF-TFj&K534;)?-BGL z!S^*{Vu0O!O>60bjn!dd|CSZB1dKh zj)%zA@2jg@I6-k=1~`V?&9a4xu!~3+T1H4T(v$ZYJHt$K;cy+xDP)4mqa&ED)1P2c ze4eU7d$5}vV8OjvXIQnvCLgcCn4DW2g%vJpLsNt9iOWENVT7J7qh51OQ${oFO<#(y zn~a2CH}YCr{9~HJQuFJLeDuS;d8L}|)vqWai?(y#u0y5hQcnif`+l;u8(`?88g|*0 z)2EFwb$C4hGm8c@>%_+@9)NWy;xk=H3roo>LrYX+)eDK{nxx{=HSa(9x-mV4Q^xWv zhtiTSt^V9(X0CGcIkJfrC>zyk=8H+O&A|?|3fI(1k>D`zJQ8WwhTx30ijOGo)IVk` zcQa#VdF$->l9kTcLPrhsM8X1f?G1cT+btL$^$Tv(=sK#KD*nLI%4vqR?5Zbvgvz=n zXoTJMvo2{(@-u?>i2H-f&$t7g6nnWxkCL7_@pPZ<&Clmng}IOrxeU^wqAwL{I!KD9 zg}3Mb)_d1xRl750R+rI_GnrYXnu8Tx+qs>1sA9gghsow%fGpOlnO zSG&(3SjxNMM`A%&hGJ}H9#(kriZbUCg%hRz9+BL>T~{nY293X^pNcGbv}27d#f534 zb6Z^qB!I?(#?GeGke1VDT`Px7_pwrINvF0MdmbddKH%vs)a=)@WGR zs1-0F3ZYR0QKZN1LvWj`=d_(AI%Xf0?Xy=07;4(jOz|*H?Oc*eB|=aCU@QfjnU7?z zaySIDu^1xcEvWHD%ktM~MYkcLDNCpk){SK{AyQTg*`>XjG%=Kx%{6;w+CDYx-9!`e zbuqU5zQ4WkmXJrhqYc)qs&*+BS&L4mYmmG!^`TzVhkr32VXYGKNnt zf_%}`9GLY8Gh;q*CJAwV$re-Ee?G4`z^M%4PyhHZd8oiE0r&O;guuJ887ZE1GQZ{$ zoq+H@!-Vz8K{X4nRZy)^*1paQA8%4|e_aBbnzs?@1WBY^UexDg43Bh?G)pC}WrsK< zmzu_EB@K;t%v*(iCDCM;3y;I;&bGDS$S7z&R$LeUO_xh_Tq(q8nS_!~gVMif#k{*x zTr2drgn522A@^7Z-j%4~*yf;Fd4u2(99v{yavk7e70{jn^6Iop`(o~xzrXRTO1l{U z3<(#Na$gLQeWtTQ+1luI(E_qg#?Xu+bJN{rQi=fY?v$6*>gU6h`+b#vkocYSy0!df z7wGX8@QRe>q@ztXJc*6fR$rU^T0G0%HC$!?1F-}o_S1CY*Js#VYkz2)2P?-8+6~W+ z+ap>yjBt-xwc$a*7#i?$nhC8R@iSas0u3S1WF2KZ1Q$O zwO5Opk%@hQo7M*Zwek*X0S}VFUTpn5sWYmwIMC?%1ZqePkiZfxutm@AU?MRTCho0< z+@VnmC>s4mHE;(Lk)bd#SUhOz4vjtl&Ky@PgzpX}wn0q+kMrUk8pVZT=9ga&?qH%Y z6eceAlis1xJ6Zh0jrdGpRN^4Qsyj6L2%L3Re-gJlc9U5hYMq3Th*Y1$> zXDD)ROA+7xH)<{vpd+P75ZxhXV=$^PA+PNnK>uGB386kHqdJTAc)#DgZ!>)oJ$t$= z@3wZlWJ4_-FhoT3#Q860Ssu*ckMMhAf=b8hs{;39dH4AXM+*<2?f5tt)wi+P&$8g5 zu?0ut@!9_#in7FZ`VEGK(JsGpW}N6$LxLjFIF&-j;jcSDc5!XZS5+jr*MZJxdGN_<(digr zH@_&9W7h>u8$D3bk&_p&7Ch3#86=V2{5)G(8fIVY{)sTwU7*z&ly}i_P5_PS1e>RQ zbP^m-+E@2Vrt_t4gxW7S%%!oHSYaBy6+XYt##}8aah&6TK8S-futVwt4~6N742rT2 zTouBjCUR%XHLOP)5NRUfJy6ein_dyi(M1i$(tI*tFrhJlEP=txc5g&arXS++Q%(Ws zsRLNn_%k#E3z$7?#ur78!qcn@PSkv(KhylucgNGry&q?C1`fF~1Y{wj#qZ~eJBj>G zKG1;}4P%dEn|*N>mw6Lry_oy7sO-s%>*&IphvLE|woixlv%4YBC^Nh>xL@$1@T8g| zJR3jy9&9j`&-v9qKk7dAsNWRpO`J0w#MWX%DSj*yKQC}0xWT$x;VR5;+2vJm*M@ks zsS}wg6Sa^GbjNXcQBksdu_rR_;XX8qt73mGlGoJXM|>`jAuXWw#AVhS-ow_xP%mum z0VXL|=XXR=x@h`VrMEG;EU<|xXmloh3NKz-WiO$n*o(hXl$S-Ztq(hFq-dOlYOpDE z7SHJODM_B&{2oQMP|%z}ZcENZFcR&nEMXN?A2W4!YnSviFtlx})8^mf%KIMF)K^BZ zcg47pVW#vawd7?)QZn}JVg9BC@OeU^L*AoRkG@{hepWWdbjCgFi*hn{hWqNa@1tG! zvn!+Proq7=Fdwq5sPgZgjWwS|b=T1$YD zd9m}IcR)Fs5@J^7&y59-XO_si%a=o0E4atJZE4HIPgh+LPSdO3=ey_Rcj(b?EYjpq{dazthOn_jl9TTqY4<7fD>;+rf?63G4; z^D*)hq(p=5sA+b!(l&EJlZn5IvsZ?k{iU7!c#NzUT*=HRKhr2|E61naP%Zx%^ZT$z zm#!wV55}CwYrnx!X4p^Vr(b+V6C`N-zMvAKt?M>MUZv(R9=CNYSXS0yCcYY|+~G-! z%;aFD)VEd?g^_Iuc}H@PN$T869r=hKYDP9eXu|Ti%a`L@!;e{hwZVH1rUmPa$0{Gj zyNNEBj^yp(yaJU)-xp!~%7iK(z2spJ_TYa79amA}-OIKy_8>g+EId->NQB?ZGM4z< zd)wW;fyyBSkD)v60PU9|J<)_KYf!MW!eDWay&y4W1#JPNSOaf}%ZlI=If0d0VCIW? zTV8`~zK?0VA^aO0s%ix8^HV9L(=@5sFS3n|EVjhiBW?derhA^cAe^}s%2p%>$g0Qc z5gEG!Px9AQ`VR{>DK=`YG@cqCiI`zf?Hkgvy;makPvdql92#$#e57AjQvB38wkF}B zUHQ|EsbF2T`1~N*5yVK$8!2=_lUd9LQ}SZJM}qXv$FK-DPKyzX(9eH@w9XeTE$?Jj z0Uc!pLb>%O8|SAPd({kwTq>h4F-5Kd493e#sWMI)yh|8(kTPmB==PuXX@B~fzBQyN z+JguC>d3p$WNfRObN*#sI2P^su&`XI(bd!V!%On>vod-j8=?Rv}5HNR*kvi^h;SW%2%(JXR-oT zW*)`P1lvVyr6lrs2{{UFla}o9#8Tq&z$&vj=c11t49r_isOT^1KM%9-qAh;vYR6DL z{tlY)YCs3xPFbO;bsMfNpmV#<*jCL_jKY{zDEmRKz#rTO!f>nkns>{c&b9Q##ozzX z46V|-iIlV0*p)e*e2L{oYm(TcbNwZ-L99`(Dp|Mse;j2|fTycj+-2?th)30>~$9C1|?^v>gOwz|Mdj=A0}Mz@gu z*~QMu!FH)5e9-ULmS8~_gIrpqw*9_@z+tlQGa%eIP~oy0i^mrJS6m_#swvyI`tbm5 zv9H-IB~Y%1-jNOYfw2#(?7N^q$LQ1NBCAD$l`p|vW(0b#Eq|tK=CO!roEXmdVxY-= zH9$I}Rc^00X|-sO%08pXZhEarY7e9^jpTl#gX%MnYG{GlD)22ML1=0&6nALFYmY6) z0O2ho)%v4_`x_A7jNKP#;)lX`MhM2MMO-F5P~ZaH16VKDKf2%k0+$0Qa9J(;NQfu( z#09EWqF`r9Fln$v1@w#=CA`caW|Q>{p!ZO2E_jfNaTBU(=s<WL-*37pxPGo87K*1{`>gQ54GF&!H))82Fg8X z#L&K#c{vVrJknOycrGQ|)W;W29kaApddguRCWe42E+X+VY<}!m*HX#Wt++TI-nRlJ zk}aFsu6fzT**U_m3+8z%)AC|qPuC=`k=*z~uCmvjSLY2da3KZpN<3$nV~RU4aui5f zoE0K7jvM6g)bGo(e;$0E?X$%p;lh{Ow$VnHZCbH=Y$^iLKh(7X=ciK1(+ILBEOx6Xj1aDT%;aShe?;Xk;C>8ZV~MPDU*84RH3 z_WVJh<|>=}o?^nQW7lF9H($<#Hic`q!C3z(vnDvhbbi^ki`AM`zqvX?lM>qp0&whTwZCD2M<81g|(ohg2$CVOQV7Tq>< zqGyga&1F8UM4s|Z%bBhlL3JdW^BKv|d8zlCJY!i_U?n`K0VvxFWDRLS)fhN$H7_Qn ziYq4E$D8TqbGwY3ywC5~mC0=nh=3g^QSOaQm?k5N3erCWkC^4iBQ!=TAF+)OVR3(* zw~PC_$pJN7g#tj%50Me5mtZmX-LHx*-zfbq{S!Y%z7=xZ%SE*wW7{+(sy9fiaAp!- zd0=5e(;Zm5&@xeoT|3bY0y4g&L+WFw+&A@XbkM>MPcI=UPEP`Nk2EDbC&Fe)eMxv# zy8v$3PYyPCm`0OmYgP)=rCQ}lVGp@2rkQiP?AMFy6AhxP-!R(Ro{1ouA}eUJXWdN9 z0!&O7jdDflgjowJzFKJ^aNG8i?4(kl8y(YpskYO>h#9U7ma+focDoI|d z-?%OP%}rZ8wAtB8AG7n5U2{bfbLkoALsJ)e&MqN}j&3GXXz=C}YWf)w&d8UA-4l_) zUH533lA*rCKp$ADa}xQfXRsnh@qA||Vv-bWq)kzaVRDz==*r^&R2)%JF{zUebkwhv z9f*w$b&P!@nxG?7%PdCDk)jX4nrS3*UZB$%xMD325#DJUi^F!q$<{(L2`kCPMOee( zO#Fy+$w--u$@ihPiZ%189}ILy-Jm<_$Md%J*?)_Lyi1V3j84>G8%vR(lR(tQW5A7O zxNl37tGS1IspK48S9`#R?JHTrWdb78AB%H4Fk-c>JEUwDDW3*mS~KgV>292oqw%qG?ze@g4Pi=0jVcm9){Qq`uL|_E} zALZpCU;W>}!}B}q?DQ*v#vQg+78egT=WP)qr7fM`PT1`(T3TIdkyzN?RDXGT0Yn6< z-9KZ8Da-R74&`lv540K|KM` z3_bLa;(OY!GWM$n@tw7e)?d9Rf-|CM!6T1W)9K~e??U0?H!|32T`lrcE_v!u-E|%+ zJ;*QJnbAxB`KA>CATh@_a2F!YNsa=+ZkwqM>=5+V<(tr!Re9SmhblCtt_~Tw>mQ3 zs%(3s{Je3q0EgN_#RNZZT{Y~+MnpVb#4n)_dcGC^yxyvq?a{R#DiuooC6Q<@+TNaZ z`kufZ^&pthF|fC*hegq{yEQg~Zplg9Vh~%ie^?@=uS0ZyqGN**ujMuC#_-CDaCMYo zTkT*Sc)&9};*m8P!Q|Yju>foJu79f?6Eu>)3EP zdot~AV?zmQk`2Dqu(m2?>FoS(KbM+K&S}1edgh99#yf`oD4$ni(W!k#?`ZID5H-)H ze4t`VH;rlC+eq#&050T!p&f)YvPbGU$tD{1Id9e-&xDmRXhU`&4%e4c?|Kj6=bF9M zD0H`S(W{i0dR3$ZlW|7Ne_$9_u}Lwt@x<=aha1uRKFIj4GUC+rWBlue5noj+3w+>T zq>o5Z2)eHn-c;`_eE+Y7#rnC)m6>d;*(u>lA-d~X*$SwXavJT^Jr0t&gGId2K7KJ@ zURPIgSXeu-6)3*L>vdjbG?~97AD6Oc+-uQ$?*YxXK-G~hq=Duz>H{)U?#jE!)3MjQ zBbWJCBL3}j(hPOqxamd~ol{<$(&VjDeZhRkwrh+m-Kp-Yn-bsH!Zdym-d>!1MV*sO zfwClfl+@n3BcSZGQnkdIE|0QVUMTS~V!!{bblscnlfNBEHOM^6))_qs$fD833Q?S8 ze(+9hZ}r-%=Pd`Y&#dAUCDVV+do=)|h;V0P#57rYLR&RvKCYZ@qH7wPQ&8LT>?P&d zY7tT$6-?S~SG4DaPoeBCA&qZi9E=oJUW7F+$JVlr7#pIszMnR@f5`pJ98NKpw!rlb z8)3uXeSj{vL6*yu^?WJQjAJ83CpIlqwK9Vg@u|-m<0x9aScY@b*}t0&*w~E`$mDO# z|Dixx1%>iRvLQR{fU{Xin$}T@op}HJbJg-&!gtd4D#L2U?Y+5bR zbmG15=^NepZ_8z62~);P%d@a#rT=yV_Dlnz6Z;_lDJQzG`C4hNAxm{G$JK5TJslP` z7hYc+@M@iz7(?~t0>51C+348!GCcA%nO=!$^$x%Ye7E9yicb>1L^_dox?R(4Wnw&f za$HW&Ihdw=E~jM@yAm;qUcwsA=hM-uYJb>xy72g~sq+Qi6;E80ZKZw!5PnZ%$j+UP zrybe3a&dHGb`Z=>qMwk zXRb09*{C;>v8K#0P3pKUu@c*+@ccS6$V8%^bTuLgz@kbFUl=(L zLHE_^4nC5(8K)KSLjahgi+l5%2ga?{ZB4Z#i3F{>_^!8Va3^oeD7Y=%{7xVn)mqIq6<;#0x=KqBZM99nbUWP#~00E7_fhNax zwdm(PGbk9i2Qvw_*Q(WKW<*WD?qaXgtc~{q6GO|N@MJDM)%;~uY>$_fkCx?*u007idJ%3K^*oLG z0(6QbxTNwwNIi4oUzltWR>37ainL5>=qs6vUbG9Z@kcIR2UAB6YW;a$DoT^_DH4|5 zm=A1vq>HYPwl}l%YxkVHd>C=G3x1I7vtd7UwtUHid%Ki!u#`#ExRT3Ts!y+ahd4r= z@;f7GHu<%OjQyflV_ESht|hf;=pg}^b|C|{hV9bB3sD zZAV$?>LZ&=1?-$u;Rjm(*lpM##Lk8~B^UFbyq<&3B5iBmAZss?9|xll3hql8W4exx zjvVgD>I!!%f7OAc`!5*(S_glSnZbj%rtJRs-#gGqh~`OVdHka+0o=@19qjt*v~sKP zl=L~37^|Y+dAwCcp9m+vz=6scXaENquBqnMzgy`E zZc`I!E(m^IZXAD#)j0CRe5ZzLQ_Rn#XQ|oSBhp8D$mcblmS}i(Q*qD4rq#Uwj{f^d zcZ&pP5>MLNaqGY1AG6c(XSl~W7H2zFnpU91js}={E%#Zl;k^u3B@WP7u6*AUIXgC+ z&1kl)%*1w814HB7^`pPOQ@afUA(pdC;r!bK#d8ZxyZlw<1C(OU>*1at?6y&|@!p!9 zAKVma7aO4m8=B1!>!&k-Xt9yi+O;2ig?B%CS6i1 z*Y2BJbn}mx^UouF5byxL;wrb0M*yZcb95JHZ|v87hlE?^_iqJgWY#3L?H&4k7trwnZ)KvDu%rr3KV^#7dVhXzcb$l47lwcX0Jqisp!9#< zNxhwHIDQ%8G9kayFX>NZII2sne`H+5HM8}gv{%&|04md8e3 zg*lSCy2mY5Cud{KlHlW*$Yy zRy_$K_Ui|6&1S>RgxpFW?4m#NKl_6f8RMEXoStpwD_P3YNxQaGM4b{IUvIya^qG*$ zV_q&x+cANoJ|=8Tx%gf2ZOury8kB&xe%D;9NwFrsP6%Fa;WH|wm`Ep`t1DLb* zesQAHvr(cQFQKlc_bFt9UpJy(C7cSbh(F1_G~hK|p4?^jNJO@=U{=zl(+nD?AGW#H z+GbQ*iPBqP&{vEf{x3S@Ui((-P?~jBof4*1evc0N?zU_Hm z*#FMe9WUfRukON!W1*scJ0}wMMN|1zWsGjzW5;EeRRJV;lDSCR(w!!&%u~DanoEGi zoNwzpz*T?L^r9p_7h<95WMb9I`y85(@nnKV8rHO*Y7vCy2FW(C*wsmo^rp5e@E(2N zDNU5$O>d!#Z!-^^g-c6-Q7BVaDckrSzlIo^ic017gK6B5aQf(-Gv#JC)5H3 zG-T2s`nB@BqNDp#>4y0GLh>OHuhY_I+3VFjlh^4Nnf@fgszhHkQRif``n(LU-`c$R z6`sm~<%BB4?-|N(@DxdL|DKSoe&w3LI%JUG4A63QP%d3cvQTv}IWH%dd0hVzBkk zP^lB7EAOtnniOK{p30Kl@7psrDxNT$UQ^Nel$l*Znk7D3&l4u*z8;{P@2IFdl2@KQ zu|LujF~Ii_)IuvAe9~BNd(31S!t6nDHh#SqZ0M(wPRHNOW!_d+QhjXb$6v#MvO%+=^hIgQEu!RIj8pfM!A};C-nzMd zJEJ1P%PTW<%25t#htV`V*W|=5gSr(5DFZiWnLlRt(>uM|%z2MGXbbER3m0=s3D7(7 zVtF}DMTJE)ORE*4`a99IOd@*y&wD$)``BhX{rpOnTT-3hMq*m=GBZY#$0PA<2DA&1 zYnKJVKqaTl>tOjELIz{56 zl<^lF1zpMGEODyuQNjiKnIzi^`vU{;_b0>cTEb;euZMYL_#}zK%K1zxR?|h|S?xMT zuHatLI+gXfQU^p3x^UBKczI;eYox1AUn1utdf(%3*gi5L`MjB3ZuT+!u*TdaF^ly} zpZH^9w36uAc$%G~WBSWp_ydhZ?gT!3ekjn`3~kUljC%P5O0Noj^dDq#|1X)bW8+2f zH3-VCJiTWv4qPfoTfUaK-88jcmU=xxH8|`uh6(2-teO-3a)$^}}HSU*Zm*>a&uDi|2+u5pm*5_73 z6dQJGo#~x+TWyDBO!}r_IeBfYfMYgHnG`0BSMfVPRC1!WZvaJmnb>LriI%Q$E!TiR zl7V)Ekv>a<;2=n^h-ElHURgm}QPUld_9HEX^MhgcPz#Ud4m4*ahp^s z^J|!H+iVW&MS8TBTqK|#^p^3E6XO0qwg&2QX|!-(a6VuDqJq(8E?80|)@xQS)*HC{ zZF5@9^p|UK39F*Nsd&(2U)V$QSLUM%nkUTxC#*PC@$E$eA(a(IYwr}_em%agoq-$K zs(87kPZGl4iR&D8*2(NRQxcM?$tAr1?c=sOYo}+VI8Tg;PhIOYF6KaKT_+^|bcH@n zFx|m>Gpi!xvmh#?j#v7e3@G_3-?vBw!1ksH!#?QKf5fcTORODO)^o$NQLFZvc(ddcIy!x3-vIQwjZ#>`5<%FILJ0;91-MIM@u(XMY88;4o5p% zyoL>;5C0Q7n(C5P?vu_Lf^E^&Y>QXc-tWqDJ`eb_DURAP&!1%(Im@O=^_yr^@swr} zMBoZvmwFoa^8(p2_OiVoklyV@(wX_$qFH&wH*Ult+DaE}??L^3^h_i1kQF1~rp$)` z1P-h|y@=h{-@5qX=_(s~S$n=+gGV6t=!n)@3&S*3a4xU#6kUJhxkCctU;^kNHMmB9 zCWRM`8;jv5_1(cO_btvyaE#_ORi5p=dIWM)_cF3$8(TWpOSxiq64UT<;p3p_4@V52 zXC=t?BNZeZ;vR2Y_2)$B8JH}feI)b#5&79{#Lo~iZZXwKy1w5DA>Xkriyr;S7OC(w zQVfp**)?@_Wf?D!!1)O$X3-)5q8gY0_ZPQ#S&qnlCy5-LUc0WZbI}f&lIXj)Ihx%X zU6+~h@_oX0gI7t0ga#`eC&ly*`O6)@PB;uKmH8Tta3}00$Hq3^*+>vm3oCt6itbQZ znv?eDW=8)C=f*Xp&t*(tyJi|kOlz;MziC%g+>{b`&r=*TxRuc9;p$ZkYzJjezNF!J z@itBlCTx|-0xSD{7+6#IHb_a73dx&$_up>b`@(R1mh+oA0hXg}j78|fJx|_;i$%P1 zU1A2}_eW_QVQJN84=tJ;^7OaI(P3cW@FfKZxlwaX;aOmY12(qmHcD(3GsHjKyAPvI z{ya!`c)h$Z==swEB{h`fz(j1fPjjoG;D4}k3gBx$!;K`Mzg{lzp+ObQLA|W{1s(Vw z_~gw;n7uLhd~{(F0q9V$`BDOeHQB>fhVam*?|Gu32e-<-NyA3{`?xB2Ts6-cQTpa- zxVY~hcpN^`HV5Afe$O)pHMlk3++JJbW^jSuuwY4OI@CTQw~y<(i7j? z$17>TzNJL_ zb*f4W!t>R`Q9V4-{I84S;@9sl+bza3)p|)o2<(3KV?*WK1=QA5c}s2gd59%fPSD$>P8Pwr0X*k4)1wX9QNhHAJX^#(okbS@tyD@L2bzLC88^XEYXHslOJ z#%A-*;H%fXrwABEmnFTB;|hBOM9xjNIO0jhpd~rG;YdItE!_rTuW&p*yfn9Gi}l#i;^@Sf zANfP4E}A!fEr@%c1Jl$l1(1Es&jZ?nvT;3@qVgl z%AP!0*jQfP{-Is|zIxq3`HwAHX!iJolXVUERoK9rz#Q6bt*N>0^c+s+?#fk;kk&Zj zSV%51bbk1PU_W8j3)|~RH7g+=8Lk)!l~34DuK)Z(`Sr~56dz69-mTDixQdRGT(t{w zJlEfP@{wdFyHZn_(s%eH^_xSqHL(#&tY$pW`n6DSkVB8^tz=my;2#{gqVlRA)K|Zw(va zPmy*xC(E9Pt?fJ!^;4XTJYlygLJUrmFqO5ql|Je`Ee#BV1Y?X_}w7Op&j=tHZ zjcD448oa*#?S(R7T7JRZqkW0fG6<2xt;yyzTKm&Ujrpj!y5CU#a@UG)Skt0&Ew7yi zQ!d!Vnt#&nH^J=z{R+-A`iOgq88+gp{4=x+zBH%JwZV+R`<5?RySRt#nDQiL<_uf5 zziBX)b!)ES$1*nmt+378%5Y;cpkK6!w%^oH>6{kT`KxB`fH)?a$!o9<`i7WUOjo470PEgj%YIa ztu?(jfn00m#xQw40EXpp6Fv*ZRqA`k-Z8mI>Kcb?o}>9@Kc(%Ch|hm8Npwbg=xrw6 znZ#}cz6xtKoxWs^ubkp9?<`NIztI(7M!CN&evjYw?0ECw+8w+ddv8n|^e3CNsdocS z6vx37T*r6_II&0Lg1l(`SHi%&t>U^MCHe1rh*fUYc#{OoKAE**Td+}M6|{-vLsaMa z6?;7EQIB3%ywsa8JS)m?QHyeX?8V*k=)UD2Mi#9|OS$i?(>$QcraO@*#=XIoDd;pz zvV;vM9I6w)`+)gQ64m!9F`SFjs8p(2?9$-MezU}gS1si|hoX4}`lFd4hV4N7&3}xt zy5d=0AdD_voilep4&jT}_!0QRTbJYXV@p{=Zlwzx&sKA}N&3_FM(W}^2IW9)6!sMl z==pCAzt?|y1B)hHtAOzLq+UNGE!vBs_+r%32hb@_2Ygb=Njq8#-`Rewi;X))TD z)m1n6GaIKdR%vL4D#}3}L@O{&fiH3|MSdq$Yv!G-$A?QVPi$=^6qySKPg=Q}qun?u zP<+cqqWFf#^Zgq0OcL9bhi&gfGI7^Q&V0(zarCR9FEBU$r7-aWPkCZ&m7Lc1ACi`5 zoSic#j(M}O{~LR69aPoV{tW}7BB`{rAR*mdf`T+icY|~{96+SI8>AaVx?q}KF|Bk^T#{m3^1T9?yej^fhy%E(Crt%Q8EI? zK0dYP&JRMvhylha>+=fvoo$DsMhokVIg3xcormW{-~K*)J7GU|0Odvi#Nj|N<=de%B40Ve@C%Cm_|aY=*PCVW=rKHWu5kw?C+7nbf0 zF0#QnZZp%3GR@$?e5 zz0aOOQpL)0sjJCZOCM#hV6oS@geyy0Z+xvXi1McU%^2`%;sjG~;J|s@$(^FIO#t|v zsZ3Y9s|t6LtJ}WAcm&S8I&BZVp@3$t4;{e!b3S*%l$C>lSJi!Ni7l z3N)SrM|J{l%(+hGC}5C7h^`X)&TQ2HRCt}@>J49dRk2#i8G=#L*r&|1euu#}(5i#D zkNe%P4(Qy^`gi9>KVVX}CF}rc8i$i1XX^zejS0n=IrqMjs$bWacZ#)B1OHZ#Est*+ z{bBs)^XR+RiLyDW8FeW;@T>hlsD;703|r+@KiRG;$ul|>$*ZEGR7{H5k$0pDv^ym1 zHTJ;6yeI5 z4L{nhnn&Gq%%Xe+sEH>JEG=26w|;7f>X2-w?R>~(^1i&|0^{`W>l=rZm_X_OCLKvW zBcY*7UI(rOo6uY=~8Op$P*cLXgXo;`BZoXRKP>g{A9 z`e*5nbP5|7?RgocztTac-2O9Z@0Kegdu;*gcAVDBa`6HR~&?=%ev znts!)sGP_=J<>1)uZnoFgCXp#E+0kWFRQV0a#HT+7c&09%~yl@I$Q z$eij>@)`0ApVWeI?rFY5Le+7^ey%$s5Qk@ed1a{G+IqQsCv4EJ+suqwzFwK8A>ukD3$66MifxWtKGXk}lQtR*@WjmRk*PTDr zrgjDPgXubvR>a`nq!%T{C%wrx4z=k_iug!?%Yx+sPRcPBR)JJ;$&Z4$XB6ljvn*~H z%p5YR)YkXdYNpjFrHKT`yR(*-s)mKpcCJELNe)8pTo0vXo)a~@Z8&xPL^SE}&c4#= z#{AywmDM(7v@4+IWiFeYq4TEk?BV_R8Bi>AX&49NL~s(J*6i!QRV<$5 z+iOd^Ugs{GtcXQ!>v&tv7VNHXGX@^WrBOTWH*e`a%c{B=-M6ad$E&4Us1f6UC-0qF zF2$nLh_Y6!E{fVY=Ki*W%1G_p!V*6-LU`VzMS1}<) zs=U%_n>6B%lO0Tw7qyXK{8{UrSa)vpvwS&I^mO)3u8>S z+l#kj@zK*6(=w%mrG{rnhBkWKzvMbgyNu)GZXfd{P!f1MrGQnVyulUSmL6i$@V5+B*vVYkl8R8-+s z!JroxKDpNFzWA}+h>Th&noevEKJg4q$je4r;=ryzUU!75TyX@4rso|20yyXbC#iF4 zg|M&d2#d;w*fMvX>DCX%krRc2ZE8nV7?xfeMigWGu)YI4Bmh1YZsWPm7A8;Ya}TG6 zzC+_YK>T|++O-z+0go%$PW$z(*XaVV6=}(ge$R@^G9#bOT?IA3MYX(*JjI#n+1a}7 zzS0flotRt5Od!Qi;KF?&yTzfAg^0pf8ET%g&L4c|O4a-Txc`c3Q0LY!LBkkQ0pa^r zK9l7gX8J7v1RMy;;h0``sEAz^=#Qylvgd7^&@fm4oK^oBP>y|TFg%(kfKeFMts^Gg z6|a5{6c_=X*-xq%c6!$Lt5cu|-JJU4n=@0@FipC7fipcKUqUXoBtB>Y zXlTYSBms!_&7G6&8k_}h$JZwVOx|+Ee-Z7vX{5WY`q>iWP0AY3HJHE=SqP$A&YLzJ)p>qQnSQAm0R+t>ED zCwCY9>aS*SsX$CS=tFvVY6dQVw*vwDLr6x?u=BoV+h8dN5wnbcNIB z)*j)AZ*zVPy!yCh`n@V?XfWE;^nCC}m#!`v>8;B40iyb*q=7k<$L-vf(*r5n$7z*z zlG2IV{v!{5&DfjL+3!Dfd5{TjWaIZHuwb$p-bMt8SH)Lvu@MNH0FPS}SSd;n$adi1 zuum7Dzdt@LUqEo92T8tw)OT;>_2|x*_;$Knbk-Ee?cZiGmq+g_@gyBS>uKnZ?$WhU zEONf>3c+nxa%e*v9QV?r>b@_(s`rLtbNDsds|$|HS5io(!sWrHH|gCUrzX1#F->np zLjF}K@GfrErpx0gEKS#NuzJ=H-{cfkQ}eA!ZiI=r;nLM;Md#(xZ3?0Gd?T<`7k_OW z%+n)A!sSxL0OA{YVb{GCfLS0Z{CM7%(C0O?E%HV{tl1OJ#bSp(u=Y(j-0S87dTEO7%{^KSe|^)(W_`fA#g-D#Jia$qjX>pR#dTsY&jjr(l=muz zBA>+y=y2RFc{eb{RI2mj)zy3526jhGCzA)5WoT-W_arVUv{T`0fRDbb>01a8^@fyb z+?hpan7?=b&cXir{MCj3t_#gZE2Y;s4Wc+10oPe8N**66>5u(Mjgn6_ zz@<=Ga$1|Zs-kY2!j)mA9yG5pKDaHF%8@im72!#B@J5-w%JZPnqYgV~_X%>t6TJQU z8a~N@;DV3t4qtmTj{pu&u`Hj4;@;t<0}ju>7`ydhBT@)}^9k~1Z}0Ir8UW`dZQHRi z?~Ahl#YYO)g;fVu`%|w=#z>yQLWEWKILU69rwQPuDJ8}UI-JN7Pl+%p+^I`3YuPQ6 z7XaJ}7+0JGwrEma_q$|EFdoA={`A-%kKVS!OoZ~Z0iZ&kS#a{4qb>o0NakUddw1xo z=grHd2UDs+aZsKnKtGD@z$I1F0A;-}I?B3SdclPQ;DO!P ztw%mL+ref)Pg!3L#R5@Mr=P0oR8(h-dG!qe-TW|yXzofDF8qwo-1b=)iS1Lx$x`sE@!=ZejtB!(ITiCcV;^9~PzxVf*T)sIU1@|9VWpTvG4<*8nFw}51Vi

3b=l`i8t;XG5vPQr-vak!*a|t~G)#eq4!jKV#^=W5TI5vM`AjabkZE%gRLwD3!?cDdO_v6Maj`6mAA$dAX z-s=2|I0@Z(U(aUcEO%<;Ee_x5EPCt5W!ZyFFG#6m=~3qUcl%2D>pY%;K#19AE>8d< z|5^GtB&^fAbY*E@$vliI27m3U)Y7a8S$BR=mChgEg^Kgz#nZXOAK+v(f2wzRO0SaM zO}qFnl{T#Pe)t`A3;4MvO7S7&A1Y%XVRq|MWq*@U?EI;_Rp~(Bpwc(tGeyeT+n@w) z$cyZsEa%y_pa=ykm#sf%=Yn>kF8qEB$H7ge*wpKT`?i+LdgH=sNIr>sRZczNQy=bd z=riU6d$y4v+HLV(Rg}Ei{jwVJoJLtrUXQR4))2ZwRl6C&*|w5-@S6~Fi|iij`V-%N z-3eK3uOGe_bt|uWclDaVTQirfWchMqs0FK!*Ae(Fr!|H1VRR`;zKh@S88Ik`R>E z&9iJNG97^&y^j|^37&60u^DCy4eQ*F@MWHw9;wUYMG`Ywj_Y*p7qUN41WwRY&`(fiTXXF<6>?myl7~{jWf8x)hUR(aw7(f?T2%dU!u{$lthITX`*JCNYi;o z;>Zbmn_Kq8O#Wpa$o}gLLSk-=(0AKo=Nyycnihgzo5~}_2 z>!F;aj?N`oX}dkL!Vsl60*k3$v_4%H?Kj00`74pLNUU{WRYJuL%`a@>Zy+&vJLCQt zMaVsul2dhsi5Z90o%W_PD8Uko!ZYKe{kkIEYYj85bjqkP&McM7r5ePE=C9*x*Q(Gv z7Kjp@+eJeYJ4IFHj272ehrf|$+WmO>&3R*{&A-^dqQo@gLvGTx57<8C${1~5!VR@9 zfTAm}%-}@aK5{xmV+UEJ(m#;nk8R)gDm&JmXj;4TMjmtKCaMa;PTz2Ynvc!qjz$C< z@Upq~+=+s+c^S5*vuH%SN-$VSoj-OaycM}^Dmbj7I7G3Ed-U8(k$kr;;bv=}EcS;N z8*sCRtm4};m{(rRkFa2D=pi@NWcjsz2%TD_MONL%cG}Et;C)%lMV6CL%OCkA>$!LB z={K#wXMBvctg%hXe4%Et>6r&R_9PdtqwKvwoLA=&HJw zVv7(5A5LN-JyF6UxA7^&F4iS$p~wGyc#*MO+6xMw`S3(lSHdXxj6X8WCu~u{A$_vog`xAq!zLB7Oo_02Dy)iAP*9>81AN6Yt*z_;<@;AnStqp?r z`~(P_Ub@!Y#Xg#fVkO&H$Ag~C<g?Uv@KghZdBK% z=(3%>BKnh$e*|84DkSVYKXGDluqF*RRN~4^T30xq)$g74r4^L`Mqsw`LGt?%jyP{y zLQQ}jZK9;sW!}?%U2T$=7Ft;}hR$LHdWkKa#b5yf-0bkd&9vl}%$3DI(<%;I7FUgP zNZ(zCX!non6L`!<@a1*9%uEsoSk`mnncaIQfORRnS(jqKVL_e>uWg@>PJdRL!=Jv; zv?EvCzgVG|ZA&GYhf16Uqaye^74q%0y{(>-e3G%%-I}-;+Oq;Teau)K)(6TwAy4V7 zbsXu4e~ly$a8eU_>lHPd6JUBtH8Zr4ro}uFToKrfhONnMKF^8KF1^n3p4kiCrBYM= zZgbWlRcl_dU`ZbRI@~JmPc-=bT7!;rFQa$Kw>y_25c8vgAfLk zkSFS1vp~KWJj}k55&|&s6!Gz@-#e>XetlQ%sl)q{18OG-W!=)TOk>3tXIlJ|qrr9& zK3ka5`9zT@QfqiQKC>{8Um{^5GrQQHRec#%5yy{FT`ypegK?*|N~2t}UB>Xc?T7ev zqhAdBN@XqNtFfX};&t=ORZA@)vXhKud)a1AHVe7TF4(G#8G4Y#2BVe6RP|jB-bI3^ zUO_Zff$`9IRrEH!6CvLb(!-!Uj{q;J#mn2M@BTb!O!D}Y)x2n%n3-c^Plj6&5kw%C@)>b8elk)_#Aszn9!o#s<>cXziC*M! zL_(`HmP(KK%)18qY_K3~n)RibuESRQa9zs~Y)b$uc^||nwx%Nu;@(2#`c-5Y-1bCrT zJ+~FihZl@J8dF3}z(;IMoK)KukI`9)6T`QoaS`-rzM=o2-IFYJMBG;8cVsRt*@N9v z>59K^?iFz@*>~ln6x1Y}$||wLIjH9dZw{!RHU>V=`<@Z(_3XODeO!)jq&7n0Qn7U` z8mXy_qR77flQS12(__k~5smqd0~&@3z_8HV1mHnkYk8&BtaYMP*E|!*_~R5)0)&H(RFX97 zgOQk{*0sG2)vA?J*D-kniGl7A)?N&a32w}uR;?c<3+1Xa%`#V2Ac74(lnK2AjVKXf zsgin0wyrjVSxedtsFP42H@U3=NV>YR36w_E0e>L@V5z^H|EJw*(S2AeoB~EJnMhLS zCKl#ITlvuod7T_?%yYL45b$4^YxzixGbRf$JhKiRq$4nW<0s_y(X`(?pygOg$fQn! zB$K0&NzwwhKMaDz%rRCIcEA#SphdFY6`B9dt>GN0}&q~6Jo2m+(=wEhsceR1?-w=S6d zf-iA_nhN56$&LA0;@nSfyV79fH!;uq-!$$e0MD5ye|GXm!?Jl&WSA5y- z18*d0rKE&aK<@S2m{sw+Pa6a%H&}mtGxHsmNoL$I%qL9bgN$syo8YvH)Bs#3A*$$g zvofAUt#|0jp_NEslii$DBDgV>JGuY#&o3~G74|c`1!DQ9UoGMCLmw?NeJRZ=(oNaU z#SOhNegVzP%ca8F+ci-l~0#zxmc?9QM+`xmU9K=V>%UP`|N-XR8LYMU_xyui>tDIZ&1#8p8OT2$%1;RleRJ%B}6_934YIL`jV(n%e<6_tT3uS}q7Lo$X11eKV8QXxP~ z*&nZ$UMLn^6m3j-_(~NQahN)7O2074v0o}>VY7C-iSyEcIPdwco*{};p))ZR_Ytde zBWRhS=f8G`=b#Tj{m2-l_QtEu`{PN$(l^^1N!0)izd-c|#s}+QoIKSP_&5JCV;E=% zkkWy0iuLW8GAvL?tsW?}S8)0Zi+-gv45@w;$PgrOyI_L??)3tdu2AHaz59K3u=)za zktcw^S@ZjL?`>M{jUa8XZ+PDmz|uE)H;mnParB*-{U6+A2XnI$M56+2-fa3cAHi)N z;{P^UZn`=uS?fI8Dd`-IxaHV^8C-$u?U(UN*dNE!uzO&eRUbcjV{#?zWLi)Je^&*R zCkM>Iqw%lV+^oKecS$Nhy$YUhyA!Tu2&Rk+VD2J(eyxyZ2o!5>jROI0`69{vMUQ3> zu*x9wm3x{14(~S8F4O*@!3!Y2`JyKNZo?ArR}?_#Egdm=laO*##U`+$y0vOR`!Jp~ zAXpa^F}};U12+2aQ^X!>^9AM(nKbX8ZvKC4{MGKY1*{AeZw)X&LqS3P_Vif3@JR z7W~zMzgqBD3;t@sUoH5*rv)ibpY(@<%KuAzbrUw-#8*s=|CTtw{$JuNj=T8k|7j1u zLP^yImjxX(roeKha67X|IZpZH_< zy`KwNTxKBD9;!X-nw4|&TAkQpc@<}jqYqW_e~trXOCgt@pUAC(%$`_%{X#7{hySSP z83>oA+}3VzO@?PXaxd*73S)#T3!e6+S5PKWJj+<%s$!|58V88>7= zFLx4AvKJotUR4Wgpykp`;SBzbnZC3TKSD-HP`5WGBAP);oFYYz@`a>A-({X6fgr46 zFsJ_d6lf8xwhmZ|}asg-bN&II-L_-uwu(l=FO%;e(BW$NSy zMU#yElak4XSCl1R!gnlMCf)1V1~=#TovzoNb!M@)K>!nad^N&o4qn0i^A3uO`g{w%?ilzBw| zblW!*35$!4UdL+v)lhitxW?tedpC|3{S5PAy$(lY8sj1Jy(gy$;gXozKhp@ z)k>~TPEITZ+u`q@dT1B34&d#OoA4wo@+gHaKn$v4`hFxtZYU40=<1D^{c(V?i&>2A zb&IhbU%@KAHs&(*-FDi&%AO-cX~j-nOhX(?;$od-3i8BUV9ckmX2N3GsG<6s+{aXpgmACWH)uPmyzQOhu&D}j1eJ1o&EEWsb4e;g=M#;z z5rQANZ0U&&m=`h39AxlH{Oq}^O=bUdus#gIxgiXVEUl9AQ{TBC@{30f(#7-g(XH6GHWli?h@GK-NhA;deOONp(+=3K@A^pz7 z6K0YYm)QEvXK#+&gAGtV3aAM5u}%sI75Z9gHcWtT8V^INxl(JtHtL9q(eqii_pd z(U*vOVi#0w1+Vtb@tsEXTfuLX0x;Qdrp|dA7NI2~Kf3(YAy<}JuF!y1ZbTUeAokc;5SNN^@ zlwXb>+cymtvU?ppfV2IFRXb|n6L}lTq7fOK?4y(ADENu*KhcByn;Ve*O2bvonvCIv zA9tvc2nRco{d`Arak6m@WwSN??Z3E&8;gJA8W@;aS^vo?WBWJPaF;|TeVZ{Rt!;gi z<#m&C2BepL)VHy)v)0wOA!4DwyCP@-q==c@+7L0{I2WLZgub4Uwt$5ryy{H}Rt82Q zHV#Jhn|!eQq_*29Z`!{}Uz4`B(3RDZ|*;I_CD8+{##BR{9R7nzgWt< z!Q7Pr#zF^V+Ue?B+S+NG0=aw=+BPOcEH@k}(D?t%!-N0d$iv%gFbl)$j9+Eb9Ib2o z)@o(wiw}R%>b+&jj<eJE?+WOT6S3L3jc%o)^ttTWSXp(B-Th}=qNuF?0@`xb%B9YW?hU9z`%Jx-TxeZ zhJskq<)%wKhl2i(9}mI~j)z8xq}^e5TaWmJem?&nHTuK;_Dysg4r98nE(izubY6yQ z0h{h$P29A_?+(|Da^lP64E@l`A0MD$wnJ&G|Ev)TRtKn3S5F%GVa(EmK-)Y#n1=l+ zZotbo4Gj!Zj84+A12RujR=hgi{^uCag`hyy7P-G(JT%YW2~@`=G*(I6b@M)qn6}+O zy2k2?nLr(ih=nx2sn10+%|C`u$H&LpOoKlPP!bJ5dwOr-cBWJt>Xdrr=feEc4St|z zC}exjhnXAx0t{dWxedkrRPbY?FEYO9=4awJDaNE7B&NGSqfgxt!`@;59}2$uvEHvk zGdUFBCezzz|MYGKE~uQ)ykce5AUg**MtK!AG%#R}LNhXw$)@O2!^T)is0QfVqcb}V?}?w$4biOXf!dPt}9ZwH0v?gXn<917$Z7fRdl&89wnmOyNmrO7uDU2iBo zT^dJgUG>c}BPCQT`n-7-j&9_z7m>^us zurT5W0pj-jb=V5|Mt-LK;vFVO7h*xjyf4R+f!Rqj-|-`UQc(5q_`L6hmD;bT|4d@! zvt|^wvp=sK?gbbd1CW?!ASLgpuvXh+;NA%;S}Sf<=F^ltFkOFfTVG4o#FkWus#zm9uA1nNH|Q4fIwwJ9Gc77~zb<~Hubroq zf~5jpml-6pj$u)B6>_Z~rtB3xCef*R$L38E)G#fNJ~;Y&S;l$!X<+}xo73RiI?o~l~XO8y+Oj> ze%!5;?33@SH=mPQ(N)XZIOEFwY$4Spf$eEXk}Bvf#;nUk^aI-lAaFq7C!Jq277dyc z45dyPjU8*k5=)(*<)2#I3$0cgFu$Rv&phV6?qr{z1emj`qgHFT1?x!PwE-@WqrU#K z7UaFl$izqE%lX*O)N%HT=)QgWTk{{mIkG#|PI~;0 zr9J|5eYy{2Xmh?R-ib3}0oo3CIWXnpnEe}_PL%8Y*rv><{p}j%ipiAvm*2iMc4Q}% zNhm3poEJ~DvVB3und=j4JKj`qNHE8o&(~5m#TYDH;b&B~J4uz^5#V_*;^=elMmG7C;Y#=70J#~8G!-g7JUnuJD#vlb!OTK; zj28tT8mye22#8{lR=z}t6l9r=a?W`r8r{>Q_i_98P-q<|7R2VYPYtsdD@X~VHxBvE z_0_nzdax$_!E-SC0>;lK1cUMMojv#bMGD22wBoBj+*_X@G@U>$&rOqMb=YeKu?$^7 ziTte&pT(a~o+-68-~o@xSn1YYA3u%k&&PctVMnlLcGe&(V#z)$XNdaib*a}Qth&#^ zj+a>Ia+|(+)fEH1+F9bAWNIg5vbIr~&bZ|N)*g!cQDjAU7BE|OFN_5S|- z=`jsLs0a~Lh-AyD^y^BnC=`VCe^|Gwd#nb!NSfncuK0V2A(|}u8pUIswYEU=1a!*a z9^Lo<1-Y~!Uwvhz{qg3+&~f0lLau8Y3Z@Ury*(rm1FU~AA!Z$UPEO7Uo{oJ=Z@+jy zdLifhskTDtr?O4R{P>&~m4wPL+SUP55pX6zBC*my(0;34v{?7N^o|S<>G$s|7{VlZ z6N7?qL49LxoC=swQm7m6KS`lK+U-*R85s$19nRKZH59C$;0QJG(gMIJdUh8Td9 zxe3*}w`Lab!R6S7LJHnGUA!qtJU1U1F=wfe4^O>cx$5J>TzU_sNr$p~T+HV@Esj{! zH^k`ojuq#_S98$mbd62ZX*(T=z**)jODxv7U&+-o05p{EcKqSNr>~1Zf!Nzo45`G& zeJ#zMYqg^NuTJO3qtxe6cD_b?o()ZR0RQ{w@Wy}8TK-g91@h|9cNMcRYIf0?GBv9Z zC+ovH^RecXUSY3*MZ{)Su~O!#Z4gB9v~gTf`G`f)bp)wtf1>hnjn&?#BefNYw-+F$ z$GUWq9XsuyAbc6@*ih{HnD!>pbB01sO1Ab#(Fekhdn^j~8GgwhdsaS}IwHUwO#y?mR}v>mIS2_+jvb#O zK`2(M5hy@%-*K5Usem_lscN@Yb#~*9iz`U>eMUcjNaUkd2(C7CrQ)#;DeC$r&>vCI zhJqk0q2t6|xnN}stiC*8u)fIWEx^>QR-p}hX(+7_uBGmUfyGSTm5YX_J!zvI@f}}Z zkRKTjj4X9|QF=Jd>b#AUs6s=~fH}IBZ-hD7ZfQ62(QH2hBacF;ZAZnH@31644c<|V zI&<3Kt!5TZfQE;65jq5&vd}mA-UiWA!mh9QA}rBwO*Xn+)SnP%xo%BXSU(kaT`a9A zmgg&1?HYTtDweVXYR96uNQ@yA)U3(uwo@&Opg0lAqOQd}z-|*WpNfw%LH%`K9#Oj(kUq z8@M1smq(7%Y*D=d;v2&-tNQD2x+$gRrD zcl8%9Eo#u`f0j-E8q-w3#J72!_j!&{^kIpkp4qPXiuq4O{np{bn{wG(Xt#bU18UXP zbWWP|obe>i`FO10>TYbJAE}CPG9R5U*-37&wd3$ZKnm>qi{c(7dv?44P{M}q*qADl z&XZCeq`3T=_nux&_4S3>ush?Wi^p42o^Z;Cz`2v*FUj~^H^)nrf;1&TaidCbf7BS} zSyREdFW9YB=n!PL3^~WH7BcMfnnkB(E)OR&Qa6^Y1keW}MR%#29|~Bi(9kdwHNF|^MCnYW zTYWCOsM|K2O%dXH;DAFfFKAdaF=3FQmq@85fReXm7hmrlHnOQ*Ahd6VW#()cj4e-n z#7c5(k99FWj-ja5vjO|y(CDpU0qn8l0=!c$J{7Vwf^Lj1)MAFgz}9T*yKg=p2qOo{ zz0lrsqk>3KFAoqsxwk&+Qh-ruK`8-n$Lnmv6{h#o>4rm(V_zfVTd4Rs+S|t{1Uqh3 zj1_A%tbZ9$tZurTYRYQhJ8ePv<#aB^7cQKKJ}%O6>goM`)uBZ_J}lhldVaJw>_r9n&dcRE*+Sw2*0!~VsPR` z^>B^$ethO&b*Mg!8ZZI>*fjsM+LxHd(tvZ>me)fF1-M*CZ${{7x?@sV8Ra{zMbWq= z3&ix4*JT3vCT)aL-v6}tb9RW-F4C7WYLa-W6vc6d&*TgP#?{M+Nz#P}8HRSt7Es2 zFb|jb2wf8xL&>vCI$8bM;}g(rLN?d$a)vAWgX1ij8YUl4t4UsqgAEw z{AXv1!%~o+(H6JQN5c%62sLnEbo?jV!q2bURm4JBx{m6GjHjv=x*20@%H|>aA1=$&QV}8hnN)ocgM2eX zxCib3^?D$fkW5Dif=RIuh5e{$(^V@$Q(WG8-4`6IbcrMkDQNnfPnQbeQcR3cGRaRc zAKeYZ1w|f%&Q4UEB>Nr+T z$Awc&_+q}#m@QXf7=`T<;s?8NI6t}_wBxZISHDS=Rnl>ohX@>70!IB@`?Er!d*I1= z@7golEY}^+M^FEF+WCj}_tnWNFq!JU>7JxPBx@Mnx$0~uYbcjFay42pt|6(=NiR}% zTZtcxk)NB?oz3kQcu|)<_9Yhy|3jKFk+Z1RC6*^;5DKwLXU}`#eEg3G3C8O!uIb`- zWETqq5>Qh+&gL_gn8cI6CD<2RRc{frr#65fr~66jzJK2z6r&3#R!Dd3iJ<|a{w;P- znY~u)U;eSWWW~C!xo|MaXwT<{5gIwLcDCwOhhZNE^a;mv`;tDGoy=2r`EeL$9Ex~> z_`njTGX}S0`<9F{_)k?<^EQ9@r{RMv5za1y{OkW#n@|)d~*S zbeVa^j%!uIaDQ!7Hrwkd_t=38afvIuB1(f;)~qfyo+_aQsKaf$gm(!v@~Wg@--8FA zBmfepqU;u_Ry7`1l(=0?&1D<4md{A+WGwg^Rt=`st`=C^dLi1q{fY{+AjW$!yIt{& zZ}Zh#=!ejk!c!DNUwR<_O*7(i+=aFZZ0O390@RA_cYD`s@sn@V{o=yx_3R$iJ$j!m z;0(HsUecx2+yxQE%s?Bq&;T_Jy8po$Vjdpd9|A=}p1X3R{;~(aHSypA;rOEMX zT-pC^CBa$5A4IyzqS!Q9nbrCBHT?5AN5)Ztk$Nr!Wwy`mcZ~?$1QTeXM}u<5uxtk( z@`7a#@v5{PIRxu`34#qTUyaZQz0mzc41Gk(U57DneaZ$k;b4l-e|^p%fyZn8$ z1o3-=;!x>TPJ!b*)2{!RO%zt&K*CgAkH9$sURU;tI=_+Ok(>D=o;>;bhLnMClO@NQ zCd;>sAug{i+uBMsCK|lYB+nAt?AWRnaFMO2v~W?Z)Hk?{(!P#qoSeTC)ndFv57MBzfNSpEoII zDUG~{h~p7KIk3SNHT`^J5BvbOf*|}+uy)9E&&`XkOkzY31@|<3OzsTsV{Hx}><5+v z5zVvvlD_2?({wyuc5MgBkXKpFg;|{hV|CIP)wuKLhXHO?j1QZwRz;=Ct-BYE=MWk-&7?+DOlX*6Jc)>{Kx{^1Sps8_@w z{u#(On735#%Q1d%YjuX;kCkZBA;{qB%zJe%izR69(f%g1W9E5nrlX^VE3T=S9rfo~ z-F~=9VTCDdJV(|$7$;bvJXxkidXpn(DdIlCW%k@1)83e8m~L^e<-VFmWLA`~QqznO zQT;qXIBDnHfArl{TpTleIX@EVIOZgf=HBSOhT`K662{Q|$1B{qIBafD=X31^0hB~8 z_0x2Zz;ip`AZ}zC+Fr?JDc(qs-H#Nh-l;6#OnuhqwxWci1RbwS?1@jn@j3CM>CQMs zw4s*;LgA{~YjwJhl)pZ!rH-05(QErI&!Yv8CV1SD{xSHaY7?DdiVfG5eR1aABeX1C zxqHT!UL06a-?dLV)c-IkcOQ;kNvray5x#r$^PPwyQ=mQ6%dcYhi=K-G2;UW9Xy;PF z^<}#7{}2Tbst4gV`BqzFy-2P9(enq2Q}8CFzxKrhe)aw$`46c*Oa%;xYgy0|k@J8>yUITr;=&!F9E~Js^ed=;=)*|@_Q082A8rUK=DNjd> zR254!vV`%Zy&$U3&2|8^rcyclb?AM?)=4eP>AVJ-(8Yg@So-M=TaSDeWRAR$W_4a; zrR3yj+y5vC?^f`M#G6k5G(JMr{(NKHW!|^dRWNI}^%W(>J)v>02HGT0d}^^I`3lk4 zxVWit>bYW)KOa3nbAjf)){c{G3LSFn179>0N{A&f#h3q(Q~rz_YV~~gmDBy4V!wcC z2gM;;nqroc_^z29Kr9Pb_}G|ucsMwCusEg+97^Bm0*LO-FU2{{iK4>cM+M=h!`at8N;!Br~d_$RF!9ErQ-b$f@svslSHjO&4=wv(RR3dEp(2CIA9dyyp2<<)4~@_FVpxeI zhsF__Yg;|Df1-_cKdbkCfCymJ*?S*n{qu+&u{1y}Q0lvwB>brzfEG}^G$a-w?AQf*iU%i(S?A`l--|r#)$ur zKH^`C2$3mugpr4)e(kl|3`Gvv6g?rd&b-}L;UTfarMquBm(4T9?THVr4Y!CG!vaTHsd$$2LVnDB@KF zkZ)qJJO5O%E=)9o(%Jisk}&*;lu}s@22>3igJuMb6&xBxwHq=g)H!uC!h<}E6P=#P z?>b>!d5F_`6G{qs^FK_lrzapVvN9?!T3imo0X4+g{k#VpKl$)_Kq)qSg$nVD*P#_1x&dR^g0IP;Qh1^Jo~}mp3rBmPl0%8! zd>aeze>(P}@42K$>Z^Ne8o9FtO2o0P{nIE>ez$MgyyFg*DCIMY+s+t4Vwq7Zz%{d* zE5d6gy{z@ax}W@EFOREFwVAy6!<{kba2frKy8a_-I_Cc1oLAR=)JNW)bCtVwP15UN)FCt!o}{Pn82>2V86j=Rgd*EdUo80Jy4YDb1Lg!Em- zGLEq0;N3H8Ssaz%jRnHQDH;D&_(buUE?af{9sEqt^&WA$@Qs{{L#8 zo)h-8is)4PdP;Y1=+&iT)QvIXCxpv&(O_~K*Hjh&<7Fp)s!hyxF|Ms4dj$xA?M72| zHGp$l9z8|goNIE|)}D7eoAiAUa74f6dQjfg$Wt^ofnTfWZYsYzF<*lg zE0zb*P(pX=4F%&a?hX-1_a7Ba=L=>)DUy{cM4;3;r^!ES6Nmf;B<-l)K~N$2g)}J6 zAoD;lXiEc)=(k?xye}7*k<6_vIu~y~lm1Hh+g~#kwKex`PGDOA%QG*+;x12q9ALOU z9<@`;fnwNv;%q-(`x=P|sU(JHEB;#2PB6<#`kWVnbg!zPFrkm$3Qu;6~j9hl~$L63iKqzqO1>+Z79|}@9W~MlVNpJMQ#|>HD)Hr zL-B$EmQRgFs{Gdfcc2sq@8+Jh+RBO(B6Xt-=}}hf(_hNvu;9-7TJ_z?1r!`}g2y>g zhJ1h#jSiD{90*A?AP!TO_`P@&HjAv^nnSknGo38I5nqFa-Lqtw|Hao^Ktf(%oHyfG{-D-QC?K-JR0i-Oc|Dyzlqk-@SMJ*P6vzGt8Xx z?EO5uKYJe!CS^T?dBAjG(YP8khpPU$UT{sg6T0^yq@L}Ya&IErm4RREH;*3j8Nzq_ z&ke1bvYR+Tx{{8A7l?|QyJBd+nOXP2!n_sba{dhrU2{CHWWB6;{lz0vHcA2oBZM$r z&2K27e_$;U;_(_tJcgUCYXV@1Lo5JcuwFn3kC%T$0`+6iatUS}+}Z*N4L4{cXXJW) zB#Fcz2mK6LPAhQ$7$TPQ1qdAd-r_uX1DjmH{chl8Q(}_!J&pBYf7uV|YVO%GB(Y>I zK?WnHzXFo<;GWaOgd-!{vtK`Oa6TS-}0v zJ!C&H0yiXYvBDKd@ZN7mt;X9C&juWvDky+Xuyjbdcat&!h{!${C&l2sUu7lo^P1L$ z`JHHJvLkRyBvFENN3D``T8?&Dx?j5Btl@Li_N9%L^l|_z;l&> zM+sU!BsxY0ab7Z=i4dI;WU>+>s z7LQ|11GXI!nm4ZVBU*A z+lK@|1?|^`icXQT@O=XAcEC|8v~+#Ve!c%QkgWP4C|nN!1ke$92Gu%-wZZen6v4ou z0&aIWI3pwq_slFoTkfanvq*rWPPSYpv`t|CA z8{k029X21Eu|`YrSMVT%2vXDDF2E?*x%6J#yTw3g3T$jY^t8lw&N%|Q_cg(!^bhCF z+fWm&5lpPoLQH3bY+|pC@a!eQkps3A*XiglX~UzV#>3!@@#FH*QGw-YLAO|M_c=&If;W+h)7pu$jMa2tsD)C)Mb-G+}hAP5S-N#KB&*w1nq;jst z+TP?@K<(7OWz8Y zn)#R2HtK4k=~=;dl}$wR(@b^7=0%QZmx9WgP~MT7--8Ix{19B#51YFLs}n?K6uR1% zyN$8-7!blis%p5oN8W*bVq9BTuCiytu+}7s*vz?%q{J9>Ah2Zg1r0A^F2nj`S$JJ6 zascqr4$L$!Po>Uj1-CuG<@V2Fdm#SRw#AmWa76h`rFn1{@AKrB|3oz8B#GDi0L)5n z)!Ux$opVnVv6sF&aXr$(pEZ!7J!V)Pz^3{^PjDZxO{<))q$S5mv+p4jd5=@@^$20; z&1zb|uHw}0+rI8SlR4uTsF6ROM7Gh!7T*dRCG<_l<>2F1C+iwcAskY{NRMG-TesBxOvswSI4Km0buA! zRXgOY%H^V=BLYxEOojkFpus|Wtq2PbM0pE=1zZn$r!}xT+AxqU=8U7w*4oP|E|#5~ zOc6)(eflRr2>n3&0$TZ|B7n7fw%2Zi( zy_5R9$fCF~ja7eW``C(;p<$6B3%OSY`i0D%;#`QGeMLrpbR|C@y%A)YJ@M2v=>x3@ zRQ~cqe@{^Qan}%JV;<%!&%*F)r((IrV&6iJ&;EH{yGME^Gf@kv(v2)LKhf)>(A2S* za*slEr`jq?#bZJajd&08MRGb*7H#2?epsgA1a{YHJUd~M=M&PKM*>yM6|di)%)@pAIlS!=IS(Tvvw?B1fCYK9@ zXU&c}_2;B6$GCwF$8C5sc{JRMw#L@h{Re3@1oGF;QZ2qP5;X9Q9BFvH)#~<<0U_OU zEuT*5mVblc@85u=oXJ^y7+#(#s1O;-Y~?fF2Z9A}Ybpd@)8@4juFz72{fJsnP2lG+ zXgJLAeuYd!`zO^#=82LBwg4w>Y%anjs6W};S& zt+Cnplc%(1I#=(l(6TC|{*c>Cx`LYoY`V`N$`|@SpK>RnA$j(K3UciI7in5XHy=MqCtgWGg)(~t z`;eU~rlKLX^HefS>FC^2jpP}FJojxP~&DujCqNFQ@*As3ab{O71sLPE-a6iJYc*@bzM)FCV3yt7xUW^Kl$x zEd8zhb}>R9Jep6PFK^FXDfg>3cfB}K4w`n9(`i!DTh{O1TdruIvNQc-ZPMr{ zg^MIvfbR~szfu!NWV|#eX?J}M#}paP3&an!%Fqd0_TrXufz||#zS(L37m%^5HS0zr zyqG4)`b=u>l|x=(5-P`6|LCGaotdL%3J2d-{&#ujag8sjI=N^V+hb283_`vqCAfAC z8VX`pY;f4~@h56SRAToC50X#yE7l1oc~kR~r4nN~eiR{W{((`u&u|ci3F+ziHA+@B zll@Ka$yuI2X&!x@MqslBg2juIjz^rQG@MVTi`x3`Stj^!i;^|i+;nV|^b>GE=NftO zLcwF<=Vxft(8Y6an%IW@$&;=2u`yX`McxN61CYleq%DTZdl8XEx3K0chHV%X5$ z@%iqcs8kH&ZAO<n$@GXS!eR=FMomA<82IivBB%=)!k`nsU& zIX59J*ClwstL0HvsKTz1UfgXhxe!hb;PGDy{K&j6EM>|6Wq~8Uy@awdgYoHDF3SGqPWNUaFq zaRQH4k5F~+u5X>WscCq}7cFmh)8-v!2}vX!rXsjR+=bzyIT_tG&apWii!15YTW=h) zF`4FnWb~qaaP*&IOq6ZS1VWD!V%cmtIdos7lYghH`^K%sdsB$c>p`Qh#K3LfN70KY zYwISkKWXu`>+Oy{nG~CR^cn?wZj~?gXhz->MH%<$cQ~VA@q%L5JyWR)zYJRC!l+OK zDg;z=s(jntUT|zCz3~oXGNIpV6}Y=8Op)oGn*Kv?Z+PnCZv&MV?4U@m#3*OlVZE+X%Gwy|GLl3j3p}=UK?^IPx0 z4KGJey7GGm!l-GGR~1KTv(#qwyC$qfIH@@e>hlV2wr!a%^X@c1;^89e4Kc{EmKnY9 zwG6Osv211pQq76aUWR~WN!qSV-pINXG^b{yY!72HlDL#`M2_(jyQMPxEHh!IWm!Rhv1K@rh`5#qV1 z@+lp2gUF<}LEjcbK4?~icNsu)Qfw@7&h@tmc%p-XDmmYvSWp~>ifq4=??o2Xi(&245m1dM(7v5>MeC6EpvSBVs9JUC!>7k?21Z?P!pKvR9 z6(IN;R~VnzIr2m1M_0mvv=|Kss#nxqh{PFsLb?&Gs>TPjV>rK2=LH6xg_8l*ebz6W= z6{EIzw!c|MlGIvmA&(X3$|^{18D=`m?tCyLE?!peu-*aoyxs@LGGCbX$2neH`V&*E zEjSVFMea_Px-bjEB=58CKYt$7k@-}9H%ly$kOYK>p(1?lfW^Yo<|06-kIc$ghJft! z8P*Duwl!Z)x{0GJ3Fa_slMb=Ern{zf7#4$iEqB?DuAxS3=}m`~hxX()H02J;ELXW0 zs$&w7n#CqCAXtjMzV*&_d*`3~;^s#~%kgMAQG*HP4yBaZRXR#Z5Y${-VS{Wy zEI7Tn{LDq{ z$K2eMXnEE~{95x7kBcc3`P{%}wy*TU1H{_2?7uko?6lL&RV*^Jj_4ug`6xWa7oWYND;T=)bOh^@nNR)Hj)v zv#sTJOHs4fG;}+ylZeiNz%GE-dwU)94o0)JHoSA!rVF_$V_Lq%#5q^r+#lQAEDGtS z0kdAuyS9oCyJ42*B2}~?gPae~y&ITo=y`?FkNkv9_7Y}3n~$q(2I8&U?Nu8luf4Ll zBN-%W;I=4^k3)Z~jNHveqB=kF=N=#RS^&X&jEOFnG700jx$9`as(zyT8plawTLNX% z+&cS763m16RmcbbbJQ_WNs; zy8=t&!gwo*$Evt*?HMn`sJ5#4vM3Jy)2U)Q{|DiX=jL=OpN^-FWA%K&E%u63kp@+Q zL2j)@qwUd`dV>e?A!(Mm-#H`u86ysB*TvF&K4wXd2CmD+>V;;^1kpy6ek@jby~AP6 zhk%8cJQYLAk56P6z*XZPiue^bY+H>tJO%gp20~4U*M|!Cp}Ee+7(;emni0EpU7?mA zIVFQ%DNkN&kHX`d4ODmC_XYmFj^aT@Du8Ehy|p~#Sm3f&)IW2!ZdpJ8#On%I3ke)2 z@KlO;!|BtR7w>wm_cJ(8yysS^(o93}>+O#=qZsyfr%&dmAXhGHXE%>Iev6T76czuv z+?!7l$LE0VZ7|HGw@;*181a*fQkaVDN)>FAv`*j2FBs2$8jf8KUw};&wwS=}A}!Kn z^<4Sm^sHjMlx^6kW&V0jz!fIN^EWKMTBj;3dJ={hG1^Upt`!45yaz7W{f^SM2FoP5i%NzeQ44_@kye2jNic4H3X z%7h06$>BnYk83jd?VBd#cv6ypJkCmJ^Ufr;prD*7)9E#(1>xw_PfC+sW9apfM)=M0 z@*3psg2v^7tzd`K9DvA=j!uK_wzD8t+iDHRi{tgjt&oe5ey3H2gxli`$mQVN?ao{s zTa?)=AQn9$ni&;nUn|;BCyA<%<(S`Hbp!8+{{>?ZtNOT)wS8>>k{OYbX ziO8jN+F^0C%w5|t=wNeqCEr`YvG-|`J=046pqPmw%UtQ3dgGhIxtt!xJnM!B&^lm! zU%WJH%-@Bv1BvooEG!O#fePl+wrT6|ARc~c^mi%Rlc4n85Od`>1rx(N^;ha^rXi7; zU!Y-OZ-`@5D63k(43?69|L{Fr&D^!lHJP2WvWzPS>!_-SJ?)1_Z6Pj{nQh_k_0&XE zig@jQ)V4Bn5d%Y}l6CjR=8K}uP^C(u@CKJJ#Hv?~t{M9Wj#k0nskYRgKDwm(xQf61 zi5VRm$l@O-a3Nls-9C5EYr}eP{_51uLQArH{M=mg5eM;mCnK)3)6)LNFS`Xa3+D@# zYkhGnmOA!TQzyhiXlixU7K<(A73Izc9=LZG;|&K8=w9obBKz@-aDJXi(peIfO(0Tr!F$k|72o@HS9gzhU%>gFqoK^7(ywb; z6HO0xP-VWCXY8bM`+QdkX*{fvCT=_(%}*qea_OP|9OC2^7WD_;u;K9V7C>B<*^)b! zt?nPjS2MiR@u=nHpa_*+$9EUg%uGKRPsOFJ6zDkB~o&cbq$X_Td|BBx3S zAUG$ohK(Ah{Q9j z6FMjP_KB8AZmu=Bj0BG|TX-ijzluBgkl$e>Zo8rsOcKZUb@q%WJST77Zk1zlcN(;& zBWFqm!aGjOl@MgRGnf$`e~Mx=non#V_i9;5Iw>(o9yix#uGFORy%6l9@+`+;^Xl>K zx$|%-)W@=KK!LmfRCVdYey|>U*0-!r{#p1|3MK4ZeQ?#8r#m`yT@lr`r6=3vOBBlQ zNqW)Fk9md(dy7-a)yn$QUj<6v%M#;K2s7Joq#Ku5OgZ`ra8lzP2yj#3uHkQatpyqb zeCLKEM{czoMe2Pt3z@vRl)RY~L%2dBKYa4|lNrbCyx$CjGpf~Ai}QI_k)n6Edi37B@HdO`1s>35B4cqaD-_-#koSFCq-El;{46J9x(In8 zi#S*`{rDp%qo2^sbC#=5?D!B-QQW)xsv6BRGt%oupgCC%dNRI#_kF^*KC>5St+jH@ zRQ5R*KHRW4c4AqviOl!Dc=aVNa5Fo)>4S??^mQ&av+=cArQslgez|gud7X}l4g*FH zcGP4V387EX1o_nW?F}G=+PC|$G3zv0P$@N{TX9YOr$IN<*K4Pzo@!uZ_Qe=DJP&tW zH_m&`CEOEDLcjdN1<2mTk@H}P>o)BDCato2lv2&w!a~v~z4Z~-13Zo^xyo1)q7Y{X z?A+$rldAlJs`c*;s}(HtmiFFuI-^z5Qu#M-!fl_9jpl>VjB_HaCaPLqDR@A8Qzw}q zU+JNTg6MgMyLb7d#A|K=FFf5A&vz|hfdr0j~mDp>vE8rd?Cl#dTWw!bR$l&%;dgfr;h5qXwP5fiOx$s-sD`9vi`u$CBQ&cp zKV9BKq;hrC7ms&?)Qb}E;b;8{zwX+PVlTy$|< zgN^E!=&8N4^8byDBkfa$FRPw|y%yUE^^0HJ9g&IfW4Mw3D`#RAr5et%fg-EjEPhBC ztilHM7OMgBRWYb06zmtolrIZThMPyo&*^yR421p$zQ3^iLz)=9xw;ni^(h{(b?$`l ztxJM$U4|V^9_a$RHH8b(Yaqmc#1&#-LH(XEPGQXJqQr?E?>E_e@icZRxY<8gGTr@q z)B|$)%x!UA)P5&S2D^*jgMGat=Xbhk8%pcmi{(5z&Keu(R8vMzCip`HDS+q*s9Vl%p%l>ED@F1#t@@Zhvb}{>IwJ)v@!D&b< znkcS`5)&hR6lTs22$2}BASGA;_#XF6{g=`?5w0TdxEp*S79guSN}r8-Itc)~u*r9Q zFVqcHP0Xpb;pjtG#e3CK=?SJ@b*}@i;7l?A97yA-2^D1C#+Vc{5;#f@ zZ;o(pR}aHf#`D2-_FJ{zTfAdW8HA0<`p@wdY{lQGZ8|8>2&;8m5?2Qg7ahq8Zn&KF z_nBaJt55S6Y!`@IuHolxoz2=jtLD8%fkR1fFy<{H%i}6=tTnz2SDp}8SFz!BRTniR z?oItzfaOtStC<2|T^r97BDkZy4&eCB4%&&X&FH}JU0G*v1hIj;3nT{3g+U6^C<3`b{idYk>kUh=N1*Xb0$F)p_; z&%|X)Dku7J@c<>QCPgo8Y;4-SqNf5HGF*n4CSJMuR-Q5tpQ~GbIk7~9Rwj_LT*6`7 zuG9d2@a%{P)A>FR5P|e`9hTsH3x3CZt^zE6yGuBap`WIr-rnLOM+yz1vs^fRPEWSD zGBz$A2faLw>cw`tZNjG#bvoI+Jzk)|$i~SUO8jfN(ZahPi>p-1ys3@oTv3*jAJ7~B zBxATZ=HC-Md3#$a`=ELZSh}6N1Vga%T#_s_omEGB=Mq zXdEJOI2HrqjflY_OoeF*3$U7omuz~Ep>`4x1ef=sfCeB!Z1=yy$l-znV6G4knx$iI zLukbBdg*NrRqt=Z`gGE3U^>fRV~8Z!=>v;dEDj)LsN43wQ_DTc{;=V znV6aC?prpd-Lkecbrm7#j}Q1_+hQvemKLNcV$4Df-1dA)L#$yg_lu=RFh(_-`WYbY z3f`TkEb~l6oL=kWxG2!z1s~0ft^iOrUQYK&0_D9j_Sg)`pf>JbA?nfqoK}X7Zy=aE1V-0 z`bWD)T$^7y*VG{uVmdC|k5e)E8W~eE=>l!n{K)3!seZx>ZSi81@zK23^cF-3*12a( z_hSY!W4V&AL|;#X#ooDaXS!FPAy%f^V0IuX)uP)64Wuk`qMX5MJRuGbZzy)!G}LhR zE}2Ww_MuUkg`P|<;4z6`g`N)cPU@W1pokB=t+TM66}>={;lcbu6Pzcxj)Zcgoimp~ zMs`|=ozA-Qf>GE#yn5bS>w>;DW~DL5$Akl?Hl9W>w!OSlc-3q?U39V0#-5mg+~|IR zWk}x?=A;_E0WHI{zFQohMGmP2dfV1kaZMe+{rm~wsBZ3JBSwwcX}Jsq7o=8Wv)Sf94Cod$l^MY; z{(;;d4rp9;63Q9G_=_UUL0;lAD5+i5-|hQM3SesRQS7sS1U{> zc7OgnY_`(%k-G!r+19Kr`Nh2lknoepbmJ&_hpANRF}z2ehYhAu;# z7d!7vj(SWR%65ExVXDA0&=oSc$)`+AV&@jQ#eHGZCu)8=u7ew_McAme%L zLQF;9T)i{zIO=KKLcgOt=rmd4ewV_~fuz0)eVw<3{E1NG zcMo#eV0cG`DJCqpy+pS^IIYF-J<_w=-*IBE(cnbBBz`P73z(Th;#UvHHt^YTFI=uR z!shN;1KP!7Cj>0cS9^1e_;@f1^74YO>daHD0c{JImSw9&@Zb>EB8!z#UtwsoVJFK|4^Y`~%>?e2M{9eLwOMlSU%fQg}g}d`Xnmb&z ztIGn@i`%;@%W=5~v3kpsO`i>pO75qTdzoPvI~uJb(#FwV>8SxW>JI zZSp4GLMy*8i55gvRb9yXmID(PFt}yyR29^T!*o~K?t))JrdinFjh*X{0du@?go~zD zzsT;NkKD`^@(x`h=bNAZ%on%g_0q_{TASe5_*w2;DSaSZA*Olk>cZpQ;Ci zSEN5Bgue0%gmikp_R}vgb(5zvKmr^II2}slaSs4|gp^GomJv8;^Wo*Hhz^uv zPut!pS~sEa+;_`eT<@+6BRSa_=FXB*?)!%_ z;jZ3^$l$}MDXuy|EsS5Czi0dRK9AA$cz^6eUzfn9@Cmm8z`Y(OaCAxDQ7yl?w=fb< zfVbqIlK+MM0?T{-+VwGzHu9~kNrQny3S1X>+u2bj zL<9&sq=fG9P!=aXAtwHNQOK~C=bDmAl{y{I`9kTE-zT^}093|*^+`$K0jIT$1zYF= zS9dRqtREx*-zRmdYx56C&Jz$wyEAG(3hT$2Rh)HVwN zkew(KM1_ZjFDo$s==-{1@P`PT6ZbD7KH#HYXpEi!9|s(PHN;&#`KN39{^GMP4P2oA zd>d{lMbDZ!_}tUsCnAv@xRp*ds@4Ned;lI~9nRrO{M*F_oG=66`jrC6h*<#Z0MPlh z*&6imKLfs^ftfay7jtT~|J8RE1PCen5De@NcuSelz_%G@o)$ke5wF8rxJTzm1_=I3 zjU0yopQ)LrFaYdSCmkpP*Zcej;2r|NdkbA^8qXi}8t@n11it?p{Np8Dw=}?ob%XKu zm;0-6tpRVZu2c}~;BNZEi>np*jN+-!D)3W*nE$nMyV8cMAIJaUj6Zy$)H$!$W8l{# z-v4{$arNF0?O6|k^GOt-{WJY{_&Zl-fNgy@2nG*l@H4P-vunr4r~j-2SPd8Av(Gai z2#Ff%BY$jw@dEDu>jwLJPVJ4K9>f$*YdApb0DaA3$>)2|kyHTV^Qj=Mr`SO3BHz)${P zLfGHVnbUsz_cyLd#1dg(liq$&aq)X=x&fFxd<8=j9Yw*u9vq~$7`>i&k5+%p7&TQr zk@^j9pvTtByK(gkCnrJdM`bKX?ogspd&W>Z^T!}(f~%%&X36}+*n~LRj5o$e;wA9} z*}+5rRDGHz@jhYnlZ)Xw;5yw6U)jt<{#^rn^@I|6&$3!T{rw{1Vq*Pzq|;J+&8xOh z9gCrWSTtl=wm$B%@yYs5$*uB#$cnnwtR=p-mDKI2LQ?Jron

&rgavbP!}<3enOF+RJk|w%2pdkAq)K zY&P|<+k3ra?|?YJ+2vSwT`VvE1*VL8jjkHAuTw;|rTD>PA)qA$B7TbYKr9R{U^^O> zRBIOtwt~o0r>0<)t8r!*hu`(-6*BK+dL4802Gs4uSJNym_7o3r>bhGs?olUzE@-zA zdZ;3~@Zmm>8v7`aCicGAjUxu|Tj{GtML>a#M*n|xWc{axNg37J6(sKyu!lIjcXQp~ zvb#T3U(j*BLO8Q(Kss>CAG32Zn#(G zrlLZ5m#M#4q@Q2p+(GVSGyi2Xi|fxD2P-q))2G~RUF_G7xICp7Tl>qTFv8i(!&G3ju#18$qi)oUhGjPVzpEWFEk(U=K$wql2-Ipg{ zd7+K@o?bQVhsB!xdb$=Ft4td=gX@X?xG$}A$P(wC&!2U0Q0G?+t?MiHivobEo2ne4*W*8b)>|M`!R4wQ66 z4e%M}P=uF;UV980kMKC%^*dwweGR@<)c0%0qRsjNBfZ_N44vEX7iXPv$Yz(_kt$=> zi}p&2g)@e}eg)s7>h&kSDS1ZE1JIqZ{q?o2Qd|!!W0P_y$WvTg%jJ^3m17gx$>*>l zy4QSm=MNC7m(?SrdYk>U8ZyO`bq@RO&71oKc#OL%zowWpL=%UiK@!XP1M^P(T6Vo> zvib3YYzLD-3*f&RL~DT{N)kBU_QLycf%SEqpf|TzuGPn6`h4x<4GN36FGCujMvL@x zZ%iM*W#sb~>R+PUCE~lYDr1e#P(;&Ki6^VYhp@$bF~sG?VgF2Nv8h*$>#p;iv>9C~ z83t?d8~RokAD$h?iun2CT{>@FV$lt*@lW#T5yMqZd)|T+#C5pa0$eA@q3F$*6*sS~ zh94;)QtFpV9AfSn^#<{~I(V_Y(@=^h>0`F;@iQCxUe8yxR*z@|Bg(d_dJ`NG)PCD( zAK@5{r0FOYg|G!80%D4b<^51?31Ko}8NEr@44?G_&4+hLcTypsd z%GFcbwv{0XeecT*cI^$0(!{*;KoAA&r0*k3vDbzSZ1Upgsg*=^V@gsm$p=|AKh)3D z-o49ja-IqJ!4@IvOSj$4n?3j5@Y^@3NfvGq5}$cC9?U2?z}dxUypZGf6Xs9?A$aMX z)Ca=jFgPYVo#HD=V0;P3R9QgxyGQ~MyF)D|9+1n~=4{-NocI~UPtlm70ks#^iUC2R za25Q}YwQje?6vr|-KecyVfwUcV{?-M4ruWUuL(QO=CaatW?`S;Sv9*Q*o&$Ix)@L} z2Z0DC-crN#q=KLLOYP19^~3~qT#+}bFb&=O-^a8q6XbN|Htb>*)^=?Xbb7XMd66dW z8zGK<5dnS|1oVvl-K^bVJteP|E0ZNZKHsx@5yvK z<-sX(SRW5&9wd#kyJl3}(As-MI&n$!?cC%+h(Z|FaK?JJs(q^BLH}K{M zcHbVa2UX&8an$=gH5Kz6*(`#61avdtYkBRohT?b#vE$kB^ak%`dnhG#UN8XO7w8yp zK4VuhHKL4slp`ND%$5GB+D!#-E}ZEnqwq-Gad>Qi)3dKyR3Xv(6a0K6txMT0f0>~7 zm{7Pg=mriY0zIYIwh;>I4B$9&>S&NF9fk?QNAu`Og|{C=^`qeUlF2z^8X{cj*Db;Q z;)nCq<@k*D$#h08-Si7b!E;bx9jqa2DmvvnA79f~hL%ImaM6?rM{JCPT^918o5^X}5Wtl52A z?ocdxB^ zJ~9UlVAlCv`!BG*meU&-cY|Y|BAq5LIs$dD2kXsVOUu}}YW_#fRVzQ**B8#sxmNR9 zrD8xgxFO+4IVocz8 z>Y`qufXf;<*M(yn!)-J6$Z&PHmKk4d9JRvZni#q*LRjG#fR^S<*01e@4x zYCqY0_y!h$91fOvprTe~h9!oJd1`u$L`{tvYNn}c%Ly`OZZdVk&MF+btX)rMh#Lft zecA7HgKPPS4P2&UW?PD@VBV5K~=W0llf0(-oV)EXzJlcdzv&<5#+f{Sh>KlE;M0 z%qEOHzkmmzAS?r^f}Z^;BT(_(JUqdw(YACSOyW#$CF|a*9WMQ{NY(D$7qICsKWvpiuGU9bQ$Vnx#q=?|CP$49nguq+x?6 z&`V~x#J&!5h9)Fm5YSmqWBb3;pC8Y*ukStC%QU`SBDNdu}NDXyf;&1I_rtfAoC2@~iE^x{_*4n)G{Vm5ceXn%SER%d?U{_K$_>s_Ae z)mfoqE0#3BX(fHv-NwY;%fp?v@ywx3CS)3XXiIy+X;&xme8Tw-nor;QLGZzF9Ja5t z%^Et(jm)#kY8J((ELcy6Uku67`0i3SbK)%;w99^MaG zWX3aR1rDipE+TT;mY@4DPMi3U6hY5LLOXh0_~ojO1p2im)i2{Y?09)^Z3?Wda!g_6d;$N*i!F_T@UG1Ix{dMf0YV^(M^OQ5Z=x% zxB{G)LRiuyX9nQ=z4b;)Iufgl_pOVQOG-+PwkIF}$erD{f^W-$D$U7xYtM33i_z_* z0ctUpZbLM;LhcdfPHst>wsmmy0A^-cH}A{v|JGCi`?dXBspk2WfJvr#=krfr0h*cj z+eaDxCws|U%_k3;8xGK0c1S+K=N@Rvhj8^g^Eu(cc@gVF^hbEWT1v7lhl8PxzMXIV z)Mjq|=bn68f}lBEdpRXnC7tTA<@|RvjAyCS4t-HmstndI8fR>_kz+4t9DIHr;x!V* z<~3I4)>i6RWhPf>&?dBg+1x3w%el>Wd%*;ZLT{(gZBAwkh)<3T4Uao`)Z|!w438+I z6cIhMA67?&DFG%~6?GdpY#Dty$gz!wGJ*{iPXfKwMy7{{`OOc!cl^b%9@S*;ZoRKVm_-L(Ql0EOJ85F8ULuPA@rG6t{wio}WeUWxL%D(!s_CJW0#> zaDo3o;qVwu1cN`>99Vk{n7}SJ55^;sUJ!Lg~>bN zj!v2~yIY}r!kYv%@eH<~-D-Mm2MIO|$kL}aa;;=dy>?`&_jGCM!n{wQSfUGov0CFE{u0L+bi;4=`~f zN=>+fT|9;uMC*slrJ&+an#zofhk)97G|qla(0H4C;Gxnk%xf@RVUD|%7EPMN40)I- zM@%_IFRbX3-xDBmaIR+{ev z-tvfscjT=Q=TSIjs9EYhU{yhE&J{VT`Y)eb164vTGutvo4lx_#%x1_3-DzlumaZYm zvV0=jE-*i*9mbf!k8FT&_y@>LU|)`9ew*>YT|nJq&@V+nqj~Bwu6H%-OpSnuXjt@n zXHV*1>h_L~`Rqw+6F)9GxVtH*cRloWV;$x(eO07X?9$v;2Q>emg*%eP|9ADBx{mNB z2hcfBxj`_uHM{X+Q}tn7inQr1Tgy?^jXfqnSAJCT`JAdeENbUX+ovrq)66RfY6&Pa z|Mw77U|;5yR#JJna(97he)gFVAh|Z|`O(4cATBZH12|C`6=BI@tCfCVJ(qfp#A^Ea zwERZJ=1M^-&~z~3Z`CyA0r-agnLL)I?Ny0hS3eI7l97>dBi5{RM6J6gJ?F6#F2c6a z5aG=|klhV`S~Nx#F6%AUEqD_0$D{>{EB211YvRRYf47(Aln3fg4svpgnV$RMaPmJ@ z^RmSIziM88$|?K*Q^QO{M~C9}yU+Czgue|cBpL1!;BIziPi$Mjjc;>|*m1y`&Oez& z-1`^lAG!3Z{%d7rJmIn<;eU_tia`EKcjd6&YM2|loMcJFnhY54pDfg zdjxpU) zYP|lu_j)Q=)M{4-Ae7J(0*0~;|3Ln$id_|Mm19)L^M(rNO3UuAYY!h>8k@uQK5zn} zWIMmDT!bHyF?E0*(9riBR{clN=06GBG1Rd~oLn_3{&ijRN`wDv!j*Bz+9$OrkQv~& zd+X}rL=Dl1o(4!UVgZ*sv)RApysX*(9R+$`KmM8c>OVYqkaXpYYV_L~)l6E-H0;{< zeTFNW)MXjq!s@#n@s&FaX~*lfPj~Mmq(*@N3jktq)ZMufzPq>H?`=E;+LEt~kB8)d zh83mYr$qngW&n~(;;-o|)83W0&JVzk+P+(`FyS(F3?-=jq`i91-;_xJFWq5==s-!p zROH_t2H^buR#*afGG31w(2}OV9txY#$cN#7b(+EtxfTMBHS}*FzZ#y#AK-P@xXG_P zxH2z}zz3L3xVJike_s#-7isti@hDJ(yebHf#7z2$frqrIq$!O*KF<8Tt3Ut21dtLr zX-R|uCmgv?|I|~60`X3bb=v*D_y7CV|EIilX=dD`m)Pj=x)IKVUdpLi2SK1SR`Xrr zj?Hoa(=cCs)r0feu;sq*~N z($ezs*tfV-1fL1^gmJC|{uHmig#jXVe>jx1DWKV>SB!&$lk>#I#YInFU*!?$>4!z2 zkAn4SD>x`9Xlr}>cyCTgFT1QP2G=I4=hN2<0i#>LL-?z!CfYw+C4S7f?iLDiaR~{U zf?x9Tg6LvuKAC4{Qt>ebKCZ5;jK=(&ERbc@BF&(blSrR{SHMpj=xiMBBUPFRRw?!6 zwms7aI;m&m#Xk5|NNdhhRNieuvHm<*?Tr*(193JdoZ?2i7NwuBu=urp2>p+i-oGUs zflBt*-2X*)bBY6vM&>S(Pfbnf>gw{%nv!^e*Vw2&MFTeQGCZFn`x-s_su2;^yfWW5 zT@v^yHSbhXw%27Jh0Ytw)rs8#mC46sB4Y&{PbpU0@QUqosd`Wh~CeulDq9njQfLK^q_$@s6vmFay8m4GRy%CDZc<%x^dP_=5%1=eO zKvY$e^H=nmOP(MG#AooDGm8}=I;NGKu7_y8 zsJjISDjr6)Wt<8XnvtyHkN8mn?=cn6SqeBeeZ3-+lK)$J!F6RX|F@#7eAuQE0cM+S z&hIV8Fm6D0DmpDENi~0_(k|isCKoyc{ zD-oL_*4OeH5dF;lNdhS6-s!*xHpzc9hs-bpEFph|fbmmoB!ErNKSWA_wAeyjM@LX$ zz*`YI6m~H^t#?~A^*K>zVFF;@<|ZKuh!}3(69I+=&X|;@BHeuT4|f)?6742H*|bS) z%KGZ_-ak+|jRK7T3(7NhzZ)MPpD)|oMe`m(keEbs=Qi=pH?b2rulNey`V5iz75dwZ zLT&>+fXeGK01Y;g?uu0cIKQEP+rQC}-X<|4xEn#t02caALl0btksA3v0DDEJa1$|g zynRu#1F)9!+8%hS!(i}VKU)+U-j3|frD(WJkfs~D%`9*>tXAsNVNQw%&{fA9k#4t& ze<^rA-b5nT>uq5_u&y*htw3gwcY_8%-+Zn}#({qrGQlb#QfaR(;clLu^xg6&H(K&x z&`;$DQ@jZ8i#$j`&00&il1{S==7SYiE%qoTsh^Qn>)sS={`*?Z@Ec=*$^-CXX@T#I z0?5Czcz{>1%tJiDtMHbqGSE2zmK2hBdv)OlT3%Pt^>T0%3@0v_pQ<>kQg zT1$~013ER7*OvqT{i*+1hNP?s>=yD03Jfz&OteF@nOI|`J(ih63~rxGDp7dA)RvBd z>3P6h9x!UP=v1SxAn-MX-xU(4P=+}7@qR2yE6hL?r4=+p)JAVt4m=;GTWu;qIgO13 zYpJCr8A06sXYS z9yqdPn(^HgQP={v#F z-a$ljbyHof`)+#Ciy^SMKSSf-(Lldth)L0p-pnx{QWBn`>0lzm_*tckAYXE$eJz`X z=QoNZO;2d?T!(EjJDUD>FR@r8q!v?EZMain11S2oxwCiy-vfBjen2k!58gVk!WnU1 zw+WFXZXyA)J~%`QuBJS%U%Aquv-V}yX(l^8Zrnp_Zdu}*Tu@M5 z1~f_eb}rqHaC*r(gsi$_4k>;>?Dq~t59&E$4GKqmdUU*3kJlPpjmzk9NITN8Br-Z* zH{nf3#Y%MZVyUmm3b^JgQCWl$`1jiCfGLZa!yUQ@M8kW)tNO2^p^ah~B2_gDRcRv? z){FEzd>fi}F;|E9;!#}&|9(9$_Vcu~^5IIm0s&Q^b6-%By*sBF;&iHdyF^z`hUc+k zK(TQ7*v#DL`lG2H_VmwwMc<}J3Y&K~%eG+-Y?KEHYwjgRI?lU*dDZX^@RmHqA;cf!&H0hqb3_Z*Y>l*k_+T1a@C!;KI?J6Psrke*X39OLhq|_H^Np~ zF2(k^(vg36)wjPMCESGi^LLlmb~61={9{Dj1jUJ)+{Hrkq>lPR_KuiFCIaDjd4UCm zfK{k7hFjYe!tBxuYSs?}LVL02+hgqMRJtciN%`y!=Sup?qBZuetrl>=-Nv(gZ=8^y zjbna%`gP@iwn6KH@sFNOCZj(4^&gGM-4uL;VnQu1crO-cc*rxK=(4lFIeUXLd6@5! z(q@`{1%$0Rjn>_GkLzOT&NTLPemGu@$+W9jY2jRWcRCG56$M*n{RlEqnj2f^m{EW3 zy5P-H0=1=E-Q=829pW?IEt!&|fv75uotYcAOs9PLE<0!J+nqq~I9uZ`SJci(FHN$1 z-fL8f_i_^-_WVdhZkgctxfQajScguv0N9tX4uKoDfc1O}kgET$*E6m+Ze6&%j?Tn{ zM~=7=+-|~HGkDxwc$6A4tiHOsit5y^(|x5l;*^4RyY)U6qyy2~pAb`$OWj+udR(GK zerN!5Z!hQ9-x70wXP5xd+t+T&EgJcxZL{}fWbVQs7ZeDlX6{gHkH@(~zCu{~*mUJO z;iTyJC8mrWX>j%vIl(D$Q~~j;;Sv(t8Q;}CC{8bFILlj@^+wV=)GyU62VhV-xzRVi zKU07k`K>kFuP&)Q?BJi6Jy?-+nzVn?ygbd@ukX?7e>^ARIFu5+Fu$8$C|A&Ct&Hvc zerHbrH~6^GANkHM-L;3r>V+qADzu~q-Jcxb--+C-Mb5rs;V`V1VmW;900N> zQh(kD5`}*<^8_{-#=E!p`1r`k$o%ZB>S|@=Jef|1V&ou`DZJjRYOHb5(O_=wSJ9vm z^=n(=+9_=>j?Bk8?^pF`^btntl-d~>>?r{T0=su#L$Gh;tWv$OJho!^*TDqCj;M006(OFY9G7;X5aBnfWRNJdvxIoX* zTlBO(<}o@uQV899h(oe9AZoU!0EgPgwAR?mI(A`OTG_e0Q6tgc8hzI|YK)=5u0jT` ze5x$_jc&^})lJDXQ0w`!Xpe>l#MoA^zgOtKJv|S@bh!CMaqQx#PacsL4^No zd?Tm}f8TDJ`An$WQh7dG;1dn<@)F&DQ{=pPG`oakp;Y{P^l=*cXPI_-}6G-n~Xk`IAlB{2t|-TRkzxFRouV!GC*KT0nZT zyaBGE@Url6=J1hWebHfaFln8`0;XCo`3CxtSL4P}qF(H11 z;#`O~Lw$XJ(!h|9Mb@OVvNzawv;=(AY36lmp*B$!Xjxytefu^mcvOoe=b@&ld^BH2 zW*aw8bWdhm)I%GpV3Jm{Q29s9LAN@}-l&!fgCsstf9=ZQoE7uX_i~^HP0XrC;Jb(bV9}y1D()jgr1u96QbPBV^xW zKLzB^vODLsWILQ07l3U4ybm&(AYE1*Ud!JeH>}wGvd=bO>$DOG->%t#?X$#anl=-> zoXoQt=2tQ0J9(7pwHp(g%$R)UDXy*dYrEkdhe(9GA&5`VA9^fuIknoSzASNzO&J-i z9PxhkV~S?fwy!w&KoCyj1QTz*CWDLDfYy_gltidTjyW8vYMao17(oa+o-QUq#y_T! z4i?xAmc4m%UTU;!gnwq{)wMPd1etSv>~S)0VLI^9gsUom<+E?{T25Ne$D_W}#icr(gf)r^y7+23K@+^lP7q_Ru`oQj&IV?~gXt z7-Z8~ggf#V_@arHyH~}zYPnzIT#>q)4K1liDAPAX6j*e@;VS|s&cqm7@ zzk3OKkdtz*#N%*X$;Whqslye&7N=l_eDuqh)7WcQz0>oCUY(MhaG#QX>S)fu{t_e3 zo!V7jS5pI(UF7AjsMFjzj@z8{h6|t9u&@rxOwzVw=;-D>joIag5W7oW_o8s42pfni z#IMPF*@1z%D9W7Z)VI%Q5%O2$_7)Qb>3Ee_-S&B+*$j#Q`73v*E2*4yXi ziUe#i9YS#+*+&|}aUcyQm1Q;4Pp0>}bK35t#1#m%$Y7DBocWFmK6$RFlTa>I~IBr;@IP~60GYmBk8Z2Tpp+){t5 z>TOEg%9lZ1-_lvzVD+!O@NNlzrlZwkQ;~>>P0{79?xNGL$&sG))Y~G#L2YD|My%l5 z2b@g7H{EKE9s6)m)OTrU_{^P2O6I8+;TX>EH+oPzV=Bh2NNz&yX z)3TT7u3;IVt}~m)6Lp8=bVB@88>j;mt>x@52Q_u(bo~tdrac&aXZK9wx<9eFAAujEr(NoVZkX zhCb{68a{vH%BaUzJn{YVNKiKDo$}6PU5lnTOCA}gnu~Cj=UMPJKQ*_t{N%~61QCIv zxeB!niUjU2*}$V; zp8@a3-RuAS^ppu#uWyhDfKq1bw5YN6jjC9=Zh#wO9c5VWAr&IjNZG$!Edzv8)&me& zT;|xnY!MadygB4-)2lzsL1@?@PR>W;8Pw0n>UX-WJ?s_aP3hutdqD0gg0P$X% z{n7AdcITwPF=FAPo|qHU@*q?*KOiPcWjxArbpmGu?-=g>lUio&vH{ww^g;J9;M=#? zh(-)%W>G%?%08MpL1KjyG2_L$8@X_O+{nAQP5!+Rh!0Dzn}Aq6Hc_baFviY$T5;Cv zMG3SuYi%4HT?*QL|90)hd~(w-<*!>cWa#hZ2xYy4WgXt@xD6r?nU;)g_g4z*b+`zU zn+lz-#b%Km4@7GfLCRTX;#u?B)O7wI_TDnAu4P*kObEe(6Fj&Fg1ZykEkJO02<~o+ z;GW*j=MFMYuwI79 zmyHxmOTZTn7eV&}d%Dj@2Uj9v=R*Rjzqop%8T3B6UQxk4yvSzsB zK+{%Adwp%~fL+4EPfD=P0Z#n!)^s+2wmk_X*Jhu(fa0ZwwBZ#KI$iBWP3$&-KMQrh;QsQ0B% zMoc&tJamNu?%04hP7usjW<)X&6-Jbl1UyQp;q#0R%8<}IcL{ibpbQuIj~_2DFW34% z>znijyeKh$89!0WKtef1{7otqxIq4uuwLcf0aJsb-b{V0^OI4c*Nlv)yd$eoCqXpE z5}~ndYBg{X!E72nlH*$2BXsuFL@lI0=MsMK4!^rIPZ95Tj5Vl#;3EUwnfYzQ07C%` zfTF%+P)7J0V6gqt{^utmYKE#VOnV_m$8)-tTVGX9Hj9}jc~OapuJ^Yt@J!#-H?w_8 zFgKmbKP+&*Y<)4X(JN2tI9JSDLq`Tmc_9(B%*vC_WxpwBVzPPk6FAoe(r`cFv%~rB zm1IC+g+|)(uyMAbLBr5<*SnkNE?fC|GC4DQei_em{xki1O$vpBa*2V}46#B?M5Nmf zTu+Qntx_U%0ssERfX5NAp=L2(gV01Lhl&7=NMzG6yB5Qx05Gp87VE>fA`OGRSsPPQ zEY^7;LIH`K-WL)nFfV`+v*si4gb0xG-%rFr8Py~@6eG0!W6aN8H3*lB$J}d^aKDcR z!pZ><>zu!{CwK68^XFAifQ*X*_7wrw^q)jA3PHkXvw@$oH9 zsU?5yDpj!rwiS%$s!Xx69oPEMxr*#>%ux0FVySw2d$k;zeWCq)Jja3e1D!D+UStXK@6TpsxLFi8Ajw5fCVI+n|QmfZq)S|)oL@P_wVD0gBWz%{H2pv zmlfSZab=8`nmw?(*-Xbs0Z$zVcN0a$u**b44M8TNYOuQj=&fjHZWTpmXLe3W8nr4)CMHGPJo4wro4}RpM#HHHg1)jxJl^b7$!bDE9pC(* z4Y|t8C_pK$A3tbIz~do*lLkyL{;OOp7AIjv(xux=EG$Y~MNMYo>SDl^EWkc$LTM#F zGap|II<@ksQ$rNe;Jj3mKVk8a4^| zZ)$L4AVMik%Kt{L3qbClgOK!&$l?K}#FtO)K3bb zWo4gpcC0325j&tlJ_gYLh8L26pFV$~9rFY>@(Jv_*e8Imm=iMvq@?h2g^rS;BkB`W zb_b#Ut5L|&8;KLj&P!a$Kt0e)v(HX2ft(poc<_#&<8V5Ahc@uyth@4CNk_?3S z@dpsL6H4g=WRald1EUF5bgm60gH-uP0|h-fy*lO2tHj5a>6ws#^k0*zA^*2rd;uHv zr4P%XWC)eYwxwjq7E1)r3p$CT#e)Qbw$)(}|!rqXtCA?7uv)^U{9vRs`|AlZ+#xvqN@gO3Yajf8f zH4w&Pp%<4_a)TKa=}rAq0zL{Z2*dyX5d426{Qpj+ReQ<0@)h$O_vTpKFAo8ZU$sKnywk1epldVBmwV5(4mey_K~ z#>UQ*P3yA2^6~LWMr-$ENksvBoOIj&#P*HWVzDr3HF}1IKppIM>jR5TZbS^{yR$K$ zKRd0-N~t7FAX_(qOjLCg2REE~3y!3!Ukwu^RFhEhc$#;2GNAgYMd6vC!LFcWJ#J z)GZ;HbZ_-^gkWoD+(OdijUwLR6o+HdX7R`T7Qj@R35tf(9n*DeMh?8d)CuDjW9u-U5WFW2jYs|x+_ zb&DLGVD%^-$i9x)NjN`PYB3s2JZL-_8f07AJ<_kVed6@p23qQerqhC9P8nOQ%T96a z_EhoB=a$<}T*Ta4{Z@hI+v9#~G#L&xd;QJn(lo{J^C(e#mz%v>2P7PLsBrBC0`poD zMZLPPp}z1~EM>}imGxeVG@;G`E&}SG*z$T<2{7w&z_2qBkl8WvG-@HcBXVsEr?^5q z6qt{me(3sQY2W4D!EYS;vpa%--TDb18Y-&i!`;Q@r8E4SppcOE0Y&_EnDYYSG~V_KV(`+N2q}Sf$v6BE z|7$d0DejBkW9a-ijZo(xgA$2NXM&od{5F6kE-oI3h{x%2Ov>FAMIw5L^ZJ?EGgkej zGguB2JV6aY%Z0BFKmr7p(>}NJAz|MVJ<+bL@Yb}pmu9^!S#z7BlQgx90rQ?sPSuX> zN(U@F%CQpR#iAP>l%VMa{?N-N9DLxwDAh`%jEx`?nX4hLgJeE0UbXk(>}s!8fwyE% zJJ4j=*G!fn=`TB}MCbDrDMGjG`bMV;Rgid&l8@8n^(dQ4fk1B=n0a(#03qtcvV-z` zFsk4}iudDc8Rw3`$;nCk6Q5v5{r63-EbASu)~KYX9j#SZSn>+n3}yVV--RV4`T&>B z9A|U7DZiSo527VPEB=Wm412A2vOakEm5+hpC_cbnZv;~exQf|)s;C~wMV!zam%zsc z1jkNErGX*!J=m1N9lZzGhzMkw+~-X;6%*?L<}abm2r{O?^@KoV`^9XsK&GA_3lY?E z2B@Z3@%};s*P01%t_R2WRvC`D>$4sG_Zf=#%o6Z97wEv;r4bzG6ElLJhRoFE^}aNq zAwR}y#ylqHWKg-CBOYfg+(8gk62-K^DqPFvVP(HT>3#h%1#i0S73^c#_ zp)LyuiZhOpwXpQSKFrqhj}cEG2Znwlo|@t4B0Ls$7az$T2~%^{=N_kIilPDuMP z^Wyv`2)2{n>FES?BlP-sdPm)I%9i8PQ`y#laauAX-f!Gc9|Dh$@ysW__yE*gSm(x& zOSifEy}+Qcj_hbXaD*0zhxau7URVBk2|Xt;usR0t`$}K8*62n|A*tb9t^_{e81fSPMiN^&S$w2oCvpi}V_(ptVpV$Ml}{s}1%Gqn_fDsS?#cknupx(t z!Fsu>FzB9@$!I|CLNzrEKIjhu6mz9qPDe!<_}c|6sikap2!nha%>k;o-A0SOI9=C& zOgEezr@ooZ5=DXzyKS4G1iZE5h+hpb!8!s2Zn(D04TC5}k4CN^A_a+k) zjg^D{Oi*%Ut`qa(y$yPdyV*`0A$0F1S!57hvo2BO2=ucT;W~&2mX30}>Yi^IW{~XL zTm5n4U&aEa_a;mLqt0>ed1pW>hh6U1;bRK}nlHh>lnRUeSiZ;g=%Ye0$vcynh z2DJx!m`uPQs?i1@2H@BF4Nh1-$e3Vx0ZD!l}7r!RqgA=v6^ zQdn))@c9I-Go80g1UA>am9rQC^}$pOUW0wW(YN1+I$RiX!sC-MiJ;z()QNr0|%O4IrxAQRjH@BZc`0w+3%!np^oF%`|%v7 zF@9b#X;snu>eCwWA#L-@w9!omGY*zKL|#U*gYVGo8pTV$>(*;>tVrKAX-bBo@5%dd zJ{_&-9sEQZD9n51nf`7zjb3zO@ZV$R!UCC6cs)2tp*+<&#q@-Pg*gB+a1_9{K({@f z7jd!FHHWf77v+~*DliXs=JjaD+xU>+80f#3YEvd_GCSm)CNai4) zH0Q8`3WjoT*515xw72cd%RtglD>5o+9n5J_D zruyA#NI{rqd-Kzk-8y&U49CwghFo2SKMBpljn}iU3HmyTy-=*_I!jiHhzU;3M?`NgKQzxh5sxb^g zc36&Lk~5%c?9qpT5_v@`#@q@SDHdFn@#Gl^J3skRn95b+!2Ce6>Ox_|S4XA2>cR#m zr-P=O6G8nnwd&?V<>FyAx05_thldZ}e?UVZ*TF$txO$f89nqjdAbUT7Bz*D|hX2W5 z9_wEDxLthc*|AlnXZrQK4iuy-oa=>ABq!C|zt;V42zKOzYmIt`&;9_%@Am|~3F_6? ze*yoojn6nrdZrU+jSvWogHHhPt+ouokmENv#lLv^+SP8B>e&CWdI%cO_~;GcKjHod zJ{lB2_38S9BAiFc5DEE(51yF7`}|9Kfi~e3Km})zkasJPoO#`H^310kHr8%Zg>R)N z41o*1w48(+is+v?^+#{Xu>h?xD`b~($dsMl58sd=yU68+l(dB-NZ43+-!*oHYxGuz z8~&DcpNWCq6;>0R#33n5v-^lXo|BGzA+T9JH{b|wEGLn4O)tmzyO93qnqS8k^2?^Q zwW64*Bc$?L+`~Pevw)5UMA$Wo=m(97UXw7qgaySA|KB0+;{q_At@Ms~-V~Mc;N-!{ zlaQX(N969~KQNa%85L6gjZnN>^B%F)k&+_bunvYpyKL*G? zylS8LZDST>K!^wp130qM>5an=(_VK=E`P(F<4h2A`C9;SU@cgK_Fjv4tD~uPWe(s@ z`wMs4ZG)<=@X%vYBA9=r;g8Jo;e~j2dfoFQkU#awTAYCZtJ~pt-+oG@PZR9DkSR6aJ(M*0Ta%8N} zfX>*spzd+P%4vyX2u1D*r^^HCwkK>$W1}xxax&Uo@LQo(f^CO&LaIs!V&}L|`{>zU zdp7ts%=QWDceqRW06kOfLU0VE@Vlfv&^;WN{*%hw2!`JNw)Y@_?QBN~#7(NmfY50^ z63&PG5_-t$`<1TnffaG#=-0mMH${wbukJ{_#bK~EHCbeNBME=|6#6WZP z)tZVgOlV+r?bN;{qk{>Rd}Li%2q$)NIf=37Iz8U+q;72qn2Y9oc;%wvzeGNH<=b1S z-`Uy|h_~Lssp+lWt>3`5#`o|-3y|X@?YNi@jB5I#h@P(W$bWIB|FBcA+vEoUoQEf4 zMmBjw{wnR^`QMS>6NPO09r1vhA_W|Um^XYNKM}tRnJThC4d$c1*JAdTcZA_Bi^Kd* z==?vj0m4&W(tRuSZ!Ks%Hun1V0^%pC$wUOZ?axdZ5%b-iIPRVI~+5JcfrwY`E;rM*4@LR0!s5unkZ)$h27L;ZGP!~Pt?DMq{UJKY3aVgp7(go z>LFn(hr=9jU86za-)md$wnYhMQfdx`9GLg{S-lHmZd*mQQ`S^1cR$Lt|Cz0Sb?Vk| z0H!hmaq-h4U`+R{9<=c>R*XrRiko zvxD$~2of&u&#ARN-%lWMAGc8#UM3MH6}$Xcrr>Hf|Dtl*I{AvASpQ6DCJ+TsLK)Xp zEfkniDA{d$Whdk+esw ze&5n77Kw?lY^~~=ZL@0)28LnUkA#r0t^kFyWbt|Cvv7W&DSWbb^A+ZsmX)Y-ma{D0 zugwSek@ZyCvaJ%ZmC^H&B@wrhY?|-S+gQ?SY?~pWn5L2E^yF&{U+kkp?2SjqzQKB@ zI;Vv!)M!EOQ5ds^nI)TbrhkoQH7e|(geG~wppY3t6jfUidIU>jxN}OV({yui!PAPCYR-E+s}|Yi zJ!-qH(9*vXJ`o%Q7*@>w~RM2vfE)>mP!5je8O)JOZooqcV8zNeUnE-BLfFjLw=L`otUNWBT@;5F z66Dbw^j;t3LKVk0EEm38dzE$%sH0FSR2TiQ(m3-l?M2 z&du1SC|5v6-!v=S8QMCpwGLpRX}hpX*z7-%sk9U=Fn6}RBk5R4StQw%m{wShcfflh z0MbCpfs@84!3GV1v?4Ui@bttv1!sL#<8mg+c392@=q1J{P(GR6khv)@l0-^QEA(DE z7{1?Lv8IM?&Pdgo)GuwKxpz?y{-8^C$qmSy7~n(L-r0%C^vO+ zBNU@&SR((=Dt|x}vgPoyOL|Q$!-}{4{0wz)vgwEnp5w7)9-b&Py_@R0=`FHlr0hm- zJR}kCCRIzwd*YY{$qn}w4|pB1=CeK?Na^p){>dRLI zQDa+%W-*7veKbZ+02^Ko4y`t`MN7!9#?Wm2mDR7-B^AVLxRSW7wn-0&PXm-z27{C+ zDmOY7W&##M?6khmMA1_`Y*JQ?U?Wb;+zp^KV5wzU7Ne-YAUB+b_mJ@LESAnyoVNw$ zZC3c}=`m_Y%bGK68!X+I#wiPdIHwn|?vw{nf9Xheqg3(cGK0_ly4>%PJ)>-L<{kTJ zX+zq1=SNLTZhc=ywz9Bg@svtXGw?)D=ja*eW=?zEB8u#nxD9(ziQs7g2ZgZJRHqxw zCM{k%Oy@fiN%k4wGfHrn$f?K5$&I;$r`A!(G7A8Iu~;+RQp@vFdHia+pLQ+ZG+|aS zZ`2^MVx1VH0n0=G(VJe~v5@hUUc@erXqC=%!gMl*D6@8W2#B0cOOY}Vs6u{~ z82S6N=BqAh*-fOig@;zb2)?WDY>5JZ zHAj1$%q|4po3%PfadF3rBZtbv0CPqP9$S_xwiY6?M%)X&`##ACs~7GUd{<-F*_H-d zfLR|ulXcg(i=L$6G7c)bWy4n^xS@Jg-xa?5LCA0`&%aJE@AMqo;hpoD;9FH2*R@!l zieQhJSf9nijK*BoMq35^l&I7@-pe9>Re4nfsJ{lgpZ!^5vNMaegvDKb}S4et_l)r_yGw z(v?=R7yYhHQJ8LP!rT>*JZ@s-_S^CqZ;qOl&$R4jvU!gw@x@E=-cX$g7^Jfu>yQXQ4@v0O4iJF`@WRJ$c*4YEFwGarx<8V9sW(vJg0BD2Qo=yu8LO2_-ENO z$zLR`xV1-|CHifgeT%pdbLD&&ue&*s^;R7y-f3Dd6Zcq^=Z@CmQd3y>>*2Rr?bLEX ziJnR#s(c!otB*Kji|@0VomV)t61AvjBCt4x61wMy<-^=`Oq|;6 zUZAbOD1C&4gSv$4Sv38MyA;XOTXeX@67Th?`Nlsc2UnnL#{uJ|g33+TZq`YKQT4O! zl0YGX=d*Fo30$?J52mDR#_N}tX;2~cU;gs)8q)WJY+8$PpaZYV49kSe`)=*2!WXh{ z-A$bGzPvoB5j9s~`_3Wh?tmyMTza9iBQ>PM=4jxC>v%sY3%doAGB!`Y-D*3DLonzT z%xk~Ru2^Z_w&wa?Z`m5@o7;MugmKBpDi&hwwx)zm<&3fH` zR@TwB?CE%r@Pay}z6PmPDe!Y4{*J!uFwOhk?Z%Ahq$;wHQ*Mml&K%?`x~$P%mo3#- zOcEltc!UW!9g-_&mtS8qaQx)u<4+q(x<m7%-r=Gc8YR z`^5+2GO`CLMq*x?a_hRZ=|6Kqt^1SLT259#rN0c%Hhj%00lB`b!-@BIgF5`3jkDBD zq!i8}Y>VEOC@GN`aitZLa-jJmYbmPFcIT#IK%RRv2PZA6X2^LiP347tMH0A-{cNjD zib)y-p66jo2e{jUj+2$P``hFVgv0yI4ks?KxJXVMjYnPm5mu7iY(Ol#%QmHGz9HBU zkNQfV?w2AYlWq;Y=P*O!ZeBDW?SLld1P?^Mn=vjwGbmchdE1wq9WRbr84Y>P71?dW z@J40f%g+=u_hJ`8QK1-pIJy)wN}uIQpf@ny9?BeWICt40GMgr?Hz)YFH;ucUr)&~m zCpy+|&mw6_vX5{zi4ODHG?3Fx`&A+kIpF4ySRY1nr&6#y!(>zfltFx>9Iud&AT zwXY+0zPW(VTpl5BKEp+Bs}eLOFSJf~a+M{&bOG)`wY8M}9LwVCkK8!!hQl!YebQTJ zjCIiC1pdE319i?6{WYOW$zE-yEdE4mh|OD9F7bf<_@hVZk*I4_uF4j2aup`Gc*jlV zXYEE{1SmosJ&mQMO2Qx+6T1$_(81g=4dhWTMTTKwmKp!fCeGCO{q%S&BUH*sbE+h$ zcajwC`VXdb8#v?}0c~}0#@tq4w=FMj@#rcW+au^Tn0sdrf2wXbs_ywsJYi zmdOh(NZj{lgEXkv`j3kS;t|QK`4=9QwZMQ7wwM2<;?_lR)UjKT!zr)(y{#Ud=PC}D zo^6TrT`aP|CLnAS#M7Z|hG~(RdF2nRSR_M^Od(UV=N_CNN zuQkpH+pS}rlq2d9q0)R?i#nMv`siQnq)h6UxJldFlxxRkzjO?c!5*V;^I25oS)YS( z8=_4sPSa$(01ie4WZtJS*E4LZkTYB(vvIdrsRHXI?Z77fk zdmmR}w?@17hSRl$17hwpbG7XVVz;aDS^SR9ArlN~jx}vdB=HL%lKBY_4K6N(b%@H% zo=D}P>W5@V#8Krkq_s5pmSfS|O)*jnS1RS|-pV+_MMh>xn#Z0mbqs5K^di54*9%K1 zd6^Q7KpY_^Gigrqs{u8sN~?F9NRNG)V;w5U^h#6wz*KM*%)_5F4t&;B={itNw=R4e ztQQ z8e|4a_%WE*^gnWvqm#tJ91N>lfdADU*YTF?&DaT(tKp~#*!{!32iAs;zCODDiOR%?xAhrPF;02TumJz9k{Ie5l#p#hYY*B?hObH@26c>*Ul_iQLFCENJ9k^DFy; z2EmHOAJ)cUB5D45{m2f%`(C#@4KYRG$vCcFSF_9n3f=b=q9x@dug_BQ(@<9s!2Z9@ zC!-QF-_y<#GEM9=sk;F$(Wem(E@fXzX2c&Ddax;#`ed+fG#)QbCH7!N17s4up7$w< zCMDw0lG+L$l@4{ybsL&s}rQ{j=WYNol&R6=Z3407r47vZ}J2ikK!Yw~kOLPHWXCdT&@D zt|No{8bf^Ss{nG_wvXjmb_VPtAXK4@QJt}A^gj^2slIqKLS0Sq3Z2t%dyZNKZ*SmS z2XXoBTVwJQYn{zxLh4HXw3>^a*8?+BcBLjt79|O)Ls_uX3b~*BBcw<|2{_NP8QmDd zrF1%$$t_c|YmwroJLwwAT&_$!yH<`WBwYs7BybiL?RHLTnUMZqM>XCFuxO#1jadWrjbBgEHRcY3l&PXll3O_)Y_Uq+s3R$d(_4 zq34fTZV&A9tNEY+KwJ?qu~$CEC;q^sSV{sA)K(T5P(zqI%)%US<{dbX&Ya$!yxVm) zpJ&fvzR7J30|d+mEC9&iJ6n=j*Ec25tH?4hIXw=u2asM(kBeoM77j1T&;U~NNdBXU z1em=f!~)7WE-Kk~+bYkUFk6_baTc23qecftyAPQmnsGG3oYYvhkFh=gKt19UfLE3? zlyRwV^UhNae^&BzN{VS7FrzW7R{y2{p|+u`Y9NG8UUZ-INsf?^1ziJk=C;?(|9GRJon;OIxXU2 zqUic|FS%VlW4!xULwDXq#azTc(?G)Gu-IIPNQ-k&l&a*ic2@iMt(8$EXv?IHBvZ4s zbiD90nFFdQ!HplG8!tnXbg>4xnv5}u2Acf~=@UhmUhStWGGQw7o@`T1Lwzdi4}>Ek zHC%p)Y41w27$ZvuOGMQ_?B;1$2PxiunwZ_*58V)sbu3v1jy%ygx$9 z-j>J~!bb5BaJsy|#G9FZ#4I30h*f>Np;bvhjtKt2vI8EP^)$C1o=&k>k~*vi7@>H! zg&ZHDB}|0e#2TerUCRmoOj$(hbH7z|#_5fv<jJn051T?h;gT38 zCH2`<;a_aOU8l;Qsp^(^tbnvdk!RDHUiKVrVR6b@9VF$26Y4{!WblJz$459++?Kc< zAhEeN;h!_Qn}LRu=Wo`r!}07vz8-nJNm|C#=T9s9XY_gw1&k>pmtz?13l^vHuNPNF zeY1;y_C!9GZZOy*E|zdOx18@L8M8Z%V8flKT#GdgeVgZX7WpY^8WB!-|KAm-I4&2r zM-xMfe}41?sv2Cnz^C}tIv_UJI#&^z?yxf&JeFd^r+C*&Lukoyi^Q02vu6_6gCMXy zp#&*@ScFxTl7mJs$i4j(`tozk0{ygX;`fJVROi_)4m!}C=9_^ zzacro_F57nytGA$4 zWE_^XGRfqdb(&`(M8AM^Q8|wM+*^$Nmdl- zlTrf_VuQW^YswADrj>T`thV?K8wtcY6RBdu&JUC~U+p1BADIOvY;IFh&^&m7!u-Yo zS^km5v?gMVdj7qqXC=Vq?=|_~w?CKBo>Ba6OX`VFIm{Q^#rH%(&wL6=bq3WXmE-5~I*bl$I(e}hwYfp8()`1e1|ri#ebM}KMzUTdty z@KqiQZI~%(UB$5d-H+!-RG{(b4&5em58IdZ*@4iDjvuZ_nPUZ;UT z)&u!t&V^IdJdkX1MrEH#A$5LVqAY&ZZW@(dhs8?{tG(IJ+tYVd9~>?n6uBb6g3Ic$MMcY%Uo5YW)E1U{OLBl z_~W^cFe=Rcn%mj|@`>+biYEu!A(9jR?qf0(y%PlY{6@3iDwxHsXp|30`WK?7Q%J6* z-++A6D%i`Vs6&1oQi#3lQLz|gBP4($8JV>`5EvnhkiNLNciQ5vk69Ty*O0gE9@iW( zM2|s673`sf)ky{>kVew_3Y?ervn1+d0_I;pJ3?>bc}o(|x(X|1I&7r&&|uM2^$Q{* zhI;d5+DjC^qFpBU;4@BGivjM>r9{0YVqoOo7AjLh35xKZ>;xB^UjNh1Fx7E+2zSWu@R05Klj=S)92 zc(Ld_)PU%T&kpfO34tbNh-pzx6A6&8Q!Z&>^A?={1oL?W+?rOH(A|&CUhB2sdcY$B z91Ic7l3X^{0(b5QId_)zZ5c*{Vq_5|f3s65VziQo5OPR{ zkbS=KjrF;v&RcLb60}2E+XHMDi8cXnUR!Gr>?KA0MKb&IHZYFftv!%avd1#Q5ym$? zA7P;Znf;&y%SJu}+a1~GJX~&+3+A=ox)6{Jlk6Cx0cIO1?B&JNMha{=>_^E+`Fnds zyA2B|kRd!KN94DISfw+jsGr@zLtr|J_wQ+&*49HxK_IGR*% zm-wXhmCwTvV9+$tk6(#D4`hO~(`Zs#n{eNqVzl(q0GWF6bYNX<-bu7t2kQ*mQVQ7# zH;_E!qD=WHO^~-s(loPiB|PdQ|DFoBat}x=!ZLt=f8=?dfA(r`^t`1YxY<9r|B#S5)2Yv#quLb=rB4j*F^JSf$+XJJKX~zdpa6RW zs`Yo1tZe2T!gr_Z4IXP+SPWMNRq_V5m?~LT=#`%mRvpGmRW2~*Uxen#>aT3(2FWFU zt))vR2ry=abe?u%RiBi2x0Ls0A=Sg9wlP&^p1g5?tR`wJx2I}+23s1NQ6M4P0KSrc zoJQ~Gs5G9$&5cE|mFRwmw--L7bIq`RnlFuLBg2~K#kkmKhDHVM%$Kqzujpc6x?u9C zWunet3%p(?Kpuh87EGX?tMO5uL;R!~4GmmJg-OoIoaPON)|X1{l{avO4fFnm>p|51 zn;J|k;5FHfQE9XcW(O;6em=96RE41ikDK!pE+>58+9-L2H%j%xcinvSrP?=R2fW9! zR^qpMm=U9~>pH>*Qz1Lj&Ni45dPbpx)|F9(>$I6u-#xb1$#)0UWt*HYO5hvaE;`lc zO9nc45|e6e1sW=sJdUV%t_JfQT^8mIzca1fe^9Mrh>of--$kfZ)7Def?@3H{f|cPn zI?rS^2faIW6=sHc)v5o)^=1VE`lqcD`+}jB8p^_jU^#A53Wh`l=FdDm2hUee+mGfrY91!06egHcO1wHm*348{fnjQs$8 zIEKmmM~BZfVN!{HI6(FfD1=CJE>erZzI0}c8f0%O=-N5bq$g>`(qRQpaMcTl098ojAJ*cHeCc(=oQ$VA{e-Ec1>@UEou ze63MPShm2j0ph#X0Nc-l>om>Q>h*oa+9|%If|O709)wUx}YQ@Mi@p}AX(U$QCgPgQjole3?D7reRY%()c1f;bi_nLnX)^fIeYmAy3ica#1vPmzLcwE5D;DE{#Sl*-Rx3B5kSI_^X zBwa_j#n{By2$!vja1hI$d5XW4n8lzcUs{@Z5wvu5QR}(uv2>>CRjCo;!7p4or69pl z(&`lO>E)Spb;;G%*Xk~RI)ah$wBQCCef0vyukTQCN6k_d*x%Lr3$Q&W)f}7KtTIQp zE3O*yVB8+l}MCvY&5>1ztWs`ifkb{m*&IXd&!tqpc-x(ym%>Xr@#o< zk_W80ba_*eYah!lOS^O>-CX(rjLA?0zemA|McUHPb0nXyFkV~~n4jH2q(3PP$v2wi z7qx+fWfeBMgdq)!Cfb%)ev%?Ax18yt;~I=lQ}>u=H9Z2)7mjaeg;zf65}#g$6|oA_ zbcq}8sT!Ek6{gyvOEYxEZT0BgP-RQvLib-o;dohoGEbPW-(oJ?kV)SyYwXgLTq@r> zK^oG6{$wuC>YMy_-Hr)1K6evHxS=46F{m2-TsHM`z8}c%YS&`=&U}-J&=;zsH3%4o z2YQo2o7--C#KWD}q2LaiBa#x@^D=nE|y1_iANVqD0Q#jQY?&M?CQsn#ei6N zDL%Q17L^bdX_O->JWTMVi90S2846L} zP2gX0tW-2g7M(iE$5G`PH!?Anw>FEWnWM?rKF53ut1Oihr92CV}`;Y4ouswbrXZNr8P6+9z7GB$Q z(C-|a;Hp{%zYmS+o2%@Z$QS_DfrWs*UsyxN2CQZNtw|9PY_qqT}mrX>D}gXP$iI(h-lXU8CAs=7ZQ)@_(cKW7D!?HVduj`IhlTn3TQ$hI{ zHK*L6PmKg@`t<9Dh7RZS(5h-Ij5yfDx}A#fL4-}6BM`fts6S?T+l%9H&*Q&4AY1Ol zuattAt8?eRO3sVqH&l6eOMz4b6T{@j);bpzI{?{bNRgvHx|VmU^}!U(Z6+C&@CPTg z4Q(zqLm&A$gpN4{=g#8ecB1T9!VAOQ8;j_CkCJj%b^auQFCxJH!jU8swy0=Kxn4YB zE>%T6Za3zcyYNBf!}5jK@^sq$ERxqMnylA4Q(DtWA;C?@u;(UbNZzHiW~uz>xI_AV zU*D5^8IN4ihADWJQ}-`^l?p*cS&q#L?@HDEwUob3^l<=@tT{t|;5VV(ncRMReihoX)7XMzU*qv zmgoG5r)hmg(r%bu-KDO}()iHIXt=K|%YY^C;{w#ZqWQUER4)cr)>u|1W^$&7MqB|l z%AfpC5?~UnX|U(vVE-c$HA%RvWQX{i*N3XVZOtUFX7H{3=W-rMAbG6gWdv(B8-W~B zcPjML#j})dUIYUwryXzF>4myA4;A(^T}Hlwb=+%UH?7YaFo%#HGn}=#S_<7%t?wVv z9Ld_RgANBmf$%%Q^8I1ioYV95eOBq9b#RhuCKV_uA(s+x+7YqhIAlfssCno9L-g2S zqf%o}5tlMIdtbjzYsF?TwuV5E=UhOu+s5Gpp)j)k2`2O=?Q6gfxt?EHQk9+@3iqVjy&t*SuRD)-QCY3Ak8>XSu} z*O$QM=gaa+1q_C{l13~dMn&VNrK93(;RC7YTQ(JECTGwmHNEdz?4^W^Sl=eH>_~^{ zH$dJmeCA&>6$y~Zf=vR90voY=mb}%}>Lfwupe~RP+fqmsf$V7~{TEEaLh5bxbX6Q~k2tMD8+nTe_o0ei+Ntgzsvo9tF1Y3YiA*>VP z3hNhbQbM!)lLo;GBBoh%Z_~6RI#YRoQP*z1jl@cd@4Fk0Sm+FMKmP%2N_Wro*a<_E z@u@)@l|9H00!78JKDZm#Zusa+y6_KfbRG^1{cqx_y(oqi{(7-Wr@~s^ zMf>tg5O;`wL2+Q(1vs>UYbOmjTkW6$PIL6;w8iaggZK2s*|^J^olDx?E)&634n^~2 zCmnjj#JQH+UUj$U`bMw_Fe;~w7RJTOU-n8fbr7Jv(oaXCWD=&Q{n6iH9p^G z$ECkMua)9Mm_47F^P)yGdv$KHJ6rO8Fnz?7S(gde32$F!pNC}Mv*bnF#d~y2)My1i zK`BO62Fh{b>fiU#3pzIyLH8s1S-Qi~PY$k!Z08-tr*SJaoJz8aJ*>{N7khxc@d8X; zs3}@NX){lOR5Cv)I?-MF7=zMP4c{JQ{nO5alcZ(l4QX%VoA2ibJ-C&K2MvgA^pzK# z9Qj3b;!LJdF0z}iRE)-6_~C{zLwEW?z$%5-)GZYL)EN$M#eVI2b{o6%(K_1LRwH4R z@579#h@_EHLRIIUR)$(Aui++;e>W#(srkRSd+WF=yRB_h5f!9SKte#eyW5~kL|VE# zlvYj}xXo?(m}vx^_@q~UofOBNH> zDMYcp#-`C}i=2!-b}#kLpNH*h8!tH-%9on!jzv5VRo(by@pLLW?8Vzf_N5W$*p6)7 z0qcU&5G#aw`LMzFfif%*M-gHV)~D+3sc4)Qd~CjFvOfG)zU8?!*uHdns$m=D^jgxeHkjo9K^n(`h4|{_>P7#YO|HJ`R=Ov{-iaJhe^VE)GgFt zJIskg9GW5=tz#LJW2+&wwMEk{ww#Y}|HgFlkFD1gd&)8S74JTCY5tRG8IX|G&hd}p z?wALk1TX!LB3Xc<%=@+|*(Q8-F7%Lv$8^l=zX(rmYXGX&GzpjZyQ4pE+{|R(xO$n_ z*yd-DRykB>o#{>!3GSbk$i^vik!hM{b@9+f?j$T_ni!IRT7^$hoZnQ`hE_;0xde(o zNW3aS?y$>TM+bUG_V-JEvgl%&{gfycmm>TK*a^I^h)XTu17Q#iOJ|LSr#>+o6kXjooV_Npb^zBnNAmS=+XiB%nU!IZuCHQ=qmTs_+LyA%ae zo~NeSd8PrAW?38Mqb6@hKk4}tt}ZQE3$44F78M`%&DrL?{h89Dvp5_3jh&WBOzT?U zm&F`XYGP}PkSB&`kDKv+b(+8zECq_YZTxwxM_=rl9AWdJ=JK7SeK31Q*=e-@VP{(s z2O(GPMQY33=PZ~=E{Bef6XF1NoVqk`DkE6 z&4&P_j|webLk-7xG+Re~+%s;k+hhQ;%CqE7sH5ESj-7Uo$>69Mi&the!s*8!|rL`kS^&i}YMvl4nPpsfJ$c zH@yr=8x3)OV0t9o`|X}kufhbqR-$F}lu*PWFCR08ctRENkY>Gpr}nhI!G^qX|C}o` z7Bp2To94${4V?@FC-yQNA7W<`xMik|4 zUp|kg-davW`)!lb;S%pL#B)yd^heznX%nNBS)(9*OzM8cf%mB%Ezx?M;7u1Kmq7aM zPYVaiOt-~r1<}!|4h#H#=`c2QwUB3wy#aK@ZS4xGbl+lyeRnAw+(YUJUzsUzC(83| z>V;cA39!hnLJlVOgF-P+HcJFekjmaLd1(*+No1-*BEBN2SL##h=G#$RCTy+Lp(0!` z!Q!HGip%!U*_!fWRoFo@%;|h#DCH#8=TGS5dlKG4E(cxNiS&#Z##5Sn?kOX+Wt{1X zvBA|s4AK)8gKZRRY4bn(Ot2bWmy$nH;N%J78gIz8vOar{JHj50+Hvlm**4=KJ42SV|o$Vv;2+6JkfQh6504`utpJ~0#Q-uxnXm2l{T@bGll_RE zDF4)1UFKBACp>MsG3tpIH-Tn3x{;BlvGxGutiOVswdh6P492V_q#^*4$LB@teTVsz zFhUHFKaT|m+ne@m@*opm6eW?J*0#Ml2E)`m%0!D~N7Q?rx*WgJtHJiXzLd)7-RA1q z<}tgcOzySe2QK*TQ1&_DZoDX+bKA)FS(5f%kPh;pT#zWu4klM$VdOGRsmM}o%Y7BO zJ2O+4-xyGJeCn0?ApiMd%K=N~Jyjzqai(1t7d-nr0=2Q#dcU++>S4!$6VExgS`EHT zlT@v*cZrmn(?%Janx0uvkNRvmR5jbNXH#?6m0FEHU?dP_T*KPJLqY3~Y065|Abd7Q z6}v6hPKGQZ_J1QX*b>Hui9{P>8KIme=g_+_J|r4p1F)f~Pe1h0UIs`oE<}Z{Cgmj3 z%QI25ozA)<)^Gd#-i})Dm)_&^vntIl9#)kk4p|6eJT2hOOH;o!SMQ!byfaGCsWYac z9+jfQKN7e!dUqp5_F3dab=tAygWd*4yGld!_g5KW-m3`cw2>6WL`tU5r7 z&TUAiXrR%{Y`NRplyL-KfB=&W^p)kB%AxDHNHL411vKa*eGCIS68n*eN5UzCCD5aDo0+^ov-R4K}O0LS~sj>Y-MtxuPf< zK3lORvJP}|2o;;^d#vMw^n`*S7_3ASWy#PkK1i1j1NqSc0+0|07Hj}(3HvGy$nY*T z6df-W^Q9V(5~u*xjowf0pWd)8M;X?0hnWOoLepS^MD7&$-0`6%uK9*RxSO&7?iCA5 zA-d^A)?1n2z)jh#%tO)${DCt*)XiT)mI{U=P&a!*;IsD*-;*iHfm4C(4nE{gO{Qpn zj@%H-TydPtpwa7SWO7a79f;-r@!+ecbm1c5Jl$JjAP!vE+4N$9bd%L6rb{glQ&ke z+ZSu$p;B@vqa>w;TXnzUm2cO!ecV=H9Rb&~y!9@W_L>3Km=dMf_{HX1>*en!NSqIj zL|MzV2|J(;Ra>F_G!}WS$4f^DAFe>DC9IPlm6RxGc(sqx)Le9hfT{MbcspaCgM6brfdWF7P z+TNLftnwMEkR0pI&;YuTdd;fz(wnYXB^*j3RS=3>= z*WD!V;j^FC)C)30B^;&_Pa4a=2O?Kr6=3d1s(mt^$S^3t3tS?bP67F88B$0(>yC4D zM?Kq?aiiqGM_QQF9pzXAI<#oKVMQyO4^BF7H8C~*EP1PzETPv?^FRMDo|pNQ7;$E# zRIu=J@p>~v*kf3h{0zC8d!do+L>^=pAtE7r9$5c#cFn(i6VMM{?C(zTYb~Po5fsqL zzxlrO|GV~2EIqd;Nb4y}WKh07K-4#eb-^M3dr5lz(xH(Lr2t8F*afFE{n_L)DrlAY z?Z)Bc3c!K9{$^1?ZWDYjnZx5Q(h4b=U_mSfr3_Cft8EX@x9MO=gT z%oI&9K4-5u7`ze!6T}U>tT6qwIAQmuAJY2bpa#?r^DkN%knK~-8l2jMil@h5zJ;RK zHB=>8q=l&V%5D%|1>@ATF(c_YDzxFWWZgU4C)!jsL%ERM5+#ximPN7|egH8kdO-q&-!Ug7Vbqk1 zjBt)??jPo)kzIx{!Mvt_vwwKQy0+8X^vNX#Tn&w)ArEbEdsd|-4v<}x(;1L2WeKil zhKoQ^IiJ>H`xXHrf5wv#%z{D+a$<-2JadVW{)~9BA6>g$AP)qkvMmjuaHffNeUGo$ zlFcNXLeJ%{hWJ}zD$r3fTK>U*G$0!YH zuJ3R)xlq~Uel(nJdHnu*yG`yVz1VM6@zbtGLp||}h)}O1SDz{cm5q7M_(2w9Nk2|J zUE9S zgF?qpdQ(#+6}}}RMc(>BwAVvHE$OB$gg(5J2r9AiZUuV94tj+KWxDiRH`7?8@Yd-L zAEPB!rSWeu%1Mz*s=r-HX_y&{H|mo&`!uH5h&wZ-nTxqG6f026Xbji}Ue_f>h|(kX z?7-a^%e_fJRN5pmQC@B9)#VOO_xf1FeqHFE$>1pqC4e@N} zcU2OOa0jQ~oa8f_$+V@;?|Jkn+%lw6QDP=@ld=8Gi1tZ@o~2w2-xr0`meIEj zl#-sY-N9*s;wZZ^M8@9Ml*aNBc0NAVI+jH<*f|~*GemWT1Bl7Pf!D>AAUaTrE^gXY6^Vo~S*M z&B;ZKzm~}_xEjtSs$0=q(2dU(?`h;Emy)0ofRgx%{@E6jd(w(1vDcf>wy0pfG=;#y zO0A%+!NZp(LgvQnw6ScKRw3h{vfk0^2}Up=`12tuEP1$XqqX&NS3=Z=F_>YQ+DE=A z$NhD)2d;P0oLDQ_m$6>#Z*}&$FdwpD+vYjro0-SLXJ@kQ3Vrx)iV`2quSqj`)IGXw zvsklRr1z_)pM`7+DN{d8T!p`D~lbu8< z4T+fpaPVDt6UE-{v}>|M!kZCBZrRVE3wtiEeq_WRC^2zZ zyJy5=ZaY?uU(?od|J~cCpaD$}plwoa&oi+825XtcB;-s!M*r=RKNq?+%(R;Dg-$I8 zp`--)su7EoJBC*9bSO+TRTYDz65w>EZq1f&H8KSh%TGXIiZN}&eM5y+Rw;(xs$?wI zm>_u+Q7L@{-z<-U7SB@M(RnQ&{qZYe)Xvq2u@wSQFYj;0l|;=ZU+#9i&huvFTAel( zx->c}e9=W>9aChq^3dq?Pl&8D?gy4sGuvRz;r09#uX)#t^Fj)bIajZ-IhHY_ZLNWI z!nbnh=I7xxMXm<7M`IE#O(Vr?UyY1&WP8WG8Ks6NLvSjF(O%aayb z4N;$rvVoPlJUY7j>-0s{)7_P#pQ2{Pg!PpG%6fXEgzf^-JdaLYdJ7$7P2J$1<9n;EF63}|-b16!zdQ=Io0 zgX<&xu=+;jiEHEc2ggj$vKU_xH(Dl3tDCJRXyE5aNfBBF5Am21ZXc238MD9v7Q|*H zEF46<&K50T^g2scylssEa(05`#7m;eXID1V|b!mE`C;-VekSqJ6huo2!;{49`3&7%=|7w4i)&kFf;MIDbm{U+x{r-b(aa98eq%D z(dY*^#OkR=&NAY0Iqo}bOyK^|otv;3nf>t5X=;sfa&+Q-Bgx=!ywy6Jtw-jejOO-m zvQ-B?ANRAIXSNg_}u-F>B)!_y$=P(O6qhIu;%4$-j-jc zld2m+odV$KV3my-smB={3|OP>q>b{LnAYQX_v_DH2fgKQ4^)yr?7MAjp;+OJYU^RT zvq7NP#(u+DLOlFwLv=U3!6%%X-jdqt8;>510AiRx4_M0yv4p}&TJ7ylrj{rV?)&D#N@BoUcmK^ z$=eW3W>x^KW8Ui7q_A68@3ShTKTi52sug&UrmeyxU#LG=qXo;}sKTxypYF*uk4MZv zjErrGIIQ1~BGNy8QrpLCz`D#H{gX`jFF}l>kbx3HLpG)2qk7y#0!#cNx$iz6%A!ws~^p@+p0bmIRw z==%91>nS1XDRsyxDXKbfnyDAlu#@4axhZ-EIGfQYO_tVGWO=g`T%74NLKc1}v6NpIJ{XnzD5O+LUU*@kXTGbO>O#c@y)l^~ zavg1Ani@~>a};`V{u@IeVzaPHqJ})qa7kUrpvVQNgz1JWXa~=)l5#!L5>}fiHa77x zzWD;L{i&Z6_2dstz|wv8v57p}pmtr;#q3}Uqu2{Wd^g~r8If(jHqUP~wEy<&Gup>F zx#8dtBqf3o*HKfWS6&BXOzfa9X0NcxISa0CHp-FArz&%8ayE22Y0UJ0Yv!Qk- zF%?HFk&)N?nJWN>wE7cS3C?bO!v9P+`G3OYI8Pa1RwFo`u=|rtF_^y?yZK|L5h8Q^ z$(vmBb6-#S^yGn?jBIJjLQlhUm2@r7g2_LM<(-esSMx5MqAZBh*|p7B;#cv(*0Q?QqZ0SP zy}47Bisu$LcX{BW?=|*c#1L_>3Cl!K5s8O#U?-(#yerz;4dHlw0S^wzey?NLul2_f zlY+;`Sx5~Lnd>)VPw}f;!#7PqoxMWhBv3tB{8|R$ZlvrKf~q-|8sJ--$BjP^_12+N zlI_d50{7{Xq2TE5JTA+iDa7N7`(B;>KF++=N{>zvooW*z)_6kyPJWj1&pR5k48 znu}?O$GR@}+D8|iz%Gu7^7iz-H-1S{pIpF`b4P3%y#}ijYV4R`&+ku@u;+0-0}Kz+ zsu!?PXM`8swp4$i)EC=x8CuN?BR$RY^fcF(lJS1HG|5FNwH^H0ruiUFq z3byJeEHb?{y05r-Ckgf<#p`!d!<#?!k3Ki7PYDV{v{rURE^z9OkkQyb)4h z6$$CZcl?VacPWNXxz81*NHC0>PCGZB?N1Bg)Db} zC8wEuaWsum4$&&IeR;(`@sik01z@T8>%&D3qRa5b`m$~xm-Up(@zYs-{mc1tia+0o zyz>dSy%#mS)tF!ur{it;d*yuqV;P7k#eTt>iaJuRJ@LGSkpIiN=DMGNc2wR<)b)f~ zrOO508rW05F#{{`ns=#`+?(m0%yKfVRpP`1Yg6A>uhSNTGtN0^ixFrw%<#;^+_jcp zZjv1A|90IMavgXS()l7FKI>2ZLsEmYL7rwsRTH3q12oUCec{GI$MHNZvOHQlYyP3u zzw!w!)y(10A@cihs{uoj72bO3te6f@W0S*Lvx)Z$Ez1=i)W?cIX9901QPj6A^MLm> zs^kr}?{BFshDsd&1+NFUYy_qZNpIlDlu23lX?|0ZBg97=BNrkK>Ul~?0(S64Xa^5O zD9jd?MdoeSoqH7@$uMw|#7~+=4q}E#@eCxC0FLYSgJ401{_r4yM3qzS>rWJ&>3-pK zzMiDQ&JLS3!o2h4zK0%a=g+7yS?<2Xv^>VKuL@iPa$%09_zoP+Lff9EUek{+e;75Z zcn6VQaa79p$n<-;i|v5!u*@>a8GCs%T08m(q5QlCz0DnB zFP8WE%X}o!p!-nRd`M(hRlC;-ap%pR06qb8;3cz6#Q>2Kj~|K(VY+g#4?B3RKK$m4 zS1NYcy459Zk}3gvl8%jD_npzOE9yZ>^a6~Xk2Z#-dAh) zMaL3PYWLLGk+HXE4=d3~OmC-oe;;2TNlqZpfdN$5R;KuVt%l7i!IRoA4-u&hF`68t2Vu4tvm8T@H!$voc|0Wz zNqkCZmBG)m76w!)=n4SA;_YB$Q9mwXm7tL`izVWPa%9GLqGkn?c&hw>RaQmn&o!th zyV2}Zb^e>(V&bNSP6N$22`aAG!uOBSjQcB(cDISFZN*?|HZ;XN>sS z8un*|12LFbrtpbH%9y|m-K!HkT{LDKyf=P+@bvp07gVY>(B&T0Bz_T2fp}%Um%YCh zc(8ENku84kEM zUh+5JEKbgZkb6yrD_X}pJFYHJaa;5e_Bp;ncNK>5tP*wKY9ZI?AF80~rCqG?HIS!{d9++2tI;~!^ z)fqa>sXeE)N^K6kQe?kR&(c5Vt*=|>QttR+S3q($*`}tquBFa7PeI@=T=$;e+O?vt zqTgNA|At5c4n=oBGvh>G|dNNO@@3 z?qM;Ik9@i)qGfa}09IvPSNxBkPw~sU6g?4+Q*h<%w<>b%Rd#S1a}zL*ki49zc5r(n zlXJc8Lwnr-=2uf4*3n*Sj1fOz(Y-LC5DRa-0u4L!xij6yg?+k&)GP(t;8e$=M)MoD z`VWH32127|Jgqbeb!~Tp4kO~6zsVv?ma=3d!5z$#7_Wl$6w6B$8(KR6@M3LNuK=j0p)<-$yyJ zdp5u=16^U_fzObVZhcmbGkhSOowhs?TNciP!0&TMYX=tAt0cKCQrE;113JE4b`}ST z@;Aw%0d@u8xOSjjLDuFEKc_5Dighh0pn#>ghC&Ze@@*PT^gF)!R3&WR<91t%YTC@V z_~vFbyZkQdSn1K6Rl8W`h_xD+2hS&}IPqtFH?)nv)3rWCtvxNV9?`5#)5s%7*4Ms; z>$&^9p|}Did9R-<*;fGG8tjQIIp~PQLjaB&|HDl^B}3$R47aJ!&GiKbQK-LMU-{t;r8`h{ORC zCBJ&6*!4XQ{+`ukLoh395D1u)nLZ zft0wKop2CBv2Leq;}Sqn<@fdz>+dAp6H*3Shot zQMKV;qH&D&L^U85Xvi37aIR@nf-`Z*}QX5K7;}5r`3i;PNnjAO*`9g2IM$<_F zga|Oa+jvkBxF7?EAM{X(A4Z_)8=UTle)WBa9u$DK!6c-W*57Kj*+x?V8h~h%;Ciwt=BEXRVNgB2>D_U);M&nL4bjCB=Oe?hJVDig zgx%TjDF)zdA#cNx{G=>URDYN9wGy*^8N~9q=If1QiyXFnz8X-Lq`~=pu#FTdJ|u-E z3f=@+S0w6Q!Ig2*cuE#Wi&sB3kVSo~Q`ErSM1Y)!CHW@t>E${?t}8ql=INjU8Aq=PJ(zK zKfTw8KU^tPWP|tY^I?DFryplvU`Z*0+-#w5E+3%dph{7diVcs~m3jhc7!|1E8aLvGk{OQI&wmbd}5CGPl+T@+u&jO`-rLq6sBa<%ueW8LOz zZXW!!EKoL^3Q$W3-Fg?5HBf0dvXt&&m}&XNR8)l#|Cra?OGDz`p{t?6;&k^W`lt~O2JDoY;nad_wctEZ$U ze;$@xLpj0wQWkUMH3`BK(orF&0!9q^0p!%7Zwglw*`LhPy=}ro8|UX|?@w0h@KcbY zf!2%F>sv+||9rjw8a2|_w%!A9`dOJFI<5t7f9Pl8GyZseJ0!~g&lZu5H&LOz2K)|9 zyls|8KTuGG?Ctcj1~lF@iCd%8!Bxfv1B2nSf>qU5on?ZBkl^adQ+=j*MD)j=t+5zM zb-3!^-(B_12bUCux~5Tbp!oJCB*a)ImgjtZX-<9B&30gIw!)oHAA0M~*7?N5h#gP`*KB=K7Pw(S({_Y6|6AwYG`&D8nDhV14O5-K-hI>Lh}x?)Y*18= zhjc43mKXcIl@CnQw!}8Houz?PPs9g=445LqnnIR4|9y>pbD>+s4jxd6qisC;ktm6^ zBt&}UIuT&gw3$+&TZ1B;YsQQH*NF6Su=N|F?xX8_u_-qaR=$Y7Vj=yP@n$^%<9&Vj zR)Nxi$5(s!&#s34PVwd9+(j~@`@PT@g3$1RdV#Bl>^+v;PMo@0>-V`UtG3?)nz+z_ z?0a;ni2C*aKK5&($o%;Nk5kLDW;MPGyRz`A?Tr7vJ+-Od^ z-Wsxf=}=NcLcj5e(&*mK5PXwl!|bP1BdIa}c?fA7Tu(Sf3gr`x6s-ZM8oV+RK{%^_ zzKRh{c?HunJ>w}ZM08+P^RQqg%4(+1Yazzx)W@U3qO)SWA#p5cr4asT zdtzi#POzZfrEcd2#bu|@>hKJ>?W)2llc<6TF8gkUIi<1U?zHC3OK-+zXTR-~j!F^w zxD^vQ&Mi@~V+)xFMW6IF_GiymmK#rAyVsQcsuilyewCG0^-XO|XDxWUrlQoo{&c`u zvt}sd;nN^a%>nD>Ati}Q^mKd<(uX~@K)h$agRF({+Xw?Eg8p?tnaXo25OaF}4q8L> zl#QaJFBDaU54J;w^ofZ7ioM`e^Fq-6U=!uQdE_ZuiQD;<@gMoD1rr#QG%Kg(kW(p+ zCOu(-rTj_qx!kKt`Ex>XHn*s~0S+PFmDcZuz1p`%ie(w|REeh4_w7UrnY@^HV8#mJ z>&z5IEnmSURmJh02FEP3IQj6YM{&cu(^*1vyt(*RYEsj~1GVmUV(DX!of4d*1PBS$ z=!sl!DT=uW%8?w3qajT>JL@0Jc^Ah&?9Zem*&~#NuSc-<9DbC^Oea~V?pxGEx#*60 z58=HV<2Lv8e~8z?oWV<`x9>j=hRAI%;LT-E2>`j4bCSH@s~bVbI^>S%D+}_0{Jyp_ z7uc&lh~XRbCD_je;7vP{2yLs?{FNyD*+8+AQhlEjAGWcQ#IYk{g!Wd=z>wbc46Q%nj*zI=u2oxe|u zv4uNsA6p^X&ebUT8~RpEcZn4wwozOj{y2Ip9teVBgkW?by1W7?`=r87!bknZ0m3=< zuU3Y?oU>Po@{E?_wVWI%&oM;qIZc;+vvqAsF_Es`#p5NtGSQ}&hU#U3?S!}5qTl&e zjO_(I=7^i2Y_U!Vx*KY2CXKQ8OX!IB7I*ziTjOqyJ*%x7HXJ}wwT`cqWxI3szDdi6 zQbUPf4BDwF^D{3qWYpPwY^WqvT)1<$)>L(M)v`}KPWBgbUx|sI59?<4R^=t1h$xFU z?j7zW+d-YohVD;{+{duiD0&!_4NrRKzG~&)6q}Y@T)9AiXuDj|**

K597i!VxU>%qCYW7e zx0^T(uUKiG=0FfHkliq$?sC7w+vBjF=o7LW>iG0XZU3G}B}tg)Ju8uAk*ON?c@YIk z_K)3O6~^BTd+WKk9p{bAWBpruQD5fRd#)|@-bGR|uYv&C8;KpNl5t|f;uI_{lE3Ul z;>Q=%>A?4ab1eznXNi##izH=kdE@Sb4@&-Mq6h;K1b5op714_*^R1d~M9N8EiqBG< z`9<34@e+UI!8pB`dtV(}UAdzQJE$d&^7!;z>3PC>@e1qq(z=-QE5YM=KiY^1cwn7& z86qu)*ry4u=X&GETDo*y^Wy`Dmfx8;f5zM{?zc_!5{R~3V}i*_EH%8};~+o?a2<_& zL=P0)>#cA55R_zyPa+KV_bP6oTYtwbRFsyWCL?o>EN{Mci+`L@z{}JSKH6e^%h3(h z0pUXKylqiJcJ`p8>{-4x4+Ue5g_Dh z=yM(YNjp6cvWwG*=8{Jh^{Pc#y19*xE2mhhUnU+}HJeu^u=>I=#wEENq8);vO6 zy1I<$DRt-_TwD$pc9(Y-yH4~x4QY#3L{9?e+?KtzcU+fiPIt+D&ORI=F8xw2_RU{T zjT|O>pRCr+?kHuWOClD?5k-}1`8vmv%nG%_`UnK&pJPW{oZyK;;t-q?C9Eg>Am$Tk)t>MGB^4AFhp6Rfzpv_;1tVVp%#Xd zdX;^E_7}1gAa(JJySPBJF+ic0E-=bca0Jztb5W$~YyJ36OYwzksO!{k9%Gp?4-HcwbYphv_x``Oa|aWY&L~5byF#Hh99{o>xq1i6quvcZ;{EAVI{rRp zmg#-VmnkZT^MkR#?OxJ-!vwq-|K$<*3M!EvzW)sQ*c*f9UktTSzXV{ZUpsqktzAE>{j9-%=I+fq3StV%8{+UuVy@R$2j8pKPy=+&9tdnDQSrHaEY z9`*2f?<))V%^2~w&SbpeYl>6Sl#Q@%pms3pfTr7cF$ekvFVazcY66w0))Ni;uOxD z!%R@j6s%{dHc2)xZ-thEVv=>)Zb--e5~GWB|0Ti5Bea|88F-ENrPTH>2C3J0DIM}^=h4f4v1?ZG9Y3OPz))^kB1y^gOznmz~ z1H78GqdL!*F~`wI{e?Jpje^{3Lwkai3pYa#M`oB-_&jE;?F95UXGWASom9lJpwa%R zYX$k^Z^OkRKY|h@Zy23g+8?xQG@gu9=MdF>;6tT*LWwF!Q7=fGQik{U`u#JTL_!HP z*sjwX&VNU*ew&{eCp0KPk!K}-5yR`JGX-l{p1ipvr$NYB5 zU#X$}WA>u9l{=C$vO2M0FF4K#oFjSy7m`vmQtoIex)<8l=(8RsJo$w!rZLnh#qO&v#^m%#d{n15m8xN@4 z04u4){XFcX05-OdvQxv_vJctGPR0O^#_A3q+61SVu?Cz8sOIqBP3am67JvuGJ`Zsa zgF09RHBmbI?y@x3nWU7n-R3R+Q?3S4JMnwLpQOlcO{Z5$|Io>nBg4Z+NQ2|dJRKdd zR8~;yDBAGeUHhXM|9=?yI90#Kz%Nl^9q(@>Xfmjk>QuNJ;Z0>}Rl8k5bLX3)y@?70 zccf&gKcX|i(7*VR(|tq>oTV;Gi@A4Tq&yJNgo*~XyJ_!eq6p9SW>k?b!v8)1Tn~Nn2Xh(ND7>JP*M#ddFCAeF@(pp`W?Qs|C7I#og6BB+G7;{ z4?h3-I#^i}2YkB62G@Lz33)ZwEl?kSWw87n4iZfkTMihs$eDAO>{FBYw2SlU zq!K7aW>M%Gy z71$?dRNtSc0usUs0Zl>}v_xTKe;u^{-A>5@BGY@Kmv23R*6NWProAh)~;EIK5Cv?d_bd`6BS3{iC$%W~>FJFJ#`zcbQ-}%#+f|)N98KZ!#ynDWWMd z$h_Mh^_`&LHA?NL>bFr!5*qj#C4=ZIa`Si8g|6$$zWgdj|C#wiewLOk$l@fC>B+ua z4Tk>sT4yDi|67$o3u_|PGCpXPJZMPQ8vqFMzi5hCa~1V9t>eEw|JE-!Jb6p3T+R>t zxEz(EfE8){lanox1&Ux+&&fMlYm5IkyNrBJ=GW@9wCuXRf`w6Lk=U)C-F?ClP0 z%9OX1v={R*&&C}m@;xK@K#sQY0okIcVjqtmIt_3yZz|xPm!rd8=RYlA$!E?(`z{<1 zCU*^$%=hdz3(~&&g|0h!tdoEDhW0Q);Ln10VU!$^65b(CaZnGRLLsF!Im{smK#>@-)4?rvoHxH)pET$00lsjo(_%><*~;i|um(!zfoo`hv{>n;+T zF_8Tn+ZvQ~?W#y#9qrzbTWNXJ1H-Fge3l^r0#OtQ5qzVCY_OX|#Jw3Bd*hC?WT+2= zqS!sNy00RYd&v{u41Ym$NJ1NCzFVI?P-uu$g_yu^=XRgv))BCQs`Mq&QKREL)6=Bw z?1!Ub0r092iCm=*c2&N%$QTHaA*^cLyVCgW#RPlF;qn|;S{WY|DEk)N`@$8C1d+#! z`?AQ-?X>qHp2am3X}Xf`1rX{Lk`^IDSwTkE74FZ|>_`_Y284vhFL6B#z6*tK7W#7r zVz00TH56GcW$gSXf>+WAQs^`tB7!_tJZ8w#s6Wh#?+mPv zom8+*+1#Lq+7s4P+dmVJoTe7XjivGZQ+O@S>l2%qDtIy`df1ft%Iiu1f-58g7uaPp z^F#jl*+4?-(8O*A`dNGEnZ?EFHzEYX#FzCB(<1tCM>i+rk}27Z+h9RKP&5oA1Z;>) zcRKF2&k@I-MNd#d9qzbGS*1MNVgo6YKfLaAikZ|K4R9auC1zN}92cqo8%~t5m#=yb z#`GK6)9nzOA3c1|(>u1O>>JmQ`+U4Lzg6fer#S}N+4T2wJce8(0B_ICLJHb(Re*?z zh765?v{K#NgcJ$)yPx&k{aHju2anPAs-@mNWnpHz`^Zx>rP5nHj()V-WzPR@#DVS5 zQDBd{$)jJS1hm=lG!l09gSI!*o8>DUl(z0fEQHLHJyI7*crD~O7(oUv*NQ%VFyczG zF=py)>NVh2Q=Qbb>|h1l&lbj0FC!gKv9uF%V)H1m>sxL{IP(+bX939>c&J6;iA?09 zraLwSi{7SRdr!U`l()z}HlH2ewmClA|MCc&G-S^g^a4Dz#Oo7}xJV<&BHl1B>o#~E zchINQuVy72mKEi9dTlhGEO%_%doKm?BYui>Z%-D{4^%f_02J>yDxZkxVSlpM;r#qs zR5{1b(bnS;iw&tgD-Pz-m;N0QQ8Ts6H%2$PH#S6Ol`b<9^6Ny~f>Lxpyf0wg(s|W( zfu%k0_4g{_VW*iL?%HjhY51Y^Q>Af>lO&Y^((RvE1v6iJhg|O3h-{0H-WWsumT)7r zR7|>y%J&a%Nx9b!jiYcJhZsSXWE|-yh8aWCWXg}cj>2f3)Zk~zY(XI(ral;Mafp#t z8JkX1R2hfZx0yc?4u5x%5#BCflPn>#nmTY6y;R}5K(L16`s7(+o`9i>)=M6B!kb0h zqy8>z*xB;|cv@va(|J!zVpwTjeSG;vAh9<9-9M6?1k2uxFl%h+?R&~(8oMK`V(a;G z$5XWbgT1#7t7=;p#uZUSNs$r>6+!9lQUnwPL|Qr*NOyyXf)WBET}pQ?=@bN{OS+_+ zB`g{id}A%PZqGh@-+T7`o%6fT^L_la<}=rvbG+jnZ;iJG6k8)FAyBq{MW1*ry(IR_ zfeyp@6%|sxkcLOn2E5#Gb7*~{5w}E5so;U;(0R|&Xg8z&?WtlWw)$6EY%+(l*_)Gc(#x&Gi@vcrpGltv z?fND<*DmoHV@27jMyfx2AGxp?+tM3Grd3$~!K?MDd<@U^t9zr5z&a=fa)t> z#*)RUe-K1?{slMs-9)N{7QEAx2YQ-lI^K@Tq3z`Q=J(BYRIYPOMv9g@7O|<3sAz5M z6u62NU(9F!LdWrTa3tu(W9#|3R(`iY7c9T-2sF>2Zc)7pH28CkPb<}#4076! zi5$Mg?ID`Og82E(LJ4UxV?zgP)2ZwOoFQwbB$@(C{9Y+lpK;iPlS(!^2Yo}ZRO#I^Nmu2OWGM|^rYU%r`8i zWWr9BR^IOS?sqg=?mDRA74%_I#n8krpQG!)v@ zUb_;~KdG#EnBQ+H)?v{yb5{9nWkCs$3B{8{ZoTV+kQ*X`wvbNpZqx4XY9Nt_4PBsD z|E(@0;Wh;k1`)qs-9i>VNaVXhZZmgxz^4I+W-DN2NjB_}z8WXto$>S}VO}h88bs5C zmA+1BNwC`6ZAUjCSR5jICd zQRGMm+`uE>K{$fs z@d6_)kLz%sJ6EsdXALZ%yCIrl))Y47n_e{OM9_j|-q+8mmL>I8;8+_d(V)z{MJQcy zVZ|Qvh4olL^Ve0OA!r3mF$I>yBbqNCPcVatxqMu~xw9DW{{6M=xbuE&^ zlHV&kPq75Weyf<0F);2x2PRSX1dxg2RaKRn92KOitQ#fXMrs0|i#G@N!d}fWv=d!4 z;(qXKWGrb|Nt{@#w7z}RUNuG%hUG`(vjeS{cY=&fdC$cQpkMAsKiLLUsPEm8O( zK0r&-E&Dj>o?A9^*XjtwY$4G*yk>Gx>p$!=c*k*t7FvI?eno|7uZ}tg|KeT#TN<(> zIL~!&JX8}0t=T`d z7gDCF*`@aG#Yo4zb?9U1Cr^u%{nyi-{T`ihUDzhgU4;a=jM$d8+Fi++uSd7G1b)fU z%pr^!^WdU*w>NE+h#ZhSw~>Pp{qXVfLyr2oNf7q2;)zuQ0ve-ep2tlW&8oF=WzBGR za71rh;SNSyu$n-q!>pjWBZ$^qy+c%_s!k8|Yi0T>>nhfYWl>2Y z6+FO~CX)6l4Z9_eG}`o*_`#Lx7gJj#@H)Rri`o)l^F}|KkQa3-Q^RXmR{YA|am}W$ zX|B=)xPF+7ae4Q07v5lARG(NIW0h**r}hd%$WAqKYW+%aL+%~f`QZIP;#G@WR z_RIV}?f!=^(8Y;1fumFZIg;}hsy^)+^WzZJ7 zU+Z#bZNj@c#rd0!>EZmg6i8|s7aaIUp)qpznZvoFQ*2gzm^;&!{;%EXwpAjjjo#UL zIWE0$d(I*{_R41(BHGbpF!oG4(BiWa;n{p4;_^7EFC9eTx9o|++i8+1jBl4TzPAdv7k0Iwxs$1#pxs;CEraF3*m?F6 zad)l_tWd>s4e|?q-g5PkaOfSPD|ZOE7a@8#S7y(b5Nqw2weO^0Z!u@Gs@-aTw0sqM zK`&5N`=K0j`h4ZlCt4x+V253DMZlay+`-O1g{!WbSjWoY!D7MNnY;9^&!-;INOGp7 zCiD|7hXreJ)X;OaxO!o!GX{q)j`X?VcT{H(oyxgfO^`gz?>t#0T+qELpV(%PL} zntQ^7)~=cz3|Sm&Ibi2#S!i}-k^GvS=i8P*hrZ{pf*Y)X(Ky=tMk?H>!^Ixj-7!T` zKjEvHFWrxBU__m=cWBAQ!SLld_NOnM1l+Z%{gw!|V!S}?mB}<+2+1Uw<`hMUJ5Dq$ zP>Ovo>y^#(ZG0HF+T@p+?Y+h5A%3aINSJgSpnQp-mu5u$z8!l-+}(n+sk(P~)J7C| zmaeFs&3D9bV~eRX1Y7pDaypN-S-~6J6STI0I&rSAD`;QA#jnfY#0tS4(5#_tM{Lab zsHI+LISXKe?jm73*R+`UHr+mtG5*>rY1vChhLt8f%iQSi{E^a}`N37;)#k2N-nywm zw2~Lm+uPgY)DP9GT%FPct;Aqm!UqlfFqgn;{*KY`?VTyv3K)X&U?Wv{YAB}&89Er> zeB;0;eQPmvwM#AAqljs#v`q6umSS;_ve%?AUln=(Cy>T6r<}v&!NjEWvYxyzS7pw= zDDP%b(l9(D#=$C|P21Rp33Rdi#Q#ZN$QIt%tF&9(%@gmE2Qq8g@5u`=;4s(|`Sg^& zJk?cowsS#t-w5V1GFZhj@t(A(YNP1Fh_x?Gk>pod6>x+lg&u=ddC9geM4-7?OjRg| zq6chaQby(3b9UY`*!LMO@b+`d=2s7p(&WT2#x?W9DY%_}6*ge@Ny1ls)z0s+Kt|*( zh{MxxCrm@YH)cm?wfx-z_t}JWe}y?p5th9qMQADC9FPI)rUvFE0fK zEcfiVZc29?D3V z^RP!MXI4a`LBdeCE+qeowe z0-=y+0kp%rupxJdpL9YcmB`2FK(FtYZgJVOdrU9o7o@R46ELM-X!ykG))lmpW|@{+ zT;vZ_Jm*_P7};YSb*gmqqs!9}>zuZ7fqw1|9KS8;nLd07l)>+TlKmAfvL(1Z zpeP85nlPFE2UZ2jbKOQj?2lH|9r)$Lth8onnRlyZE`j>X*QTAOO#@Xo?;cUP`NokIW)u<%-LT=D$#5mnq|A|1DH|5weIqO^p%WpTpTF~t&Y5DhVT(_K(Ls}{ zJ2t+}=BkR-@R@He8x0uGQ?JZEz!9ys0D%j{1Pf{0)pMi)8t1>a*D!sR5inemdVd%- z#5ZD=o0cv+%uVN`7$Xq=5;L~Sk;~Scvz0!Oir!pWwOCd@hQ(CCoVt0s^EN!Lb3vwF zzAFw5_|RH3A8KYd=@hVgC^-?*!(TKl>C$Fid<$*T8K+CpcN6zM%*K1(4Qmlpa<08S zjwknd((zEA3nx_+STgUK_chyf=rtd?ViJS8&8C=%PVO!K=x8X9PJxki8m?Rssj20p z{)g#2HZ}9dV#}#31k>_24KL$v^Q&25@-Y%vej;;c9Oe}qen)IN-ooB_pXLFGXNwb{ zH-y9AnjO~Q9)7C}6j=0gs0Qc;Ntn>;%00tMEkq$MuQZz^?=qv~CYDmh9VzMjuy+p^ zGi4Bk$%rZaj?Hw1%KQeJjnm6PsjL#NhOhy7UUUm}Wbrcf0AG(DA{Mk83Y6i+r{eC3rRD~_q=G*vzqrO%hv|PFM<<&>FbpU?pM9 z3r(A`6!^qE5*Rkr^SSAx56Q1?^<^a}_Q8xzx$HJ!&z7k%b{(`ngmW zu-Lc_?WqbsNO*u#cQ;3lmrx%-gT;1*fC`9Xgq*Oa#*@Lj>yIUIZ0NnHgbBA_6*!(Z zlSel%TS%!4haa5N+Aq^`TyN@CUf7M^teq0Y6<)UyK3XK_#ma)+T2B^F!&k~MYc@Ri zZnne_aNA8KRXCs3^)W~_dmA@-=j;(W$F*@9>}pOrX97!BwICoBp1r^XwT7oWQ-BJO zwkB>s26}?P_05N`kp9+>;9Nybsj=F=+n0FMc{{rHOgvV`%VxrcN494K5gR=p!nQYa zJw`*}V|#tYKioV4VScH5tMv0k_5P=elW%XnPd0=q*t_4{FKLC-6E6&TNxD9{ zlUuIbwz4KLR7rVYYJE`+q!Ig%Jq=l7^r!c+;Guo}nv|U8WrgKDeiprR=BbCC+D;l8SM3Hy0Lb*$sN8n zT%FZmC^=AF5m1BNZgnm$AUsPB+fcn)6&9MAG0LR3UoKP(}VCn>&lOYF|N&_>OzDAzR%oXkN+5>|bVU?_RIieV4I~ka^@&Zva_; z-{hfEY1GH`4tnSC_K`N7wMoCii^W(>7d@;VJhhn&NX$v-57l`)mzFT+y}Rj^R8l6- z*hd^bo+rk4K9d&P*pgv!&69aNd6pS5mR&O9AkbSeOdhr|C=UWAu=$9d)jBe?53H%9 z$YnW&)9*EsfDz@U-f)j0O6;VFpWajFszp(js#cjhyg7J@n{x}OtU-=pk4!31wnjD} z28V1=>Xq)^4pY?6lzq7|^9h>q^-Gf?V~-n?@x}NuWArEchPH$i8-p;@#DuxS`p>xN zG!LlUxoe7FZ$03Pk_PbzCU z{mR4YzBd2h{A-6~^GbHHUaB#D0cBGImxdatJDsT9wz#tBoL8w1Q+rrf^cc@y^%S`@ z)--{SrHzT40v-qgQ%BPJxM;n>M8$``okxGGA9t1F)2T2pBOn1PkvWwPNe@vyC_T`- z%j6s?stF^ENj%%nEI{L5`i`+grIFnXsvr!y%2jr|71?5y#Re5;f#CS8AhWNG-if?z z4XG37emRIllOc%RkF}n8hWxJxGs!M-b)cwMz9^eym8*2Qu7V z*06yNkr+V~os=od9a+n};;UX|l5yEyajB->D+p}mb z9942%Hs#lgWj_@z1FDZWj{;a$-v!$|5eY9)mbrJrb=O7EfU8G$uI6(dmlpeBw*jT*_5;Kp(6F$7;F1+=cEo$9DXBE1M7h2SEq7~#t(BGAeOa_~rZ5+6$Hzc`AQm6({UL%b@8 zlRpntMI-4WLM>f!q2F!QVI*PvTZ8(>qiOu+$b5k!6wBS`>T~6=!{-~ph9 zr%VLbv+wv;z$|?T1#5H0X8-LiQSDo&Lv}w!$%(^5IUh>7U=<9d&11WHzV`v)zg9{jrzFjKs=T zke5z!4`(x71^ahsI8)>9ieg+UFCzL$Sh3!{Raik2a9SF-3R;%;Krmleaq zgmm7gJ=)gg%>g4q)Z<`e(IQKZY&oUrtu}>pnhWfSUsf_&zO0D8jqP&f)W0avgMx}Z zBx^(})HQh)(6*J7x$u($y$^!NBH=hy0tMD}02T)9yUH2umnk4fMAw5dIGq_YqC6C0kw|D@C+lCC-V&u|OWa+=QzI8ly`!YC z@%5uN&r!&*BJFxy>pXP>x&%!SQs3T){$%Ybf+YHjScjpwVQMBdlH0H3lq%S@cbXrS zGEFYZefZdExtRt5b;1PNV&=5P+%iBWQsbsSN$gL^*p=ud1@pBKfLe{pmnnH)&sH&^ZvEE&g#p}0WSq&G$x2Ke8F=G;+p zv>j2FZT}x<--ZRq&Gw_BF^85};r?YbB;|vp1;G#8;12)UOi@$2jTmv&;9;^3Q}yDI zVF}vQT6A%^;-~H&2hv|rkA$dntCzWCHC?&BI>(TBPUwFfp4YH0mnI3#)eH6y3fqC@ zaJ%2KTC6Qd-O1ffZm`6;iUPV^T4G(a`k|2j*me=Raib9*B{5Zj#H1`9J@dvp6sP|d z{TL~Wt3jGNvDIeUqbEj&fKF2rvn3OEpJtAx;*kKtJSJE7SFVN}TI9N!iE|vyz9VCf zhErv+BSL(xGrSl^tXUH%a@KFojGylmczw-}eY|I4$k~ZbDQnKR)K|o7!GLp0(P22ar zW_OWIQOTC#3#pq>1E|F9*Sh5tHmJ=N<&Y8>mu*M6sw`SQ?nS5!(7%76ZF@l5DGA+1Rdhqn zi!#5;gWAEQp9rcC}#gF|Ggzb;J=tTyTGg12q0JnR%G=%|HmTl{Q6q%aY z=Y=9T;H!{c@x*~Oz1?jCDlWE!3wxA)e>qnnpIZ(z(3Zkv3g*@-lZoDU`C9W&Y)y)f9c zLr}I+Ca{ghImSEjvuq*%q^Vnhrv9p+0PJ-!HQ7<)cZ8*}BV#;ZCwRrZ@W zq+D~EiVRq?x89$hR*0wpT&&`}$K;ijHlJ?%$?_0s4#o_+uz}*aHqQPL`+X3>0L47z z@SJi)_-_%G7UkSA$0=!5-wn>vA#&%+__E#Q`q};!1Dl=?C_6Tvi|?^qm!G!3u|2S@ z5HY~G2pn9#(^xCnX!4(=>=(XcxCh^GtER>r_9jOm9{V;`F>@3eksYJCb9>cm0Tv~7 z3}SCUM=tfsMJeY^XYC?ta!eE}(iW`vC~Oq5zrBpwHxB^nFjh{*`y`qAAEgceF#ND# z+_AB;dXnB*`Dj5Ho3PU1u(|vI!{V?h{^0d~SS5qlp6{60rrP(gmkE%mGL2j0Yx&Wn zT@&O;3zkAa-m>LeFxCJSYJ-m4G;n7X+n%ByCHqgxKmXkM%IO=-9usZCtLBX^dkd*< zaJ=?og;{-sOx$&z9n4-kWpC9zMo zl5cLH?C>|#PeOd-8jLeDkWw7u$^m_~okZ%T8cAA<|0KEW_>S4hRyHH5W|S=upM`fi z+z{=8Wr2fOE69Y8@bUezc+iC+$8Gas0fQ=2Q*5l(g84|M@&=OzAALs<<2{K;loj2w zD9KD%c_HRnDoUZ_fHm+jT}^wUvQQFGFd2KgPT9NJ4qrL&Lh?&PL$GOjN=Fwko0*WE zx{5RbWy~#NPL(3?O52R8_&zI1bRhY*a;>$&j=$8+)|&32Hjw!Yf^Omeuy<--7L1&$ zoP47E6<#fDeOSN`D;*y7Bfj8NHPP%8D6}`AHs+KWGP6C;_;z+tNKm=D#8T_cocqD{ zR61d$(Ye*H!xMpzkY)*nteBVuOTqdxqzY0dJD}GZ^Y+wKS&;e98Az~g{i>rO6N~Dp z9C~Gnz3y;8>4yvPhijBa`Sjm4+&f&xcN*ZZoj0(wnMQVp#L@bKpyDjulIF49!NI3B zMJ^i^S@ahlf6cUFij_jj_6KS!i3!T}iSf%wWkd=@WPh=}{>?1gZ~w#tFko}aJ0*jy zvn`oK7uhd1C;H#BIgjF6k(NsQerbI5N)i8R34FDD)xA+|d~Yj$=XJb*o8jl@?kM73 zrTi3GcL}v;P(*rJ7~bGR>m+?3f23?^we#1IP2cL(S7AbVmRvl6ef!DU1Y2bR zxTpTn=Dvb-WB~F*`?;m`nZBlIvhhTL^C*oEX;}Vd&iLHd|AB#jP*l@`kzEh`F+r^Z z(wim0F%XknUgbXfFEUxTL^cZ6Wj#N+qf4 z+=EQzGA((UNX~OgXVlr_l{xrdKBLvXonIbKw=3BJ%IZ`#CO2_BOF@1h*^OiWs_zI6 z2-FOu&8te9Ptyx(eUgOO1@+rG=Ek2x-;zeL=f0-TF3R|x>)k0uWCkJbep6=FDA;hB zIF<)0k`OH9%a4l0 zP%|=H(Ut5&S-Ah!y1xG_Vj?BM0mFN7wpqxCG&0h39N_)s(v$#D%OKb0rP(_*G@iFZ z=?DB+QTme0aMr(d0qK-PSJ>E*LN*)yr&0^BGe;)q*I7+oNrt+KKWlvfQlsu0N_~@ zgp2|5P@uFeWe1wl&~en54&>;4mq}B8&^%)-s4hmBzJ&w`;$rntK$LC(8l0i+J95wOy_Jq=0 zl*>;Y6!{4X4G90)umBhwO(AS?a;d_v&v7D%ZXixXkPM$f`4`Q6WKO6+g5vh&WQ5j6Yp z#y_So-7%~-q(SC#8LU5Pw@%AneYNHj=+wwh@`T2_#`yf_ZPcbm_NjeU!rY$54Plon zeyWA>D5~3+u$;|1jwgX57<`gDmVfyqXj+j2T~fm$o;+t@7s3P1Xa9|&8$fCRcic60 zks5~6TGf8AT0fV`qP&+-D4brInWav-AB_p6arg zt*0Dn4jJDo9!rEJr7&RZ&gd%kYYwY)Qx88O{YI=2=)cn3sb0d{-m&ghb|;yT_!fB! z$?Vg;_Q?%iO$`&~KE@B*i5=}X`k4Zl+nq0|cD)U;ONpC*Q+WsEdCZa!p$>aJ2G%H2 z#6h*vadlWC3v)&Ns!V-=Xv_NU!tVv)KA>R*3{N4F08RY-G-r7Ut$9DT=4I=?qpQ}v zwA(%8xtaH>loWHE80WU1Z$9fy$h@N5H*O-Y+y(c(S7aJSpbTfqFlg!+YEUQGDkNgW z2JPWuOW7dYhJ^||456Ltg#8SoBFY1P7d@BKxzs96C27Nw2kLhyKF2y}-0VPP*@u|O zUZ-D~OLB{LSD|!D1noS(@-iIPx}uh%lfrZJrd|Kyu$M3;=(gjAXbgVYYy4BRczS`x z5Dt$j9^!!JR$ol)tz<`X21q3zk#SEmVwAY_;XzYIL-lh<<71 zu~nOD!9nU1j(sJU5xScJ^v`xP`n;u+#p-v!4+l)K8O4`r=j9`syLY^>k0 z49beJ(I(J_BMG#g(Du!wPI}&Py`-uV`%4!q4#3$yG_41CJl8xc42ictuV~&-e#tfi zXOZg74{(C(Dn+=77O&I<4y6?{dMEW*6w>-HL_VDgtFBF;U*e|M3SO&kR(WcWgu9g` zT+VSo&uMJ>W|8;FU~$P>hnsdr^apL@!K>qBD@W7?ab~easLEa?(Bw4RK?T`X{5K)< z-Wct0v8?3falHX_1{BngsCIoz>dBp_sJkmhR-5)dkZgkCXOk*JDZss^8XXODB2>A9 z^@3LAKHj;Qgct7Itjyih@69jdxP39ZS$uMN$ylk_Z|34nvEuBllH2l>zQUNwsX47pUo`r2`hV? zyhBkbJI4|^iuN0Gp5O4T<2-RSkSFdROo%PRhwya^OyCK&Lgw!vp9IClzf}>t{zmEH zk)~mG%~5t1nrGGG)rzPMX67YrzStQl~nmET}dT`zQ^{sGO{<3~GGJ zX_j(umMA_XD5r=s|0-V5(GGRXZ!!EM;O+c~gjH6dP*7QR+02jj!w)?Q`Tzj%19Jx# zurL9m5|15B^$V{pDp$-1?^VI}4~a*?4Z%&i)P)<+tXR`hOvWqah0lwa3`=wU)eiWR z%g-`I-80@AEX>(tfDAqjl;1SEK%b=1q+4m9I@O2w?%JW2&8{A7po+l2#-*q_f||ne z(qLF@R@L(N$;C;?=GhlbjlRwsez@e=Q91Vpxp%h?M~JH$1CtVpW2r9ukc>#3wSo7F zIh)|YngUpg5+_hK0r6*GErjKj7*(0aXIVT!9SVg$ z17+7KYOAiJWUIUN(dKR~*~QhX{YPWCvDGCQeve#+NL17J9Y>$uReq*=a3k4Ps<*+l z62myyRBwxZLY%QW=4kuT0g1AmBo}e@Arm64qq`>IQ>HWqw0o-dz0vBxYKaDkBFy)q zt%*V8^t!7nop~+>rAipXWt>V@oCd>FPDXOlx#)Y#2MjMHA}m#IY~3BvY@0l+UTN?s zCFkOO`s+MfBcD~*FkSis;kEOF^3x?})%A!DanMfX*oK0G-iQ`)?vQ@=Yk0~VhwYh% ztIP<*aEIppVf8MYa-&*!GYWU)dx}HF;mTZxQ@f3%NJ8!71>3%2#cH~;9gpbGc7>)H z&Js%6d}^*Glh#8!Io0N+e$#VL({k*9vq&h=Y7@%F)aR)8R;<{(X!tpCjzctsL)koU z6#uS+I*1kZx>wOIrIuE7{T`wUJ0hAq&%HrSm+~ z{VSR2Y4a;J@2>q63t+WWE`9GG5FkshsUuNBz$s$SanU7edxi(4BU;`_#u|T zPC#K}cGhZ(pC0W)!nUaJMs2kNJR8ufA|q_3dS~KM|9(e1Y&TkXP5vgs-4$a!6@^zr z^SBtJFEVi-Vikr{;gMxV$?Q0^WmpBjnRM@6s#rQsCd~f_P@Cfd)r**j9Ux~2lw;44N{ytkg_T+P6 zR;<3_`vluAQo@AhsIo75|*$L*Q0wid73s@%+Q=euZ~N`)O|aipKx_VeZ;FY zw^kF`kwALFW#dw~@b;XdxkWEXaaOT|1%ZIhT-!`CTNne7|k zoj;5RZ5q(Lz76E>*~Fo{igmcj$7K%gT8}Z0uB~}&f--2xQ4?qa3n~n9a1q2+@RVqa z-BsA(>eXQN!gW!4yLgp6Izy+z%))!|o{`aymR0*~B^8UMH#k zPY-qZt|c2X#IMwO>(YFA%^T3+uqJ^m9!^~_;$M+!6j35g+d`Z4qj#StDXLxfq#70x9MDWfeMX5=Z!k1@@31TU&5-kmJVwik zTHD8T;n!IZ+32wPgtzSF@KJ;_`9O}xcbiermH6BlgWqlYXEha=8yedChcVKf$UOOw zFS=*e?FZ!Y+Bo<0^HY$W{eCp!uDOcT1zzEUQ>K{5&hSM>hq1L{O~h&CTAT6r+qp-Z z)lNInn%!jTK^ztSzM9YdM1SxMN(|Nzd_Q{r?01ug1ld8H#M%Gl zGb^+Xm-@n-v^jUJ?V|pp)&SW0W;q$`@H3=(1EMUn+i}=u;?cHwutGLwGc`QEA;|2a z*`cWk@s=&Hede+Om+PW?iXzK3U~U zPa+l6zCvGrOES9N;;Y2CD6`8LWUe5=;`WB-YgDLjT(ownr#Uv{p|yir@#hEnqm!dH z15GKMq}7|!fh_@y4!xr?Lv4k1HWIhpw&dlyhELxX{bfc}EKX<()s!RARtG=S*eTBm zAOx`?4d>5)l>7#|uI?@1p>1y4DvG(3 zn^;ly+@P*uKYa90dPW{Eg#pmB&Fb^OTO%W?t(v=#sTV3t0q5|hfb%B7_wT41=JyCOcKjivvEcTN=1CV_LOU|%T0uz(X(-~pZ%wJqq zTqe=&OQDqv=?xtClk*mkFN3-ZaC~>W0SRb7)aoNr>CQokV{iXt`%78E9K$S^AisGX z>g=mOLF7kSP#3f>q>llRsE_$|Ng`ylXd<8&G~(;XTVnasV>bYinIQo!I?4mxxgt1_ zfOe~Kmz9=DTp$$mNTmq;lW~5d%m#emgbRp%x{0ax5DhqVS)Mr6WZlL~>kmxHtLxi( z1bU7N=KK|2PkElf2YY6!yodZZ(6U3R^NO#bZSKj5l12Kb+XaF~hBVN*I#YBdK4q2T z=4=0|<2A!ri3}X&LF)s0xbf*BUw&4=i2SsgOQd(9U0_gv9rM2{OWYZemRkiTm1H<60Uss|qfhkUHEXL67z=dnqhsvElgD3`LZFx*&0ZTtM7$~Ifp zzt~9cSHQu?z=0pzk&0LR=~W_cKGQM72NX0k)WVUK?efAj%Y_t~?*GSDe7}C-&-(X) zkI^}o?YGi_EcvX~OEq~#=K(*Mtr%SC>l3`o)mMq~#s8rL-+xts@K-7Ki<^l>TWr`S zOBC10mNfrejsH%_^@Lnc$n`|Jo=Ddd>3U)eoEQTqw(E)QdSbhtY_(3dS|?kr6VJej zXW+zhf6ab%tNT~GY3Cw|wHFu_Tf;3TSb64g41YMlgO|2hb}cQg#uBYUMobzT?Y zfFM6lu(}&*K_!2Nb`i}jonA@fJ+obX#>I`~92Gmys;`8ZOr7Cg8ctnH{oLhOmwkJ) zo_UR4a;&Bt-3zmb*>bya*nkLA&Hw9n%XE9s?mbq{DihIK^i?FDZ~2r{F~7MKt$|}- z|5T~wlR0L^cp%8E<(Ap6!uObRZ^`<7+&{i6rSXS>X0(+xH}*E$m@vw9IqAP?7o(5C zB#NtM$Wqwej_Uo+^va z<0a#4e~z3#2a%4%pkV25m5&G3(_|6c)#QNEtgpKD>yJ3yGJdK#nr=684DvQ5Tuo|S#^ zgMfWT=pR=9zXbAx%udMccR}$hshp76i8T8~AN?YcPNdn1()^=UaID#XQJP1Fn`iE0 zqz7CuFxR)SwKmW(Lta_vKE1%pLd8Obyx`*CU}gDvm-Dx~0s>Ub4-L#AwnkLET;Seg zDrN;IO9Luq61tSv1}b!-i&Y_09U7w#I{+B`C_7PT<5 zv@ka?x258tViq?x1&@JWo9$l*^5qi}R<;jr&hrZagP0x07tHla#iTbiNZVIN^d5E;!+W z6D~O6f)g${;erz`IN^d5E;!+W6D~O6f)g(I$pwGyazlF3{^)XJW%*GC`AfkgD+}wf z)9t@2K1`M?m-)7>GuPqnhyT)}zbRc&C{>j~ZprQhQ#b1^LDH zuKG9dn=s^iKfb&4&FGBjtGfhe9l5=#>ajH+#p7IPJH=b|p~>|2mxperp+u(dt_QIx z_DoQJl6j&2Gj3R$M!Xx!+j?_%q)L7d$6!|i?_Rn(tta>({TUNQOM26*s^#+sp+_eFB3_Z zKBeD1|0Ot>TEor2t#?a$f9ufd$p4Tvq*tuJUHzbm(E2*Tjapar ze|Bgd;|z&jHcr-`4o%))9Gb`FqmNLvqK|Z}k@cO(6hly0`q;q6!p>UHz=nzy6pW&7 ziCTc_P;*=49pnOmholYkjdku?I9^ag-r!{4Np#0>!5Q8q{qC z1r93KW8y&G|4|EzeB*%`D<^>VcuL^UUjh4*R7zHs|1Y_e7yhJr_V?_{W5@wWC>#O= z7y#s2+S=)uf~s9<9UBt>C$i2LVD*nBw-^3}lH29VmR$WNtMTz5tWR(WygVWr#$3oV zEtr05cb=)rlP}-2uLO0m8Ogold3!73ilDb>Q^BqLPuq{qnM!U57?F5$V-I}S(|Hy{ z`u5U%0C8phW)$t_mb<%Ls9oaZy?e7w88#WKyo&MSA86L2$Nl{eVr*o&E%H_?ZG6Dt zwKXpZ9CO#_Y6IKW%)M${V543AX3HIMe|g0X!c92N&hwZV>`txY) zc%Alf=m)~47zxS6p!cjX+CG#dMRtEXK&(??|>6?em?b&m)b;V-Cg^X z2c9)q=vAB97QK5CY@AC<)JxYpORhr&#P6e>{?|U?f%-&Jlfu$`)7LDu;4D9pDc{z0 zl53dg3;>p}bF6=bol_{3E-k*&=6fNM=y6X1h8F~NB2(56YdrseO8dV@ly*^4ha;ZA;<8*ky)cj9^q^v)_Y^<6 zpJF)btFM86HuYmN5id`XSGLEUFLDQOWB zN9uo$eG!RVDDCs?cogC0Qcn4|HxNe7u-?6NUIp+x1Ges8G2SUODBghW#^C|;Z@BA9 zNo+*e+y9-Vry{QuK7v<&JL^e`$$@0tDec7Ldwi|pd*9Z2HZ`*F{v9`~f{_AYx zDJ=o(IE*1iH>B>Ir!?bp9r;DHM7+x#ygf1|_cm~j7x$Vc=DPyNr4pSm>f zwq1U77#HRU8!zvxbEr-U*{<3e6}E$7DNDca66TYWfR&FU`e@?^#?2PUIlsRkD>ywe z(j@D;u9jJ$Os0G<9bZJ72@u-s^#>GxO=*%Me9q&D)%e)S%~dd-@#t)OY-;sZs(rYF znFMU~)4M|a++*oO%h$y%E!8n`Ma^4w#&=9@)C>tdqwgz0k7@ET05wts{@E8{*HlAF7( zX{L@3XQ6sgBLKv1TU{{2Uq~$=%dmjbsH7haBB&U{1k-a^tz2(+Xwig4yovK=+id3_ zcb?gGk)02ec=tHoadJVq%7ET=W)|wvecg3#GgmNsl@`3QM{~r~v>G8RfH=^0dazlh zQ8G9cVvs%hYKI3UOv%Hcklv+^B{%qI_%Qz+6rRn$D1t;)AaT&lYH+88my!*vweH&JzI^v$Xk7pRP9s*<+D-O8R3owrM@>A2g%Q>z z`4$TR%HX7g(EBj#qmqo3r0BBR56Rc8Bt--lmhVhv@H;nuKri%i7<$?Q>Xt-}IyBFD zHryUrTVc^i_O{(B5EFF4n*5Ya+pbxm8wi^m8theKSI@}72)r}(rG3Owv-=%?A$fz| zkm~Eii7W5i&o5S-V^|;!6~TNQ_^M9{u3lk&?f!^RZq#s*RBZ25xb{Yb&z=N9&X-Q7 zEtz3o9QS+a2)wUOpP9n*?_s`sZ-2`q(}_v57?0XKUb)R|IJtdsO^U_2RTPnksjNRQ z;F4-4h7tcbqtl34Ssgks^uRDO^vxK5_d3s~C9|F`m%SOc=&AM|t&o*5F7nFoarffO z@1s86^Szk>SEy%p8+$*B~pe)--iOCr@2&n!H9 z0BT|yn3aH?H8H8@Vo6*MEgxjFjccJuLBH-3wNfxq($h=zYBk2d2$4SVT+GFuI5pKi zj_qM=IBg0<7Bi{6#Hrc&l1NyCHF8kCX`78V#^|+hgnDQ_jg~K_8&9~>WOd=G@2S=4$tmzYT?ZJGDyK`W0oY_&Y{B>?YppkkzgM;M+P%R zw9|ggbWyd%!H z&(bNs?lDe;uYHD2iNHPQgpIH1x1@ZTU}Xmx&o4_|zLA`!E>P?^op$-Wm@<`l+TK}= z;D>?NMG{t?-wsWzzade7w^J1}%N;vEP6L-LH177Mx+PxIJ+U{G^=axX$<`k?zKGdE z91_U*;9@u~Dx3mrcEKv$qMSS*5z1oe?|EJ+K9vd9Op2hWezjH6MMSt%{Y51(>&{9< z{d=gnN{~Q7S)@3@WAny*|j+>7vm#&ZY}pEa;58mp!?50QmAKu35x*>aI4v^5?dKa+46P?=oJVR78H;uyEIv{N;;L4g1_hyNbYM z5?L3@0zZYr5bhw>HZQMLbfE4i@XyY6w==k~7 z6M6DXs|u+HyN?&M8B(8OXLYGXi}WrdK$w}$sT*$@`xhOuNO}dsl~x~Ld*oy=)(lct zEl*SlvY%IVp%$rNyOCy{jgVN9|FqYe5Nr4TX`2#aEiilj*|o*WOT-?u>=&LjnA284 zBnA@L>jt}NR20{ti_VD2ogu@*6-mdwUa!Nk@?F(($#9w4(|fUkN_b1ddPB#WlMk6% zdlZQ{j%)KOht-8PvmE>V9A>#BbzdBSh{9xSFs+sBGV7Vmkj)OeTX#TK699_c%-2On zt`tJ%30B%W(7?c=7QCkCY^}3aA$eG;m{4x5G#xZxXMh}cCyjk;9~eLWz)GKe-Mqho zqCa3L&1p%>U+>Za_6dT1JMR^!vDRZd( z9C$h7&8|Q;CpF&V#ZaQI1(_XrzT$NJeurmv3lt|<72sskom))YtAAs;x5DZH%4De+ zuF#bDRl>{M%LJGuA5EA=XgMPh21fMVLZjt>HJAL|Tw$vk-c@E!m;|j2(AW9es%Bss zv`RbnRjhP=Q19&mU(k+?kx-JBAO^#qdXri|B1k}+F-Ci{fDY#|;OPH(mqOVf^ZJ-Z zZqB0J6aNzBaZ8=)`;bGg4%@)W#%FKZV}hnMjn9`CS?dk8VK^Yx;5tfAQ2bcr5LS>` zGn{$ufSLOG!2CQPe zg&cfyGEF_5g${?{g}z^XxYjo~6Ft}VV{3b#ZVvDo>>CQM$!zOVIXEB8W~)YC?RfN; zlXkAN#g*5l@K*!cvv-i&Jtq8<+4p2{6`_-Gya%t0O#0a<>gra4wG>pgN}KSo^TG>$ zCwS>&+Nr5K-i?*-Mr^(>vA9V13xxPNx83y&qC!|}!0ZjRs|qD{NVB0@r3|eTDs$+4 zm9}HL_`N&UlHD3)E6XD%O-ruprIp%?Jq|Nd3vMJ#3iEVPB^XG$<&%$Vxn1{{0~aHJ zv6W&62-$bN9=ytcI*bw2A!|NVwoY8aQC_LIQF%MvDJOs7>&?c1UU1YxHFbtg$RPB! z%_mFf0W&xQbS-U5C+b-u-ay{4dJA?LNs@>qU3sG8&UPf@-!Il{VPBOF6T9Y&RHUQ zW6gF86m7U|X{#qtR)u+wsAu78vw`xu#l#W=+Q)vKlT)7?>0md3{>ta7Vi|_E<5iE* z54#!sE7&~4#zi?~w6dYAFi}2C`jpK%OYH;ZVI+ZW3T18%2d)0W>`aXep`L(y@99!A zxq3ZFGRj;A^3A8^g8A(G_dfHWKimZ5t$fevPUdnEu|x>DA~pO=lT*IX!d;2(dghGq(p48Erm(-8w4=CDM4?tlz9608{#^g^WxM#~$cy@)|ai=jVoq3~ge!rXJd zH9N@t#m|DZ#$`pvVQ`6zwDLGKSUp=trNoi^!A^CMGdvlI&D6_*>W zU==kDQ&0r+7!~EQH?!m|-?&!M_R6E&-l8ij z?`?FV0LgAOqL`!jd;Q zmj_PlR)WOPlq9d_sZ&5k`}B~eJ~^*pWoUT4c1%0Qn88`Wk~(i2cCToDHALjYj^cey z{R_FTxTGySFM0iN&GX3Pp9=~43GST}w`Ft_460tP?G?&c`7F~3T1R@uaLe*>O9zjc z4?OR@rxrWh_Od;#lTJ+{1QVXfE+C%uwfBhK5Regb>ER9MQRzha=$nkiXpUn0;1Qh{ zAlym>xW#F2o6o=+T2|L3ESFCa3&JIQmugU;ThzLd#u}Yz-uz4plZ-YIJ8 zwT5hRp6}`x^s&I8sgrQ?12e=IuK`J}7fZ=nEpZLf9Oby{-OxfbM~CC*6+lBaAAG10 zT9?{65-r>NA!;(}E2UA!=e9yc2v)J))CJ#V5b9E1QLqmpAi!Jpz@oso(jP$r7p~D0au%{U~@8`R&SBoCAtT}JZ_}DVkK?K z;Gb>97$(Lbf*i~wp3*AZl1tj&miq^y>+Lx&P!+$Uy9NphPYgKt!cVznzU- zP~hB&-JBU)jaX7lVmH3olvR=A;HK9WxmQYoK>V6MLdV6bSSw@QX|HreE5tr6LLkIk z%RFa`H-pF9k+#Ryo=xfY<9j@z3D)qw>ESl#Dh6f)>nf=rO>tNn_@@eB0Lq_ahCNWJ zx*MeVApl{@t-a`#|A)v^DS1MtY-(3fO;l8^J*6!+J4=OLme9?1Mygwjo7-ERT*F!d ze+PLr^=5S94Cl@Q)*|_^s$@(9ijXA?UhMFdFa-JytGeh=ll`?uF=j&9NLhKpSh{Q4 z>hRqe^Dyec~%7smPbn+ryfmqi%xiK zEgw3(f1O~LTb;*JL^Y3;DdFE~x-y}gs`Q%RqF+-)7`$)a|K*oCx@Lc@Dt1mqZ85seUiIFj(`$4i3ob=c}1aJ;t;R0 zggtHqPmO1;NIF6Dir7l4LZ?@*U9fp1>tMowwc-WsIZ#@MsjxeNGchR#_Eq$Kw~VQq zQY-d^zk4+5nPEkj)SMdpb5w^Fin-Q~lS9?)!Y&N=d@vCT*ZB-=m*(i9%_fS@{ELHq z{^;UG%6{Y2EHCWjuYT>Wnjvkn+8OO>dN=lbdK5TCTHdz1zFS&l?T2Xc1$jAIKbVK` z>AAUq9kR+2Zy7TX)=fOh+^S$5D_X$|?hat%@<&F!)KB_7jAk7cb_d%KK0SBGSc9r! zDKFz}Tv5Dzl$IFg+2kDaugwBYdFvOU!WgXfTA(FH>*U3%TWDeK`1~sFe}&#qEr%_J4&f?FM9-R4d}+*C77E&j_T5_#TRh4a0Z4YS zw?JOEbakQA7uCc%Rug5SKVR(jwy~;lR2~Rcc8(Dc2XWN4(>{vffu+MCzGjwQw=t@A z`|g8L<)-Wc_396qc5LBsE@0puNf%WL!45B#eY?q`na&})TKy#qWId{R!(PlV&l1A~ z#M^cSgy;^Y&C|A-irEuh&2DlHsPeK?kvHxm?F-1}QVLodXK3vP4GN4zYYIskG9t;r znnN^WH7yDAx^@?0l}jf-1Y}^+Qz7Ya+;j7DC_Z)aGWq78@4Xo?jSweA&D7N+WzS38 z(;79#9`XFtF3b0G*H@3`%NqD)zx^;}%^)T($wg*-M;y&w8azr(^B- zy=tbQc)`ktL+0}ACXwPd(=mHd(BP1bYlpj#9NX!z)P)ss|E>}+d_cb+ulPhaBh^9E zYNrNq`WW^1OkXemHIsTNTOvD85zi0s{Y#2goF-|)fG<=HPO*aP_4T$JOA};N9NcaS z?B>%ZCV6r5{_Ff7EEO}n>s`%k%e11TKV20x|=sQB3#*uhDR;;4_C=66}zy^c0AO^yz79c>QR zH`HHyFOQ0v6I5XgU&j9x{^uZl?a379gRFd7+X=`n{f+E6vde@!dFwz5Hf#%iC|mmt zgsAwOxkU&sx=}U34vDR~;;SplWoG zQ?Qz$k(hBd6uLt-JRY7U8`&;%zSI6rxK%$otqV(u&Ik0tKtWYkjy^s2**$^v(x!m^ zXxxyRTSJK>&u&zdz|*GB`TaDsT?GR?+*Q?hCVQ)8rD>QMPxCCItm36>hNhCmQqTQ9 zt?ZjZZn&wh*yz#-)*)b}l;U=qGT7=u4)~^BG-FP=y$UMByrbVXnA}>|E;`-wpC?z- z?aK#jj7Pbo0vrKtT>PpPq9g%z`VM0st1^`;c*DKlk8X)}TF8OfbHO;t; zL4e3uL8wy|54Gg50v-r|QPud>KL7MoW9?u-qi+hqfH^&)73eIL$5+F8Cu~tl^iCau za{xC3Wk7VX0TLwbc=F2;R7`ZKB|FJaHJG&qJ*X)Cmii3?hKb#KFRA>MOMxA&$~Tuh zTb!Qy&5K{%=$SLJN+6c0!~Tw89Zo^sUd)zJg{RGCHakCapdu|V$Xx50VRx9bhq;pc zC%3UwMa?ant8P*3njy+|3}b6I0!u+--#V*OaGq}gOP0pk3NE(p_mB>~PY+fc_-GYu zuBG#(?VOVOcd1Y_g74HP&(-tLoT>3+M+9X*BSnczmSYR)Iafd7n;qV@tI*0>GZG032{6>8*ssJWI^;bZl& z>yPhie(>l6KQ>fVid6)9-leu@=**4HpEd4R$avbsYOkiMO>WNP1k941U)H|jDdnhD z`Y5iC=o!4L%)eyFs4g4{(|p1lzW`+o=X@U22-BV(2sTQp>t- z8B6jY1G|{4OR@JM2@YnI>}pU-r_Zt{LA}Ec3d5HXho9)XSXP2>DilO~pYfT2q%|BX zZ#fQV-V+H~6Ty6mF|#QDgyZdA=(KK%9f2ylHuAJ*hLT883~EPjCuWV3QYsa z?cFRR4DT{jhd4U6dp#Ceb;#y%&>1O4N}L!;B-SO^J%i5l!LAmiKf0Fyt|{B9_& z0BC4PG3;It+!>(4*@+ayF;o>qKZK^4+x5&^lSAm}TgF`4NP0mz>FtV519z*2S4a#4 zn_X$C`|E-H1N`yvKY9+k>iUz2SgQ^PP-R(bJ*BKVg81>vO;=#lDsM^PgNzHh0G1Xu zQ5VW6LZ{axEd6a5wEJd(@i)ipP5kp^r(xs>wCoZjcySZxSSlLtJv5YDjF;aLemU2h zqMAB)Hhha1NOLk6<|_IJiX-}frOeKjlJX-=V2^N-wR3pyTKShiu8+_QtCbJwGo*Kw zeHLJ$2FrP+VXdK*_sZ~1`kHwujexD{;Rc1Pg$DHkwM@}*_u#*(iLC=644-l$0SLiD zzlFS?cbF1{7l>zU5YolQ1t*%ClvOh{=lPMuXypXeK!9l$D5VMtN>nJPVc+r2M9r&LLcg?cbq#X*#7r_}zl3Cil@!#(=H zTN>$0yM*7Ll$l3nIcsV83sjB)n^+)gKa{*0j;hii0=Dt@*q(SG3&R7KrA$kuhqbPf zq_qscRYZjPkFeP>ob}@EQC9BZl0#6cdnpS&N$xtMy^JV7ow$HPbUCm~N}{xVP>Lr< zHg;4eIXWs?GtjxM$V@F0LLw3pWY@!9PHn@^ZWGnJp2rR-mC!#r>L_I9msKx-K1e7M z*xR~jAV;P^u5p@$vg)BAr~p8@xNovZ)GhvckI}oveOFgsp+gB%&R;1Fqza*#Ji5DNr%t9b4l@f_eaZ0UEHQ|(M#ke` zRe*2*oZblwsY=01aWsEWblFzN>BI~1ELWCKh8nWu0+yP4gKx#5%KqA?7v56m9guBH zRu(=VR8O90^}dknLv*aR#;dn;eZlRO>6e_Ohjo#MmvoMa4-lieMN6DL>(yn4b^w56 zTJ&E^!F{I?sk$v(Vl$XjFo>F|hCB9^rai5TDSyyYK0+Q%)lWP0>3|t^+`tXJ!EcY5 z{tHb}_7?Jr;UxVlzrZ7?{xzkM3RX1dcdBiBFM4&eS}q;fHqxj~KHqr28_jjU_@Vv>lP7zQRP6BG>x3XkQ zlf@>R;``k%ZOIn{HhuP)fZb~9TCV)34^8zv_p`Z+az1^K$YYI+C(5Od%WC*9G`)i6 zEiCjtk4Ky#HXL1X(qs&}>AP%3Z+)QZ)7%}jO+jJfQ7V;L=-{eC8hH+y^SR&Bk@YzW z97{z(Pwg({*}X`;{9kBlLl;Mcjk6qI1A+}Dz+**lua$2RP)fMSmt+TM>Cnu(rZ;#c zJi!99sY3!wwFWoh^eFyYoc=>Z!_5Q@mji$nk%arq;(}8h0s+|8fy6&mdJ0!8&8#u+(I%lVJruMD2jGqtZ-oJ6cw{UB` z0Ho_X%L&VTih`0XZwFOGu07 z9ngLK{}`nIF!g_K%S`azXaM-N!E$mA;8B4Py}E8&;ol#Y5u9d}_4NHDulBs0Q^!bW zi8GZJ7d%$lGZ^r8>5EiTzntq6SoH4;^lytf>}sl{xPIkion`B7eF(v1#l<__dFDa| zsPQHQBD41y?d$*0A}VloflOez{2p718QI8Zuk-XVZ$c|YlmCDJ$Q)((&j3oBY;l_u zW~)Z()4{iu6uZZ%=uJCzW*;lplNg!W=n;YD#@__8Ket$;{P%u@&i0SEZl5e%IT8F% zMR}upX;vmz7Z$p(fW<3KQya_L=@5Y?FFk6YD!R8vBFuM=!P)WQzxN{#5eTTs`sx0i ze?dJxOMEWXI())rIzXMCX6?usO6wAurA#*R#-5l`rM`KTp{Ix#(ou8n-}~W&6;4m% zoLHT|LOjQ=k;t&&J#PGMNd1-x`5~mRGgWSqi^%OMcs+}l@9lp8xc(D9)Q^EE1I*1N zjW1yftmh+kSi65Zp&t=BLrQd_JQ|{s1HX0}0JH;GnYW+V{`XiJ5OJH^=HdcVFQZv2 zmmh9;WZ@A7Cu>nN@3RMf?yR?HV_Y@!IxcRi(py55|5yIy zO)&X!!RlfRej>Z!R+2}o*Yh`mi~u*&7K-|xbFhGE!6Du}2&kt&R0MZnj?i~>A3s2~ z12ENV-y}VS*yj}j2%`5hymR%mvH`_(hLIdndt-fwE4;PyH)issG;!JKtF$!Q({sW1 z5%o__Z4O9Yh<&X8MqQaW&&(+;4S;LQcRP3cO^w1x&XF34`2BRe!Yp~V8%3@}Qutw? z3)w7x;V=D`Zx9t^&(M&51-%OTB(F*i8uH%$(Y>o}#1Zu8Z=I0?z-NI{H#_Ctob*aD&bNQ1jtggxQvfj1 z4Cfk!3G9hbJ%PJrS2Xu)3K4!TvweKf4Bn8~e`)s{uvR1m%o5|KDv-i@ps0cgGWwKZ z{E58aUD)`Azqk_g+h(ZFcCQH$6DLf9zV?J=I=iAQQd97ZFak8JLJOEgV5e<-t#W~7 zOyIOaQkU(%toSv*^t6`p3u=w=r39IDDcpL{n)a&9e1!B&CRVqvCe3KZS>B2GCwN)M zV*q>o^p=v)t%s*JZ!FH8FZf0z@D&{eK(`GFgy#Y73xXaVF*|A%Tq1o(*u}Orug$Qtl;ipjh56v(`oZ;i-lify58>5j2Eh{MTPj%(*le3WTkm0m^~H zy=Jx+sF?BM+Hdi^sb2&(MUn|`-}Us=##T3px1^N!)t8;0VJ7=!Z>qO0o{2+8wcZCF zYkim_$lgTIKn*~Fs4txRJ&WFXzW~^_&*a4uhu6oedvz1HZ?NMYJAner)c^&^Hzm^j zL$~pqnY#p$hvGWy@DttHtX*=qe1`X=4oiL^;BC+Nd3HpR~QrAc~*OYe?E zsy`6dm6zH7lyovuc!KmgZd8}vs@S&^uR>WATt;eMW zuXKQdJI5PB|I96`oLaqWaz~QaNmwjn&dFn?$MRzeBA-1ZS3^hc;o6;=Xh?PZc_ZT# zXK$q{DGA6Qs~g`oHR1Hi8u$$&h_rBCCd(5_gI;Rm24vzcGL+&efBYNoM7(>iJeZNV z;O!glqb~R7O89R>mA09xpl8+={Q-F8+2he?nXlfuynFhB52CufoNbU(Gqv7Qc^UpuZ%Zx~~de*Iju z?(n(ku-9P_uOYL*a*AlC`ksDptcz zrh_n_LRXQg_>^Egsw?3#drd8f1e=dGi$A|HN^IxW?&Jcqg7;d1u_J?ynUHE4cs{mi zm()S|-B4O_mvS;N)t9Crj$$~!+`L(ZC(*%^;paPwRUPNkO~YB4-EeX!eP4@~vd%7? z7)L1z~H<3}sE-z&`u%i`s8@|9k^do%5B zG`_5%4BL}1w3-SV$D5D-;klh?@)-kv06EBHlnaf}78(@8AVlSiEv{213EP)@K~Sqx zGmI@G%BvgF+;^W?Mn{W;Q%hPH=gL}q&&s@M6v)*qrCF>5(;>>WH=;nBsk-G_mD^(D z_1|&@nNtclbW1o(L~S47ZvO0g7-V}db^JE{Q*PBrn~zrg`jl41ST=TNt;gZ!S}_pR zpt6xCvUEy{KcjyGeI zzeDg-$fa^|hA9KcvrNf6h8X|G$X%{@qYj$)OFXU)^t5&)Z>g{MC{~g1KA^~ud%i?M0(<(SIO0mdpVRm8v_-Q61?0>2@?hE zz>|+5`N@T_L`LUkq)C3--s0&G)AiGz?`qc%Pmt9ruOBNGma2o8;Cs8$aQ}kd0>j}W z*x1lCz3}Ea>BDTX#hDdP?Jl<`_uv$LV#4TjB{%6i_&(fzUMbdnd3)+MwRZJEYydfr zE3f(_va@=%0BsT7ez(zvJgW&~s3g;#StE|o3_!J3V#p&7H;_fgv#Ka2YiHU=?~o-8 zUn9O3i5QlTRUsNBuHd+kp+Rg<<#WU7OLL~8+_WLg)auY>zLCM~+2~~H<&H&-y9>dt zCP6lusWtreUF4Uwi&G}N4tM!2+(6~#aY<|rtJ z6_a11%Bu?t59|xYd}dv##BEaIMV`3z>Qg?0Ur!U~vHZ|KrqM4kM(NnD)ArGg|KZzG z5NB12K)9#sHDldD5Ol$ne#5Vb^I1H4J@ox2%nobM31*}BUMO62Qt zrtWL&r~WJvd!-1`UAmgSfKNlXT~2P?kjh>L4y2)=;~+has3OyjdNu3sa_HLKNv8a+ zWhK!uGRM$q=B4N%t=9)MLA$ z=SkiS8J;)N%p>i)=%BVa71<-Oy_==hX)-(!CZ@1$)+BabwBbTUyd+AseDJ>580C%z zP@R#-mfoba8_bdQFGES^Dk*=AKa`IR{oY3U!+0XvxJb5gad@#_la8@eqmw>e-$loN zV6M?7Oj&;lCOS|Gj5jQ8Qch)^74N0A-)RYGqKj3`m>Z}e)lmYXLLNZ|c~d^W$Om3m zRM(CivW9OhX$3L}b<3EhF-iyQ(ZQCmNYEEp( zH}dbboqUwcFHv>*(C6!#O0ue6r^ z=E7Opxq(zS;MJ1G?+_jkka4b`%2c4;9X-)=-bK3WNSCDbx4D9*5t}}ZbUW?(kpr7q zVAtYe{D2yE1Up(JHaoV2o>g;`>jWmPmNzu`Kmggf$QHL^2K&)Kp+@Oh|0+$RJ?kyE zceO)WqUcAHL8MU^3#-+owq&pT=u!n6R%rsIn~MOzqU$*-tDh+EOLG(7x=x5wJPQ02 zS|YFNsNRGl_>F+;pT~P6&#O5uHHD4Sp5oU}ryO7JTGah$PdZtlt^LtN>vIeSVxAUX zr5nA*riHz|sL)6|-WMyE#$aX!wfWXRKjn5XgPDw@mFhZk_>(&SlrE=FTWzic;%O{V?u%Xqk7!i|;@UA{E2PEU3UkD|{~Ny?BsjoO!>evPMKZW^k-WO=&dIWe&!LSqu&XEKjj{rP&-$ zp%9+0>~lKTrbD>%Igl6Qhv^S_vbRg2X&#WSvPxgY*vRkkq~CP4J@T^`mh)X=3YB9Y zzq@81$5R!G=qCsrl4u^exA1}P*_Ju9_^!AGPfjB9-H2iz0C2m01P-1o@d3ojCE9jX*>*j=H}I7So*jzjy|6zlUK_{ z9B8lDSG7tm)*bA#}@EsI`bnV=hXcf%D6JewFp4=PkL%uJcGwCuf6KVQWv)3F) z?gd?Yw4gD(M_iRdEUkh|Q~;2DRyIF_`HeH^U}Z5aiw+B$3q20dCIZ@rRSAI64A78& z4A9}rO)!7g>uBv|j@2-IJzV~7sz%f+yH}7#96zR#n znWgwvEVpeHr%YEyl0WnzCo@{K1I?W&%G1jPos1DO5(Tzqxz658Sx@c(m0darjf%k=5gX|y?sbPDO8YWcT40t3WOA3ML0D<&F<_En)SnlG zB__a>!QC#~KC}I%2TQ?%6Q!FZr^ln@b;rPYc&4jZ2s3<|#7}BG+yc_FBQIk{^>^vw zgfT5SCr_Th(}6f|z}2v^W_=~z{XoNa`_?|wYy9l>vU2O<;oPhhdo;&DJz|OoGW!^PzG|yYBb+faOeK2GX{W-hir6Wd*uAW9vm{jJ z;$`WWLwYy4R+?z7r%>B_fXr60=2;noZ0*Gv8N2VZ6~9O6d+0WiEaJ5Ia)k|*Mqc8K z-w!_qoI!j64cg?|IpA{PGM9MKeI5z@bwD_Qk}hD1I)0lXm=nKQPNun%9&#Yr53d#& znr)*16k%x8o;Qb$k73+QM@~F;vDR0lfpntxN!{z^d6|>o*?y1B%4$KM4VAhh-lU&n z6URA?efY!O^19=W?&E6r(rn$s5R<;aC+Qo??W~6%`HENlq0+^irTfpvce~Tz&AkgP zUz(TiUEWW}B54d;9d?nN97!^~7a;aw&G~|DR^iiM-*VONS;9M@?d;4dg0s)<6z}=G zangmbV_nO_ow38CEV=6ot>4?5Rx!!ix_6YIImlRPJ5=^sF&5&feNB{hW$Vt>kOvKtqD<%v2KjqDud=ulW+_0yqWtyrMp8?KbkC%z$HMMI z@Ho^xhD*e^ie3;oyKF-w*Na=2CAYuzMIRpd`qWhW9J`%N&)06xZhonzHTemR<0*_a z^~rdDx(TjhXWr43@JtCV$>ye34C1$+u@EXZn^i&{@! z-69i`7f3b2&9DxcU0?gTR~9G!FR;0xdB*ROzFbTlUcJmiHuaSqkBi1*Z?;5AsCV{|HCjfRRrTQP zBn_?r@6C&7h)}R?jB%ll1Q`cc;3x{P~M1GIuHzSJcFx zN_9OoFXE4h9pfWQl{ziFX+$XYP6_p+#jm7-XpzTpK}uTOXGBzxClU_9`w#2r(H zTZ7gx%8n1ty~WW5cLInO70Xy**BgfFiNfNnz$QE->X-EF$9r6EpEv+g-z)PEOyk{7 zcX{j5m}+QEamQ9Q^D_JPQ%<>~MZ(XfE-t$QPw{#NU#T3wgxjSrqIb60_?hB7%P<(0 zetjREx!tkT15CuD2Xrw<2Gy#oq5M;tWpke5iSm0DN2=E*FxSN_rZu`MnVS6_W+T`! zYWNFEndsV7|E*KE{tqFHACSM=G*+}zbrpP{p{dNAs0OH_GKl1Jp^tl zic11cQpJYQcA|lE^gi6eKeQGpLeVehkw{pcM-0|?+2x_=7osR(Aj%*iH7tdIs}%tP zU=-LCsrF&verYF~ZGrbzJ<5B7miyQo;;Xb2;Vy;^YltNJN(2ZB5;O-mNRAlY~7*+dL26%$=K+|EV7s zCuCDi45x`C2^FI8m3qqqm9vnH{UYw7bKd%;9Qqm|`*i1SaoXNYr25i&m*mttD6)dB zTQO-rZqsmUrhHN9&bm<2e!|JOPF+*))l8WKp*voKhs>esMHx-+^%gHvt{AEhano14 z=*j8uLTxyBA7HByb;a^hZ9}g`m}(BtAuq~=$=i>PUy!%TxDup z3}ySkFcB80v#-<^|e0uc`8p*0D%sol47twQMFtp_EtdzAxmxo3aZs3 zI(VVxzdf=9=>|5#@&m9Lw$oAC16Jon^zJp2m)a`XCM(+f^WV56@M^OVhtF0DzbRP$*9mlla7!Hn(quE~;o} zCN#;f&=^}EPia7jtf}*?zkiR*8D5;!~K9=$7?G!aD( z(;dU~ku)EW#e-^y1$t^oZ{4K@DgwI4bsgPkPLHz$^dukgikr7bS<(e@IH3w4CJuzT zoQfSJze`%rK}0Ps+cWP>fTS!6$PRh1?4SGGqH`cUu_Y~Ykajwg)_t_%e=%wQXuj?T zL()N~wa?tV&(C+hkGDTXS$Kbj4=L4vg+`OdnQ(_?iFqj{`>HKz(Jcuth)WR{X#wdp?+S|Cib9idlT_o$Q`@j_Km;sf1u(`-P zQ6X7PHT>~j1H=~*!>l>#JR+$je3hsl_%;l@>mvnWpJk)dc8P^E%=U473y-nMQ|nXOly% zNtPwas09;&f=iPr>m|a-mp9HGB1{-qqC@0=mDEUyc0C-Xln`>h>+5GB^TxNXmD zX@|;uKkvdk?(E~fVu3>0X6=WYds)yp!Xkp)kn1cOPm?^L=C6v9}a*Y(>^ zFQ?Yq5=3uAbM8>8e8UnE(f_*=2!dMWprU>;7U z6OT5GQmR*pwEolQk}h)s)wq{dc{e$W020$SqQ%tYp1U*cruDBV4CQhFf6Nh-c?Xy$ zf#|bRoxsjY0y&{rmO=GieKX5w2`f(fTQT%Ffhy{9wS!8b=fpjF1(m|XSIZ10?~WH< zsduzGgaZ0tDA(P5Mx5d;pKwv0Ogy6FOnNUb5p5_jM}t>X)P9#U|M0T__>qiZTs9!y z1(+Q$Xc*-tqbO|ffwf7udS?*l0AH&(`NQ{2EuUu)Pwlw}e?@s`&_r_%y=Lwn+sF=NvCDaiAAijM2tit*+ z&;3kXlOVG6@C~i%P97}7?;U^mTph6Ni5GfbpHV10aruQrNc|6q6~%X!Unu=91Eo}n zTV@2XUJ;0BQPdsm1m#RKA#gaXa?3j;b86@Q)XbRx2o=2N`T&Xi%brHcB8OqUcdMed z^4A#~mWx}Ai7!BY67*sIp^ue{5-^tT zXJD-VDU4;ID`&F$?K4xsEv_#aaJK81gxo`s<0(hfO@E~!-Q!=v1V{fOpsOM*alrbUy zv+SwK|F``ae){}SP*lQmgQbY8pX_XNJgx3CDxL{wx}^9Lkv7r#n61lpPW$IGlkIom zde3Roj2&Oqb-FVX3*XYAA=q?-Zk)Ch@9e!XQ>mhl1-GA3H~*)-WGjI>d&!GC1#bZAsEyc^&o+b0@2B*57GwN%nKRx-1^j-p zg}1Hhd|Uh5P5>+Z2DO|~xAlUv{rfNXCv~0o)?LDf`ABae3C1-q>dhgjkfC5(BZ?AW zZnEtRzhNTI7pwKrYAgy3Tf#_h4+q6oFtaz_$dw{o5%64EWVQlJJ!Iao$(7_GI^PV*P3m6*N_h zp~3K%TQwA1u_M1p@Pu}LFMsqCfJ>S9@V{IiGtma7b{-pReCEg|VSrjEh4IIod7ZPZ zCnIGX>-AbGZb^K-*;>hS&>DWU-Qu$&I=0N|vlO>iv+i9L(3mO~PGOnvNlNqROxon! z>zCTkRwTVq^7Fkmnq3-OI{Nu>rqN`A!_Z{}iem6%S5AcK+Za)ez5lFX14R$RQ4=PFtu*_`((r^0gU>!nc`-(C>zTnDdLwiah$f5nnD_ z7)Zjcf>nN1g9s>s)oSY`@7(}elM1)>alVUD1*5U2^QW$bzB_}bu=SQTd!G;TPRw*x zOf{G*%$1x&UhC4H+dsY>W8w=0D^BmuV9Bna?-QT}R__Q`r`M&0k6dNkx6&IidxsI1 z-P|}?da$|)5VumTG*|bps>SbyM{4ZcHgOl{7X-j^SaJC+3A_X`Nf^>C zDgK3=|UB7KI)IJjfHd2U5_Hp&?%1uB?b+4zP#!*e*;on<<*>vuALes`p+(i=&NFvDsHAyT(z+kO94uPY^sD(cFvbaMWDum2%l1{0WA;8n4ohNwAC{p5|ZUEEnw z+sHy_d8zh^;v5~+e98xS_!Vtoc31A>xAay?%1_s2_sgKIs)7D?QZJ$-F3~CoPM!og zroQtsa1&+1Z>x)M-^vg+FJIaXTA7;B<1CF%o$~6Y7I)C*-%7#e$;|PgG~JZ#mal=s z+1#oamezo}F&4^RS8Z>_KNtim>!K@)u8Fn|?jpBglOtS54Sl3fok<^9;RqE! zusgdb4o3MH2P_R&kbt{b>SFM=E;?_UYoUg5==MQJ`45PG%E1+ws8m2c#B9QamLW^F zDeIfowLviXWdnG;#T@YH@qG#X*`|qPfVqeTA|Jk#whqXj)C7sjQso^UBx2IWU- zy|VKFKJ$|~vVDVoK>(BmHIt^Yr=mHuAFwZjM=oE=pO|D~A@GIjPYx&kab(PMF50XWX zo{XzXcJ255N$rFR7rv~@Z`)@a+s5oXkLt4u&+iY8?z|GU3$yCfZk|x4TuO%EgXCCNgRq4)O8z`#?rloDT)QfjXQ=FgFAHA* z9LRD=d#n}jg%*jO8)cK4@PdeX0X|QtM^4KuX#X17^sA`Bt6UQ+{Oh^g2lV7<)3U|k z9T*q7G{^qqS_X_>PugHXBXy?AddAt0LJ>njHYIVaz;zwjUgX%pnY& zwc|PdU0Xxu01ra{laS< zF$MB~TLnzFvZ8v}U0njoAd+TWsP4z;#OXyDwsl*{!hJev{3Gn90Dojpjjd5|VsqD! zP@?eSwlGKyIpgnwgqI8bd1n@%zNAC(F#>TVw$1eFMh!1mJd!UaN$cSGR%K%W{S_1K z0=z#oNz|xz^~G@Q+7lLnsP?Z)1t?HZg1A&9hnI^@O5Y z5Fbz`0cvkenHO%zNl-UsjU3SrLo~yKIErO6I|Xi=umE0T8DpjOI+Qrf>((%^wBitU zRHG9(zaK#Qi5vimpbw1z@k^?vqHIAxGP*ee#zSp9$E>d3w+=JB3rIRfj)3R5eEbUD<#S0O|97rV73wvp#y@-j$ zJ~?Y`c;StA137j3g1M6FuO@TgjprsoUIlXuh9dg9>BL)QM8~knZ0Lpo<6i7fr(C`} z4CN@SK0_v5P>K~wML9u2vWXpU#~B^(($>sl*ORDicn5fpXVtxFR}p8x?Dy)OdfoN5 zRss%BzC4@t?s6{{c&*=YRxdVit%^kqishp^kl^er>sl_+iRm)h4P1 zjYu&=!{J#376&S)ZP>XsiLI@*S7_2ze3;aLN~jjX6KtN_EMfGNoCbchw;CPDD{ zU0!`fTP_V`bd7nKm|t8+39te2>d=$to{H*f(lyz%lAfkVj&-NObsO052sjNSc!Tk#W*hClST)LB6C1aJaqRxMrd$ieP9KA zsF1CQ=0(RgN8WHX8_{3@Us&Uwh3Uy3UN9pRykIf_;O`*Kz zZosa5OMcF*gEa~TD#HdbPvmok_Wy~Pih!;aDK{^%;{E}#Tv*6>AH#>->*&1@ZUvSj zn^MpzFLpr!kft+OfzXITxCQVQb{X>yDhKPxxyNi~4644oKEnlZSn zQA=elp6{bM{0jJJn1h?7rG+(b1ArEo|Mg6mlK>e(Wgz3yQbuOR)S~q=jWnDMjQy{?mMv7+IxTJ zJNNv~J@@>_Ff%>f)m7Ei^*mK?d|sX;(m471WF_$IHzq&e{v=f!oV)@9Ts>>xDw97s zOjhKln<#KhRbYE%Fceb&MZyc20M!97JVrY)x>sH`{aW)qahs0)V|qNf$`hdMM06ly z_6#Ed;E!$nm>zc^ZeqH-IK18K_SvDkKZrf_+~T>1Yz`m(Sv>Sv(Ya4b`-3~&S7fz& z=5*@ZA|mS@zV==veb$6U4V0?9*ud4YWHrr4N1Q@_tz>*yMN-XRdO}XukGeBYtR;Y) z(_a%3$gwlu+4{#Qy~BU(T+=tf(J(gUBf^hx9cgVPpr~F|J~Y1kY|vm#YWef787-!f zo6)guUF0A@6ga?wI#+l7PbZz06+kVsTKM2Ake#%JLwS*XU%HUQPbQ#8^k{>Y&wlE= zl7dvr-8X)7Jweuan~DIXl0pcmn17y>O1aQKWS%^!W;~X!=s44v8T{NRJxQ`MpY8dN zuw@J=nXFn1e2REpD^y!*9p7oS9$*gTQ#}$nq`or(Lm2`D5>~LWlnk4g5Wr_jp|uSl@-nUmGg=ji3fJ=(E#eUcE4C7a~lcz9=i@MCTYDwyd%*0F&mBN^n z8Bnhtd|*wtR~5$iCLp00VE(X8zhx}1#IOjEGf~U)ju#6w-c&nbf&S zqtFe~xjKz+zFzI;DsF7^5x8i#xj)Wr8J`+)kfpb>Bes2G$(0SVgai>cjrPq<{1gxw zrFs*Nz)-z_V|U1NQPVA1_-QvxWcOL9Qp87YZ&L0}C6u4ma}50k zDAhn+Ry~Sd@d9K$LsaJIqYLNz`$k! zs_B1>Jp-5>%CgYP`aj^#YB`C@u*v-A@xPEKaQp)*rSsqWM|C1|RE{l_87rjdf}!bw z%T>8+B{UjTL$@^hS)u5vKl^IM4mcVT8SkWkhC<)iphfb|4L~oV+S+{qQ;-$o&ADrl z!flCe0C455haZ?8Y4Er>K%5hR^2pQCEX58_?l&|9?vHfn(}tplH@uEQbgnmat~w9z z4pb=P78e0($G(a8!4^1Ers>+`bbZa{I+u4*Si*yD!d>dchVju|3kVf-)>)N=x@mPU zj%>8T!T>fmayf{bwWQ=ZDH);8UBWI~;3p_8&%{;NuMSVu~`qWzpH(bP#R~Ynn@C7By-oc8CP{d%#quliHF?_5Duiv zV^^gQ!3^3yrxTRfjB;zpbE*0Q3|u4oCx#ntiYL@Wnly6 zbSJXNBmTsfB39;R7{uuE{)Y@N#{%Qwk*dHEH+;{ae3QpqJJnL+%TAFO-6N%Byc4l zGZRpqr5Qe8DMSz53vd+cI|(%B&$*!XTg4Mj5Y9RG6=>q#Y7aj!F;cnT*!{|5?-#73 z%E8I~)!Fg&+0s=++RbQu|BDlC*OeNhf<|rctJv3f{jz`JT*q||x9iUP24&M6?JFlo z30P9vv_gKb_#b03aD~*QPLB|7#@@|=N?m$3JCK~mdq5Uj$QQj0J}Ofqbe2Rv_H*&O z8JA@4t7gagQMjqJVo8*Ga=Yz<4Jyrt@j(c8ND~bp6$W89syqsp=asbJE+# zst@6&{I*FsH~M+sF1YQDGfi$~2f4o0mKE#KpdUGWs+UA#Z*GjOBWFz+lEoqD!x?al z>W2dnkY&g-Rm!mbd_sjxvG3Jk6hG=V^LMP%>4Z!Wl%=87O^l1%DiFID_GbNM=Or5B z53CzBs~^9(arG_YvntEg6D38oGTuiNyRK`ga2z*$vChLcuP1@sH|<%58dyz^CCKE;m$Ri;$GWm>XnqE=ZuDWT^u$d; z`@DL`vWNGTB=^4p`ypvQm*hHEeTOld3#ET%OD)6>71FMYUjwu!rf*_@DmXv!<+NZ# zS$?s4VKfc%5+Gq#Q95pYd_xN~rI1mb<=B|1_mBlmS}zVB_Kuw;{ahE1iw%08IQJO? zLb_t--$pjDylgpFfm*_%6`T1+#i%t~-ta63!-r4G%h=W-W!{*vowSGuL>mvD*xCPL z{R`Ae!ewe`c|P@vR$0>yeJRb0#9jBvM6FzLi@34A*C$ zf2TLjeb!9s=}x<2!6rcG+hqX=;nWoqqXh?_)s?`T#tY16wYScA@wg(3#e-d$&n>95 z@t@Z1ZB$p(m)6W*QWB}LHye*_Ah%G-;_enb&o3tHiz#97V{1tN@%KoK@AHKhm5Sb& z)4Z>G=Z{2~%4!s!wfQk=P^j@Aehw=_=Zn&0HZNhwm51>}^7B7QIYS8!+DYp!2n(MO zZGkRh=7m>t?lX!|rii+deuzcpXd=Uc5qpx<5E=}cDwW?3ansH|uh^!I*ZqahxkDP$ zV1v(1?9xd1VhGc|cje+q+AYUXtx|_x^_6@IUdfc)EWzjuh9#eZ#)l+D7Z-t?%G;{$ z{f7H9mu&B=EY)L+Bgx=F>ZfBUs=R%iYP$?Cl75%?h}AAH$CU4;V)Ygfzf&2uecP^| z#IfHKx4y&oDW_tlkgIqTC*D5apV^KG2BT97sq!Psx~L+ryH{iw@zf>^>| zD9aj1@(~C-$c_JezdNpmJe~uDR+;ZlzhzVsBrnAs?^uq~}P}M(VY`@bWzG2!(7f9U#_(^B@B2b@|-@=!Xue2YbNIfdQ`6qPoz+Y3%A-AF-+6A1O zHaMq7xD}#RQhar3uj%BUe$)RU5LRKBRM~5t)-3+OTfg9DxC9ht?h|KTAyb{Hl9!9z zlq4%d72T1k6g_MR4Z7Bo-`h*gGf!XKTYgi>sNJx}i+}5nlwvae{W@j)ftXawo-`T# zT-}q~LIg+<_E3%jTf-N=GNU{Ld9_xBR1Jo14uph5wX$>M5XmSHMK1CgC*2&+%rI7&ENMOK9u*$uq7ri4kR{2bC!BS3yC+am>=?>B#%sJzR*O8nr;R4{QQfjV(nM%|B9t#bNVtx6i zQSZ4H)FY%9pb2%xRA8kcEuBPwg+C$}h_C;miKO2&E!}YO->yXT9QH%0rNvE+Hr^*m zqeC~p0=+*Ncb5q6lqWd%8n*#;Y@7m7toMmAv7vm$=|J{oBs%3b0zAMeTUdlOdMRVo zft}5=?#*a2v%`0RNnTUn&o1qJY6`lO+kgrESyJs(CCR`1In0eh5 zr3cJ?2@YuslAXV4*}ioM<_xeZ_vbM1itrS~e9cE1dS9WB&CxY_B!`slt;#V1n1SC* zz^Jg9d;Wn70A5Tu@XQ<3CQpDyy8-Kd%zqBc9Km~f;W>P9yB%>C9l?K6iTcWO^Ca!Q z-Ntj53!qb_-2lxA&_{S!7f*H00X&kB0Hnv7nxD&2b!OO~mLafj-; z{KDt=VXsHG*ok8bNVLk1%+FC_AUA7#4#{0z2q1-|1Edgt%0c!8E&Z7}t^>)~>XMj3 ziz&m=7{;D+6{-5062I}frb1)OJdFljORa=bO<#1!SS7Lsc*-%frOmbE8~#+H_fM`- zwaiN*<*hyEVz}6MYgQ4gxROxsJrqcQa&$`o9vKXX5{B+ioah55WRy1R)x+f9#`yA& za=c}S_5QcDIH13+&vYq)Q7Mxh9np9{A-@X3t^OUp$Sq#-vrmu2MnL`jPE0K)05wlK zgY$3!Jr*Q+;DlThcRga+QWUyrTb$bZ#p7`QpgyFwTfsc;$M5R9(ti8ZUk`o|W2%?| zFlRZ(jO4%vyk=`AMXMwjz@`f8=ZSza-rCnN=R8fE&9C8zu%Wma7`<%Rflz1u{X4XO zV7OW&7?t^vInLGd6lB~wl8)*6*#?p$)mi^LY6}p~;_Q4${2Ku^dGDWw*9|KS9`K?6$~{nmE2+)onscfsZW}x8 zHm0klpl!Lbnwohh11_=3>PVFRP;U+6j-dG~Vv)Gh_><# zEZFLScF)p>4)%_I{@Uh>EA7dzmYsbYnFxeMaj{q5e17nhHK9UUcFOScAA>ONi5&j(CV5CC?! zI3LXJLAfl*0mRTs#gh08y2L5DKa|S(09!BKRe8v!LC0E<=({&xBZhH6 zGj%%M>}k2FCCPup4F>>x+-FGh$=oAeya!x=hr?sO{A*W*|Gfi(FO&fIfR00z+Ii;V z>36byCEz(zV#DZwz*~(lzTLys^|fp=o~+ux0kTGgl&zJ2%$fLJ{7qHVb^4!=2V}NW zmF^90ws<{?z2Zg6`*_?#-Z#=Hhond0!W1S;<+RggMp=~g)fv(jvC`H(|Nr_gXJ|`` zjf@ZL}GE-CY;(ZDb6yNf%0nb{2Hb5HrzuEw4;sEaS4IEUl73?dVUqXL6A?jq@ z;-MMP*8jz3K#r&8E-=?v537D4VnFRcy4P*Ddg`1#wcSAukc&)ROo)0z-i^7Op+3w1 zQPZV=b!*Zj1RC#D^E~x>`4p;_5B!q{1$w7)VIla&wGHk#eDO14vyjz4diO7sTyU8c zzSD+OyQ}W)GXQe>vzwENOJWaDHK68_YeLmw2X~55s~aD-1w48Ou+Ipb$9@@5oB5aJ zqSX?^9BBlecnxnke-!=$oFhOpj{knB0oUybR7$Tynu0Tcl`Yev43iB*$8dA2B>b1(cyyG|ai$-d ze84jUzBL7K=fx-W3{B?HAx0t1^ViTJ9+`B4qa$l+;Wf@2(|G?Ong=QQ6_TN~z+%Q0 z`nA0$vyBAC(ONfZIuoh`q-@hvR>%O|uo4{j7Xrk9Ps%lrGX8rF|1O_TlxR0Da`}wL zFo45)3Ve{RR-BH0q&m#8wmnl*yca4HvhFoJsn>ubl~D0l|MxHdC6-z$n3Ats0aL=C zD?cp1hLRI|`rRRc_EzM?i|%?V8c?OxWI#jlhRXb7cibZ(;$Jd-81kn`YxVE>vg)XJ zfK;AArLz3GxJ(!-m6s9rWRws}+qSPn5eD=@zK7efKHPmgkBq?oVV^%rwt{|NrVdER zMH9i)yw@coZX&t#Ya!I}x%qSGA}$=nDVH3!QjBT)-%Rh{+i?8Xh5khQM$Fd(Y#**L zP$s`<0QBDgnEyi}tJDI=Vib$|vFRJa2DU+&EFOc>TNchBut9um^S@WD%7H$IearUe~ zSUA6zX343775e+dV*K*y=ePry z;*hFhEEuD|IXbpxa8>!aZP_Wm?@bP^{H=LPu7@AM5n9`5AiCl)KH>PSe3Ku;|DlA9or}97|)U7 z_R!zZZq#81CQ45z$|Vj24k!)=`k7uQ^Ly+sHo5PQ-JH6q-RvzD=cbPRSt?XwIxudV` zN`~jr7eL?=E886{ zfbXjD0{+D(BSJ?bmn8_t>6WL${3e^hN)J)5$EG@BHLV?n| zsab5y@!H*FB|5<0gAt{-^S$tP5tl+R`U%hrg15h(q%KQp{-?TBx&HPcSn*l8KHnN5 z&AKKiYxp&7e-7((6Y0xccp|Si#BY0Q$A?-+)<*2|Yo6Zx^-R*Y)Zh8rcV6(Sc^V~j zj{WPr$fZVG3R~ez982qbrTjBZaqNX+;!jrTRkMM3!H`T`=O!kFVf)k1UtJFU1@4+k zy=5-7t4@lBw`09(fkM;^iSf7M_}a7DbB3rbO$uES-n>{gJ*i+Njp+ zkYGMBesJq*c7`fZGaR~@4MOg~tG_5;Tf#q&)k;|Rl6%T<|8~vB5>Lcz;<}Z!9golz zL(~y=g7w`9#;?#!9Z%auFZ6eT2~BMjg8;3Jz`U{1(}Z{Ok@EGw%#=)?K`nx1BdJdg(_*EOFed|lvB>aF{h zSM%N@X`0m58;&+@gSKNR0+r`)I;5^QT<3Vw(x}>zui)d{)q+<^c~bB(rU9inUohP@ z0_Hxwnew_vaYKcL_O5FVbo>4$DlxI9YHZm9Kp6`#JfBGkz31Yv20E?$oh6h-q2=3<(iIT9(X; z$?!&@1|J<;44VlXy!xB+TU}<&7rsidzZHB_`a$U}hbUBe{tk_{K9B-!9xIY44>KR; z4reN2*ULO?aOeTtXSEwIJFk~w=~QysC5W5E?yo8jU6&V*#@tpM+<+ta(qrl3PS$fZ zI-R(&X)D#^VDV-NUB7c&A#J3;iP3>YD9QXpWm8`4a1|^yWm9zMY1dW|U20s6GNcl9 zfvC~r(CvMRr*(dKJ+Y4asU(GYMvBbh7Hg^X8NIL-*SLP&uO-CZE=r;%JN^lkGd1CC z?zRdTv1Ke^aYkfP&LNrctv?a|3JzP5;1&7%l>!EJJLsX8D`>puS4r)g>B1e^4g4L8%&z8s^`q3jm-Z3Cw;(^ z7N--<@cQH8p1v}N^onh-J@AM{)k*u)+uzwFUSq^AU8N)qCnXU+z*BP;y?*+9?hK;Bq$SP23L*fZ%$}2h20uG%OMqKF ziR(IQ)Y^X=@tKaF^^c)+qn;}bRN^ynP*`A%g#~S#g<67mbuEL9H2YCL)b~&SS=SQf zpDf!mpR5*T5bGY%7*eZLc+{#^H?%y@6T<>FC4|Qy;BBZ0!0?qe$YB&_+pf7TGL-q&*q1pm%`K;dmm!-h1yO3x>^7M zrw*L$sb`AVm^m$pFkQ!wxOUwaa0J9nD$U2S$2BL;mqtkNLhxH|{x;`+ZxdrK+qEiWUY5Ds zT~VOZUvHp)CEm_KRg|1qY3(_pnA0h};%ctMP7lH7Y!DfKbM0i^MLCl$yoM-aFS})fRR_b?&$uqZ8>%Cbhy}dpUDv5xJCoOqm+Ik47hc zUtC})^mEHi@tx*S#Dln(Ce!}5f|qexR9`)x%br|Xu>i-6SqQIO9Hn=?QB;>xw!(} z16L|e6>l``fT2-~m`@^gVBYNM{uv}?1h1?_WxUjRI%;E*Q(BD4PhDNm>JHqAJe+>W z@caoO-zz`AOx&EXh{*1ww8Z~AXr9pHru?^pC~dtgty0?ltjMu%aN6 z%xOfVR$$omM~z!-N&8TgX{gzW6D=NWThU7xDVBhi7+Cfi?;G{0f&Dfw)Da_U`fe~- zm1I!nyNeKqF)i1p6JOzT?j{WL)?Mt&pyEGz{crb6U2(xsUNfZ9F`#l|QwoTcZJIT- zkNawTL~Udp?U(W(hi~}Is4%xblKP}1e|w5HEeZs4gk&XuUv>Wd#_QLCCa%?3<&`kg z^Af0KNPH5$80nYh%uUoZb}X>6R~J2XCRs$8=OLUtE|Zmq z4i^NNPyzh%wvrxq{LjI|vc{@Al}iIpk2#Yq+>KI?Bwt}ngmvJ?K%GNI1T%*aL)`Qm zsaN1%di76s15h!43}9ZFx2nh!lj;w9o7OJoaSan=3L)hk$~qQquebtJ_`^E ziX=f&fuIrrvS;aNdF0=D-1QW%nbqRI(ERrU_rg_h=~W!8J0bxK@kDPOIdOWmRqaCj2`;NB9ELTV+}M_l&3^Z8_8!Z zF0)r6R}hw|R?Rnlw-oi>1VV%`9%R0mHMpS^|7{2TF?iGak91?K7(f}y6Js2|dZBt{0e0b3eEu&kd92t-GGkb)@}bgW=hK4Dy#^wBq}%&M}FM%(SZk z0KzB0+m&Kq=|#gk0+Zr?gV5$d@jXp=YH1BC>$4z*Z)SJOzboWFYx^kp({vFVj7c93 z%?IYdulM`{@KjZ?x=ii!;2?#7H;wJLtf1{%Cf~4d> zmn;Tgwt<8?8)ezuPS?no2ugN}AXGE=6MM@Dp<8xGH76Z{*Y6BW8TBDC{a;lbJ~_bG z8}e&oPAu0C_9gtlkJjs4LNQs~cQMWn69WNhMQga@= zzvj^apI{K%F)u2->BGiv!c#S)ii4pG37yo-PE>taM9-=o7;CKmrmUjJlvw#kPrAKr)raWAu)|ZGsDIX=Z39|TO-XH_0S`5A4f|K_d!q$*h_Wh0wx{ahqZ6ts7qN7# z?kr`a()7=iaqx>fB-zTbRMiZtQ0N9b<#mlBN4SgFF{S)sMMNS0s}HFW&=K)LJ__29 z{Q~UGLh54|2o0(WHS4^m)7eUEIH@J7E8EpX*@fjL2Xrcir~1182aEhi5*1J>Pp0@T ze)xG3ay%869BM`OpvUXUXK8RoM?>&2#C#?p>xWDaezw&R=KB4Y*~gB+4%C8HX*UQJ z4+!RuC)HYP@BM&iQT5(&ZF}c+;ONJPA1wMaje(*xN2XJ}&4g&8dp(SgAm_I=lR5WOBQDGoy)z`&3pw>2bx#jL1m|%`0nU!-ph^ z-yaN`xTB2PCAVIn$2Aa01d@NEUlTd9wIBtW2-}JSM@nE;!~8tdq8a0R@?BD)qn_0# z+#><*CuJqQf)e^IFxS)I*F}%3W`qkiYNQ!55-WPFDuljj2Mj)e8t}nxsYw?~F2J&P zy%x3_nxXc5b&!yf5-QjRByWxkbK3wm-xIiVVL=u9;yp9k(TCWkH4=VXvLu$A;j7u> zZ^*(qQcm@y=DbD*CW!$|lDf_NJo>?-o)fw>v_T!=+_TEED-0mAKb$FlK+%Av+x))D z18tg*r(-;HjNtySm5+xIGXn4v*bdCNz`=$Oc(SB6vO(J*=(>k&=}aotp;Jw?jIeTr z9s)b;s?d(het*z=7GL(%-y{V}KX_SNUKll&;reck7i^E1+a0_im=|(j^NJOCO${70 zE*N_z0%lN;X3}C;LpT5!MS~VIViFS|oU2q~I%qDJS(Ma+jua9ewodSH5V%r)$37{7 zI878i9_?KZgFe2^3=6ED?QIr5V>wji@vS$cCGZaCrMrV(X`d zDZcdbCusPZM(wd%fuI#G7CTg=$;eO5Z)S)n3H=0vd@;oiHJUeCe(CsZCAGZMu>a(! z`l*7so|+nJ%#dDO(DXB?55YzJ5=Ig(I5WaC@A;QDt)cxoL?SZLHhwru{W{Qpf=K=< zTucsrQvFA9JrNg1jI69O6MCab9N1vQkQkk96s9odMW5P6(iO3R3o>gdd7#K!?sTYvdl|&?D|) zvUbC`Ymq**1@Y+ZLUn{Ab>T$5r;GRrTj+hmheQ4GNcAjHY>14alA+DC@s0)-Whgx{ z^jlel7|B%VBj)12Cw=kMFpa^&UXV~$&%mA|5lLf$6R4$jzr$93Bhbho5Ty}q_;i$k zp5}^4$3lWtlWX+Lbpef8LREKZ7jsY$GQNhTc-h~Bf0O*t7u@?gM9(a>N(%{xB@D@G z#B3nxNwwGFR*ibot>u9c-OV5yA4yW#_$b_8BVsrun+cg|nS24rT*4D>7lr577W;f@G~IYl3JH zC8?DqGJKnYLD8jR#J(e?8Bd5bQ}#YRz2jKn=%k)pr0=gX{hUpr-VVxKxU4Lxj-3x` zlL3O)(RBO7+db1&ksA{Ke0-=~cq)utUI&{JxiGnkpPnkd?gM$!kR*0sR-0K=RZ3T= zVSjs34?TSfjg^VssEk1tgNoTes&9;=*?_l{)Qs;fZ%$YWR~l91`OpmB_7~`Gt9t%E z{+wCEq)@uO{jBDoK4>@C=zD8=(y zw6)0k|u3eZpJz~OL(lcrD8Do zNe+Sw3L!oovK*DI(Q zc2pjWTXyn5{Uh#Vix?Dz;6J8oaoCY@@!X%fI|I<8LgFSdwu`)Y| zsDM^YcyCc6bN_Dxos{=6U@I*_jItlpc!9m@!4h27a=Ua^tMX>CF2so;yH^>y=NIkgiTSuLBMvz$yeEPP~Vbi__xLUGc7_g*x&<(4zcb(=Pq-q9J7 zJ6j{AoZ_FJLW*&cu-(Xt)g!aw{>mXaY%OBWH&}XNC<%{4$sV4@pdnbFP@5{9IE#D} z&9v8;e;Q6yYSU8tM52pGENdoMpIh>?lc8d}OHet%q$+Wmh_P1C z@M#fYM^#?zQ4bmu+pg#Uj=?V5)PSkcn1x^>iQi>_;4Od41d}!RxPvB)?={V4sQzWM z(`$UjuwtPPf=0G1^ua4PJ;GqWnMMwl9V`r{u+xHxq0f~ip`-QLa9W}gLZ7qA;JbL+8(r-%X}lu>zSj=e+X$1~nap5|!b-#rS+$j)O9$(@w}H#S%$;sPh&_CA8!XTx zQf}-6_7f?mB4qJ~XXkd;BlloAqZd1zJri)hUrTd^EW``^u1rJP&&bE^GUn~i5yMd& z(Q07Qp#QP`%kt0sQga-;rqjR=JfSZ3?64xC8cXZ9b1~ZVDAzYJL}o>^X6_^Q9UlhV zw{|;hJK6}D7}^rFmK>!l;IB3gvEpb<66?QzD4@YcF|7TX(s8O*g=O0tXH}M?kz7M# zJVQ&pK)}hb;?7}}8}epXeOyvJL!_99n|>g{n%W{lXYg}~R{4qYNoT1(QHBc4QR@8F z$8Q~Lo1@O4g+2Mn*kwi8IgC%1ojt$#n$(H3vBu=mwL;$nbJwyK+3Ol{B7`9C7~e=X zaIj!7nThlgL{}IY?6^~te)amjw&69=uc>n#odCM{keTqQbNYKpDoaxg$jPukQM9Y< zchg(t662>VZ?$D!ghMdin2C(Q7O&5I|5aUK6bUX{rPl!K`xXfkD64{)>rcpm;HEdR zcUad_++}OS1i!*snoi$RTt)VWR$o0gf4|MM&@b4zdFUZ5dKti!d5UCEgAh7gyxu4U z>w&?ha##`=1ZKz6B}ikia>k;*v;38?bMz|SAI3It!R)Q(xG!)-=wRz*VfMqdr&SvA zbBUX}y)XI??|xU;2wW~kXx>Z%wLYsts9x*)sEyP#?}t74_O~}$Y;9FeQ|?(gy@@L) zkb>z5ra5vr*f=$utxV607;%bTcTB2_p(w{t+U$0%{%kq?p?K){y_YwiwofC<#e5|RDrd@n0Cks4w&6$Ba1_C%^_Q545Tex@CAf285~_! zR%Ur*=5lLJU{)>Ue$_rwNmDnm<~3(e*aWUwUYBrUN5alt?Q z$V4L;K5F4pA%sX6N^s0j_*;tu?d!&jpN_^RXKaglaq{69J%?K#^usT58j+Ir!5BN& z{)vStT7Jow#7>+Fsw^wwd6hV*L6ii>!Zeo?HF}rLgYn&1YL1oDN<6hVQZEKB7hgDy zR-LVnzCKdsy~{cDGWzzzpJhdY7`p!Q$|Q@H?>Fw2ZR^Vz}IJ42~J3>qMY=R;BX6&FmOGtGcP9}HgkW{m(~ zKZp$$>`?Hq+$7a!4)*ZslF4iHYAfx2>|iM#Xv7=w=Qi5LV=C5`gX8RFxb_7Er?Q2Y z>kLc!fnuYCBaV&G^Q~Ogcw?@H5l+jLR`KT*n@lvOvCh5TvbxBOk#T zSKsc}lFNYVyjhyXx;+>_JoF%!d0>=Xw_kC`h%Z~2;_R|@7C=?xB9CH`dlm3YJAzMe zMDR7@9S>2DQp2I+9agDL%7xj^%z99OfqsQnHMS`-_Z+vC~&o`tF(U8MBzFtcqY! zvS%dFnt9w72;;EzE14-8N4pZRK@FzE&qyFZt;X(!@*A36_g(4U`pMbg-Z5PS8mgE= zcX2?Ygm*=8@Eb64O1q>oOteO_$?|u}jf&WV4XP8~029C|3C18$wj~hq@P63O%FD5u zB@&5Vvp=R5S^=iU_7V}JZSNy5SC!C<0gDx3NwMH1O;W5^?odzal=9^$4aX880GF#* z#r%{i`1MUsgatX%3k4X8jaTH4KM^PJ*mO=6B2_Gl3iP$W)@GunvP>KWCWq6-i^y() zt9)4(<;CT*NNVqcCK5MKAPpbquyZ}z`_d)7$n*>%`=G@^*4#KC;y z*Gzk;-b={RrILkWLE!0ewf*TO7beV+vSr;<{Q$=X^~l1R>>|Q;bF5w*LOb!x)SaD) zy{J^9nkT*FVfwG?Xps^6V*uQ*9KBRBlzp@iaC?L8qrQ<+7&r#)E^Wy=oI;g@Eeeqk4Gat~Q#Z>4A|Uok1ZE#CO7JoRO)2}S z7Vz+!L!vm%OLC6VQ)E({oP3d{B9Ef7`vnOe{Afp_nt*#;vy<#hYY`7?BVJ;VT1`SR z6%|rdd$4<0o`HEx977LdyD+(1SE(`V*^f%!=?Ojc^4B2<=v%^o>&zXSEvml6RR537 zdqvz7g3H8Te&@2$7n@Hg{l9^T(|L*7u-$_fClQ;q+a?KgOsZs8y;8k#4XBWGy0a#w zrR%PB7q6GX2nh}B0Z@rjii(^qe1!u7P$nfqYfg_Wcc#CSA;&onSbD`X7wR!cV|*k?Fp36# z{l_~(ns|CG7}J3Wz&E0p82{N;yS_{f&J4~N%Iv7Z5XAA zV;m;?`p5L5^~z-fbdhSAZ_8+mVTDuz%_zew98)ljI01{Sd{^L|4o>ULn2spd0HT?Z zp*HLYxbuzeZ0AK8t_60d!nRnC(-R+z7XH)mu?XI~$|HX_S>7Xu75Uri(_8^eaGLw} z3L5zldHA9`{>qg0ImuLmyIuQe20CVA`QFkQML)MF(8fir9vf>vRnJt!hGMR3h;T^Z zJacn-J8aLfRpOoTAEg_4qvO6Z<~8>Mvg--%)kLJTt9R}mMdvI^my2ogJ7jE{#mQBy z(m9o@nbuzMD-~sE`69({k^19eO5PnXD&#oB;$5xQSbxlRiMn*1tC1x>0hWIY#%CMp zi~b6(0z4E;6{TK*4RI}pU3ADLh6ZYv@6*AowLHS zp3tAIi3Z2ugJFRQtijYZKkFw(BLtCL76X{^^LIq4XPG8DYaX=Ww87jFC}Wa%N?2o- z8nF6h*f?juy?9ORT&W}r^vMU)sw;VCCW@0hC_kP zH+}(9x4xA?S{kc9yj`nXvfPO>O^a*QCTbGpVM4oHkrKGh;W-G^5pdqpBDud*7-_s& z2Aa8qFAAaZ5rMm3`MmBF_r%j^D`PY6Ye_0kZY?u?-Udmq3fIOfKbqa^G3D!(oh@K- z^qU-Wo}=+uW!j`c3c-CI?cs9T<-+~eA9=p*yx#Y02s^TGJytKNE?6NpLYT*0eaD&E z_N5Cd;k=rJSPs5f=kG}0y{N2V#~ovYN^2y#;HdWmv3eLJ1ZP5`uPde0RC4-Hi#!U- z%8(M(xw=YsU5me*9)Hcuy>eA3nj2L&2?d_hQnbz;q{n9P7pW+Ufb3w+ zC{dPJ%`jxToIEiWPuXZJ^06moCXs4fU(7^0Z6%I715m<)!v~&^rr-m&kO36S%K1HN zc8IaZ>~%*H@^HNxXT1a2uY>LCIXC=58_yl7QodtT_CxQZHQAudi+r8MTcak_+s+q4 z(wqf38qO+vks={fh&tU^O*uYQxU}HpV z$s{&ets%54F_||2vdUE>@K`(Q`|U{^trBo1H4@50!sXAr*Tt3xJq-)* z?V;VZO(<64w3tnYAB+=(Vxs+Ox>w^@UEWBEjNZVL|(mQf6gez@qLFvue__ zgPWuA6hYXD&s6ou9Ape-q%{p$Sifo1kivGKbe84MjJnRUQMK~GR&w)RrN@cdU9G8% zkzIG|=)_u%ibHc+z?Hi~6sf24SFB&X97(e1F7JB@*e>dcHoKyabnnh6kr_wBc=e&s0Vp$1KyI$vc=yg=O`L!hnH?%Rr`xN~uHVJ4+ zL$c&>)l9sl?!kDLHcF?;ZVrYdq#I=EPk!D9$E-Oxv3c*6J`I;nuc<(B-q}{#V-GZV zRW$1Y^`dRua zI5I$!mNO^d>!toHbjo!0^(gjr`B}Uc+Q`LrLq268uXCo*5+I0UWc?Km8G{4u)=@QRK>jZD3fi4KgG|9cure zk{jG7{wl?gLbfLkY{C|zXs(cTqt5c zW}ILd2*;?6c3n=Oy?Ht+9%y0}6cn~cyD$U2^xwj7P&;~(+#nOCg%o=K8;wYO^M67k zLf36`!0%VYY!1)2J>|raJI1~2GFF~i{cUw3+>om~21fK>frNhj#BuiV^LTVoIiK3X z#}nSK$R!^p?JIhr-gV~nac@PxSq=MpXx0TvJlm--71CvbYxg8x*Q99`T}|phMs>u29Iul}ym;!XBdt7UqT;3W&VrVX{2_f8`hvzbL0;dflj>J&Tg3Jf$6SdNzVF{{Eg>i)+{$o$#%aH zXG}1s%r{Q6^Sd}$LMys1^K7f_b6M!s?u?^%#PGOYq!JBft@qXz7izy4pJz;x27gtw zN+-wqSlQBc_R&cdU?>;Q5z3(@2nqr!cb_QNOhrM8^?*<|Vtg-nMW`L$TmOj7!2r$^ zPW214DlP#5_*)t!*yw}qi~mYOI>Obp)_{a)pJvQi-}v=kH1F?D034+4ZvNX}!}A_X ze@cD+5Poj9GQFPauU~ST#>WeKQNX*E+WHl&N=qLrbRiu4blg_LBQkem28FhEwCoRB_#|^XxvWmQU_iuZ!uxUyvx5 zX47Z;ZO)T3W7x>z77I18TuK6gyo0 z3{B71s^Ww^Ac=m@VdO`%N4|%qKVX3W@$R{xE|(aSG*M{dZ>Pm0o2`4!|?F9^9(u%_`d|6WZ+!K8EY?PX(L5a zFY0AugZbU`wcj05Kyi>6d|<0H08!52qdx@((G7ceB_o-Unz0H5Sbt31FydRHImvupF4va^CJT>CkNb6|b!e`e5JaK+DE~-yRYjOyo`OKPgeQGMinF7nq!%{=rAm z2zl1tg(~)QZ~Lo>_}wGMTZE8x_`H*|+H3Mf-$Si09L%`0H7rzOTVlSm-rgpC;iTWu zfM1IzHMPi@TyDE92T`JkbqlP3?3_?QtLYZw7XcROF1#?%U+C6&%Ek~Nc(DC7EEhF8 zWTjQ~VI_oqMF}Pg4s#4Q56#1o9)RY4-B!l``flqgu%iqIJrNZv(1F8Q-$i4=pPDdR zVt^m50@8|fDBWFxq=blccXv0agrszbQc6pANk~g~cXz|) zTN~8FImi3C&-t$RdH?u6uIqT%Ywf+}8f(mvzd7bup&`hXLYLG!#l3!o>;rsRih8+@ zOmMl#-f2E+4Bm-ctAP4FT$w`eu6qag7OeabG#KP3hS9+Ol0mholN zeeBEn4STOxz30gCkU$ZE0M2eV3!8Po$wW71{o99^lQcfRLG)_dZRYqMV5_tORryCc z($Btuhrb1pPMDOKAyIUH;p&~~F2IugrXR5bm=Q-{4`qds1qpF_tsLu;vsdLGgH5xnjr)o-PBgW8Dy zsPFpAfaCd(^!hflFCOXTly%Mc$_#f~y%|EMV0qOFG>ngaF5)TkPE_H||B+8hK$%k2 zj*I(hf_f%cH(0*5&nw?0(mZlnqd_ zFW2-;9<&V0X(}(zRSxd<^Z54_XTOTEe$}qE_5ihB3U&~V2p(XAq-aTB>N2@aGOU_ET)ry1y)d5Ug08NDZuIRLugT!h$66-K| zT)yHO66-_Yjjd~Bp{DQLdmUvIS6Z0TvoKEYbT(l=pPDP0l1Bh)o-memIvBv3kpI|z z#00owL^{)gk;TcgFq&S0NpxWD5&T?iHD{?;JPrj)Gvqoo*mN2t*@5mBwGOFUUpUkE zTVdji(E%#f7h}%(@K0M(lnAE^Rl_43Ejyl2xb_eLvQ3r?)vO4Zi7&!5MhW2Oix8;m zH9Z9k8D}<~nqM#3N9SnjlN|Z^zzIES<*mJa-x_;?Bg-P<58p(W*3avxKHFmnG9(qN zg{s2{+|%gkS)bMclLo}ZN;-Fix(MG)@cm}0@2a` zA4Y{kHSmPO(8-T-J5zsN=Oi5ywU=r}J&9+~V1# zN7xIoxJC6isk+(#nPilQq^$-nf%5@Z0XXneYTP46~(ICIcDeE+hg3RJ^;t0i_Ht= z!93Y-tkWUxS}(fbD6Jd`OtvfBss8lN3y36?&>-bv*8#I@S@g}AP9o7KU*=>9tOatX z-==ro(W!7s1_Ar94t5f7mBEuoWW^V>JxDXk4dpgQBN+UTV}x;U9B@+tS#{ zp2Gn*0oN!<-9a*lD!fak%5eA_N)x+IBgdVM%tQP2kHtZ|%i!CEv0b}e{0O%dgv&ne zB=?lP+dsXZ4I~7+Psq0O4 zf+!Md;kY9WADQXMq%%$CbIA-{)e3t(Ak^`(Tqsn1q?y^l7N7qSm?1q0_mK(ZICwaK zJ@ulJG;BHoCW#I@vt{ukrZOxzTz-hZH3~K-&#aeBHp4D;bVTUhVOInv*8na_;6zyc ze1@vH!V#QieEv-Q?ZbYjN?WPX=HorN&+F2y3B3SK2ts}UO(PN}iEJL#jzMN`N4Qgm zt_#B~RNc8u!szF9Srn_8rSkx@(-X6kU^DTWYEXr0NDdoNs_8 z%o+d?A~@(uSLqMY+XSX&r@dhrHTb#qrKWIOmbw+sEq^(9Y_XSyCMXApTD>GPAZnY4 zrMVNcMSdgPo#{2AfQ%kolTlkRHGBO|&P06&PZ~Q7s$%jzlN;HA>A|Cc-N@Y;lQ1dq zjY1Wo0a}bro^IJef2Fos1@NIiiK6bpWg17^y5 z$k0mS4^zg1na&51zMqlT)B7B3PV`iV?M>5*mHIp0B}Y=z#Mn)r`pEl>%$5{c>=mOJ zR&aym*k-^lGH0^1JD|d1_JkyBz|gj_Hc$toh-gd5?m}qEr&o&jV;aYJly>&yox)fh zzxpyx0G6gH(s3sfY-!w2SGZIwKCRC>pJW1T68L_NP3gs5alC3(%`AKXXVODVS2J@Xm&T$X3PK62IP($(U|8N zAXreb&M1H76R&D$(@D-s{}A6JWh=1R`K4()|4P%U0ucj$(P3oS+$EU?t$I{DLH?Ay zpO}!8;CnnTDCTXc5tuj421WRP=j5yyI6X31NW(yVHgX`mqf^ayw%E?|hg;g@prqY&Y$Vp?kz6MOZ zy`K6)k)Iv(r*-egdH^v5#UpXOfLppG=F@sdq6;yFE+Q|Qa>D3sttWs0Rbmz7J#5mksZlgNc$6hi*GHb6k^G0}~+=Jk+ z=LIB^K}ACEl3B8ZXSo2KbN)#7OIjlM9-aJ-vTQ#cz zUZ4s}!#f^ON^q1pGF>;yRzs}G-fmjR&4VH$I+H2R#ALZs$ny%ovG5RQLp74a{4lM6 z9Y&aMq`#OWZs!~9rzRW3LYAX`Q|q{WkD3*jVO~L+I&k;{nAQZ`anw&-fP4Qg(;}5l2w2?uue^{S^CW!K>gb}h;kF!rqUE-W>!4mm(iW?O>g+2qcC z**70x@tFTBF<7+>@0pv|0XF*%y(8RTRop#Cw0I^%b-2yiqVWj@P;>0C4tO@hQv&$7 zUPl|BZ01GlAMWh7p_7#bIkCB7E<6QS)f^B_xGaPREZQ1Y$c)DUJ9c{=%*u9ifR{`A z#m5fTfKK#yP8Xau5NkXN@`~0Mf&%3!wi%q`@gCPC7tliWJoXr4Svg65Q!n5`O|Ll@ z((s-3lGQPHaFoqYp3S-YtG@oBa~p|N4vtw|qQJI=aN|aqs{F|18DD8x0N4Z^>>#z_ zDQhB#90)K7tgl6VU{U!Fn2CTFe+hwHD)CEeTCF&W#>q81fsQL@AMiloYR7urO{d_k zshB*VzFg2qF4x7`uGI18#N%Zp(&`87umI)@(V?@B~#$fcf0JtxaHhGfWd6RmGZ?FXvRy2<=h>`ww@j4jXws z9)9OX656&J%&plDD9OwYIHO{I*MIQhl_}!ISVBW@lpt8HLB*6rdL`WW$NWB{_bs@H zE={gy*$UX?Hhxm_|0}PnaxnTU0Sm{3z^&o`8)hG053&CdvjO74%T}9iryL(0fCVd% zHLzLxP<_|4YZdBzSTFIeN>LGtoUrCyq0OfK)$X1OR}nsgHQp=YUv006R;zS;Hf^h( zTLqiNglMoSdDs3|LlX58OQk>a2?EbkAQ%SB^zH~?P5KV_!x+-{SCExVI+p>#y^Kry z4i;u!2?Gfgx~!vP3t+8J+bJGidd_kO+r4U#8K~oQ64VKFE4t{IL=)P4wCOB&))?!y z1>=ScYE2l4S%=^M5Rr@tvR1_b@wLdTH1|Frdk?nb&aX-{kaQW|1}&NBusi^Bnw@>A z0TXZ~@lm1aLjv%7ir%?%sq;5cU0B_O@o z9mIv@*Q{(uSVbQt+oMa<%(8!o1wV@u zybJv*-SW1vB;Q*?@S|ivJc0vkAr8t)Vgpmx|fa}90*+ksy*LP}@sT!#s3l;pc z8Q1t1W;|9&XcLG-(W@uUJW4=kG-t_6lb)N?gT|t#&(%(L!VfDK`-9GEpR1mV8`|kS z-zdkiWF#a&A{O7wbBwG&@+Ld{W$IRzc&8 zA?aT^1Zz8y9OSyzv1xAv+nd;}WMVsu0Ch1Vcr5Ulgbs;-OY!FwRNj2sZ4& z1m`4d75m-e@`+;zEL*#dd>n;f&uS-ZQ%C?zN?`HD6V$T5W=jP?DhiHMD>Qr(&aQamNL`2_4v0 zKR;S?jwjE-cX(-os=bS-tnOZ#9)tfy)6)S|aAE{)MDPOh?NWlked=ig1R#zRr9ccA z`R#8E5r5(j#OGn2iTD5v0-z*7PGE#tfXDh@1qk>YX0V*o_GS5CMJIiwc&Rt1lRvd z6as9&Yc3H{aNJi6Afoc=lFz&+4kV6Mu7q)Fxtq&lBpHB*{zAd8kd^IU*t&;-2?Een zp5WO&zd}R-6FUM%ME`)v{u=bBnwMS6JZb@aC0C{Iz=Hc+21t4Guctg<2qXze!ykSC zvLxGUS<<41>b;;!QWhxb0$MVzffJK2;hyuSwPm# zZ|0PK_BY#{h6X@_|K|V(tbfY;H|au$kcL4acF=#J;8zqk-~KP6I95jt>^+Q252bX8 zM%zIEo0I`G`u`g6^tJmpyk}vXy=dKi1aXQF^!BKCV<|g!Y*ecx`~k}v)-SKk>McTu z;L{R8m}WShv8Af^4^qhY7ks4TSGNEv20LN<1_30NE3@%jxoZiiFU9q7FK894%E*<= z%g`%I8mV@rz!S=CB+<6Yjm#t| zQ$frk#pxh)8^YXcZ$CDKNPu@blKOS$)~A2F^J+S4SSpIS?C>YK{e5`Z{Va@Eql0Gi z0PZ^?qM!=`8@9HTX^la!A;%ri&8}NEqsohLdO41s>5**rlCrTyhTqXA=#kh1m56( zX+ZyXHu%F_tey>k4JXfenV+E2&}k&-jK@27_sx`{5SY!Gs0t&w$a*y}q_pOH_c$@_ zXfBuc!E2INd!a9vC$EYb1dvP3ZwqyWuUj(vwE(6;&3dm>cQApa2QZ7i$t6B7-ISH? z3I)afzJ&vL#M%U4YxuU@**$)+kZ}i2d{(Xj!(2F3T$uf{j(XgfLCK4|%JS&{i3nOP zVD;B~yFg-oVmg(e^+$?_8BK&U;04_4<0#<>62mNvX1R)_B zF7y#(eel!A6a@d~sV`ST!9zjZ1_AWqMC>M%SZ(bL?s;!GB^(uO*-YS^tx<#9bR@u< zz^-`$>piNpm-Ris%JJ1Y>YoVV6$RP{1ua@iP%Hy&7nrIJ&G!NwW{yRy&-b3`gNuaB z_YPhM6aVR&KaKPf;6SsrOay}*c&qqwm3OjT`zgV{BVaQsr`2*{PT2fkcSD-=Ts+r{|~|W z#lj!_cygNuc&SAfXqqe0?eV$e96}9AgphuEBv2SeuE7|0*^lA}W90u8V_b2n4ln#9 z$9DQMdveuB-_4_pET?{#$Q8x9O9lzO6M(Eoj*Tuy(Cct9gU?<75Th>-GzO&<+p;Ygf!^I%qHRO*; zUFpnZfF#XKc+nWcbn=;6`IE)ojD#9UT|-18$9!KgAGAM%;B6m5`*b=b_|aSI--^ss zqC4j+Z?B>eM!^wwdFs>ve(&Y8$A6M&cRh&3^(C(JxzrCT=s1}hjHa%vF>3J~vImtP zO$v+802@85hpS4~Wz$x-++Ku$X?m|s-p$4cC6hXu8ZOixXy2ads`e(pI`m#c2W81V zwVrYi)%4(dN$gh_ULI;Je&g;X?V zFVvo{>|%$?r;=U1PSaQ1-Ay=a&Yw)nIVn}b(R+{m&xdAGy60NsXjj4-oezj)(s zuVyz+Dv!jz+ri6FAUZG0!>QU>ll7V{f{`OtF&u4lV9GGs!jOMi|EW;QK2PL56$+Y84?4w&WvW0CqC}2ICGGX>G17#jNl~h&QXhDE3S~@ zs-?Ed)lSYQSaz#RUEi~PWVKh0gd}Ju$>F$tCrWX$)MGrCj7vmbut|i4%d;^4cUIn` z4B1C=u(2P5#z2aKAQq#j=f146IcXDi_GWmU0+!0384Za3@>1hu_0TZ;Y=r2dO!PfP z%sje+y~V+%-M0SD-Bz-d9W$WO;MZO+^yKvKzB-nv$NU_lx-7}dOFI09pk!e0cTc!H zuyw=1vr!wbl|w|n+||JBp60oT*zu`*Cf?|l(5=KXU!X!e$z{D*>4fLD!!A{1rdyd& zglIVvS-usv20kR%jXb$K@>470xIB(hRK|3-LokZ&=L3&HrO^mwL?`i}Iz4hvwD6^} zQVgIX*Bu&dc&#!{RYfk(UTd?O(;-de1@Z4g?WG4zWBwhq4+_fs^0qo9`eYZTe9l#P<``Kk0*u69jK9V2jp z=6t25a(Bn2WYXdIbaF3;Wqh}~WXx)E)osVE^0cHiP<3$@jk{LHnydWiH5b}!g}ajJ z`dVdr%}HeAt69y(zByDKyqMLrUZIiAPkz`bjt9|Q1H^`YFjL zuAwzoSZs(hqprEc+0gdzyDk`KLUxWUJrhKLN8_p|{uM!i;QTq|Fu{VUF$gHrb9oX# zZyhJFB3yFgUIV@3uZ28GA@0EP#s?wpZ6Y(5!^Xj?%}uw-)7e40v6I=7s%21#vcn?a znJx%~Xw8CUPkIbMeZZZVS>(-F?$6L24p&PXFtizF(Bo-u4;i=>L%<%gbk*aR0^^uHuh+if&v8* zB)N~+mQm9n+>D4$gN&A&9(r#g5B>e=z>~`Uw@LNe^~Li3W*3ioRc-fEZifQgt%Nq0 zCtX2-PPk_WgQk_0n`a=Tce#g6EH%5po3-o;gS*RAv?iq4GyFEWiuMfk?QTz-MQ4lW zAV5izK76_6n;x3j_uXqXjbSj9(je-y)nw0bg$T>AVMz1zXRgS0RzapmgcrvVOg-o{ zI}DDVS{?1Z0d;n}Q_0TD(HjGu;9~H1-@*OdfZAFpB9WhzMIm?`>8mK4Y=2g2P9)AM zRi|3%Z^C*m$FlN^29sWRuyOV+oO+B3m<@vde;O~jBskW8^P_#=7!TG%6cVU>Ea@&_hR=#^=y;p z2zc-Hu@lcxP_q4El^m$?;tVsI=YIJKP4F_7l%R7WRxgyXa$bkfr?gnd=rU4-Zx6<; zq3}crZN$>&67l*%W$Rm9549rQ+Tq2#8!l{nCwpTHhPx>!Nzdb^zQrJ6lIn#qtvsq? zHQ$#*F$=5-W;$|oI;u?IMgX0%S3NY?3&F4 z4;9-+)c(<$^clM@?NiiAhe7PpT{wg-y9b*%PaZENc1fEZK}NpEIITT-C!Ap<+~<@;*@lSqpRG0X|m%Y_+=Z%5VV}jj|n2N4YFDNyq9jnj1ikx*bXtN)2o(>5A&Q0Ee z>GtXh#y5sxwG=FVwf0J&Y1vZFHO8X@XpnBiW`H z-HWafvx^@V>{<6#F8w~UlpTTQrl9l9ewPXy8O+Z}x!UA~0Al)B)5+?Dg~$2082wG; zq_aWM!reg7K#0}5+aqA z!F9o^Zg4d#wBG?nZF2y|sacdWtiFnNWyy1H21B8So=#<2TPQa#3BZz1Unwg09HnG- zkwfR^B`!roP9AI(ePF>XQGWry?HR=DMR3A%{;@~0e{CuIIQL#j8#YES-m3fA2`;Op ze7Sr7%jAoSo!}bF^{3lAuN`9UASI5BmWHmP!x(LjFq|(U7ZmP~$Dl4FZ^L_#0s)}- z5!TiI4R9DZhWz~kWm?yO61+L-7v%7qcxtj6A)yfu6nkO4zIf5Xk?Xv*(RNsKUYBUU z6fAiL+P(NN(T2Zs1i9ySvc9nN$ZZ}bhR$M7k;kND6y8We`yKjwETt|o$a={JF`Sp z)kke`!Rq*&-Q)o1eEVnf^K)FBcVIB4GIk?UNijX*0=uG0Y=sRjP}PK(g1=3%~|HWkFAr2sHYj^li0Y*=-1p!cEkotZ(3D8gQKwlo~ zPjUA(@PJkg_;vIy9{}2cQ6q~W5km>>Y8(IX3UDXF6;^q~|8%7}F8B)lnD?TveMdr* zyA6q+G}wHzNsxvTbKvHctZV>1p?J3u{t$$}FsU;LVD3|sutw6{?I19Q<bb!4MV!9)GWZbA z|34AJD+#nEo;>dtSqm>nv~K5l!0y;RID7g5&OaX3te*dJjFXGtaSHjK|42?7Fh>9a zhXtVpLJ6Eeb+ZL}0x#lmpoqc1u7v{}vcw}la3u2h*~$NJqPhgfYQD$r+vM8e(A++1YxxoqwgHS z{|)TUtYPuVBBK?$_~dG5Z%?Hl4^534~qYBKY^S3{qt79 zzcyz4(IxkWpZ{mO4&U(ehM)hvU-ymv{cm;szR|xM{kze>8~bu&U;e?XA#UQqv77wi zU%X1>MqX~@<=?z|=0;v_^L#82Oe~?V*B?@4~ahytw}H;b7Lt(lBu!4TRblo(jnB zVhz)5u4wRxUO*b)9JL&qjV;h#SNn+W@4WpBtN+7crEb4q7wHFAl%pBY(oW5(UX49b zZdFs{lbC$NWV^D~&jr#}Oe9}}TK+BPe@xRn6EKm?aQl9>n1@1<7vnsqjSn5xnX1LQ z!(<*-waG}t$H!zo!FCnl;4bx0~Gs@l8@l69br;=m2 zveu_8-m;~Y|8F_}W11W~G6E9B`OXQq8qd4u-4454w*^(50%)Web@~N2EyJbt(iaRx zz3@W+qmcgx&i~Me8x{C(8gZin|HU-jsK9@53O8QhKltCDrs>8D{1@+h;|2apTyzr% z{g(jsCJ_2-@c+~J+yp}Z#rXV89Bu-kn?UF$9llA2|0kH@CKtHL1#S?b8${@T!boq@ z;hS{$1{A$n7x>+Z%+I9k1`+x%N!bk|^#6TCXn%6){1#$)C=R@iskW81rH+OP_>-BY zAv_%|BR(xY_!BcL3lrTRrX{e)R zjZY(OqiKD4M#Mxz5BNgPKpXfqJp&6PK8>)Bfu6oKJ|hz=JPp5@v6-c`xrP?-n`b(< z23k5omKqNDG$N+fI+o^U#v0Z-_|}#-z_)w`)>bccEcwk$%*{-7Os(-*@o5ANjDep4 zf5E?drlV!1tpm@^4gceD>~F7sr@MXY)~)&^&}Th3NgrN~TKC&`pb@cX)o(uBFyTfL zZnWS=3vRUFMhkAV;6@8>wBSYyZnWS=3vRUFMhkAV;6@8>wBUb73##wj*@KG9`5o*A zhSI>W8zT!d(@)fm;Tq<4g|WQ=05vOo8gU(M0}VbidweBY;3v#<^!O}n^eXrOqGodi zjy*Tfw!&A!r@zGK=)vQ>#Ag6QcrSq)Tpls8UH)OAyZpm?^%J(MlPdTCkoF4@1pgjP z85sGyqJm$;TEo~(51;0WoU3bTWOXd949raNY3QgKuMYqw;?LI$ngLY)0TaFgFutpS z`82F_uD%o(d@e34Od;)HqG@I<{tAHkfNP%VSZP@rm|L4!0yrh>CE+vzrU3G1UmR8pM`Wlw_bj(ZuY^ibm=n|C#m;}$rOpE{LCk7AwcZ&bG`xP}3 z8YTcV`FBE%HT10T8R)Nm#P?&CsOafw@#*O37y#6imPrMkMg(A?f!0$~J!2ihF`47~Tl?^~prLA>LWWkrnU!8?#W&sYwHSDioRsg{Lacck| z)u9JQyqeSNGYUTQ^Z9;axpZJj0*0BdM5BVe+OB?Q~L{K^~AjU>Xpn%#bGte z<~G?K!ky&pG%{pFpP1u2kayaX*xuoPV$f&$>Yns2&zCr}D2_8NK%`*4yLhB9pNC7y zjCSM$RqBXqr@|G8S@WAP#TV9~SrcL?s;L0dW4aP?@4PZ{;m+Fw9EM+Pa58NFb|bC|{zsUrHa=j4!Y z{5~<^LJJ25pl-lf0?FfOCq#xqyNK0eg`fULyPn+2S-~BZeJ0k&&aRrK|yUGuepf zz?@Dz=lcX3_|v*EY=zP1dfFy+s&A_vT2Mzi;nQRil_XcqRtK8Y8w-mIya@@_R`PKM zwT6U6g+&c}AhT)4Qb4IjLU^a}W}@jwK(wXs7NJFvK&6S^&P4kb0U@1&#-_mBHalm} zCL5s>O8M;-G#g1uVxfWqQ@R3P1(+hC=$m``bc!f3 z6wQ$j;v;`F8;{10A2$_Vrq#8{tFV`Y)^R z!}jwUKJN?P#p=724vlCyqHR)AFp>HwYHdK*oHuce)SDdII2MO0<7+azVxCjsqj3`h zMYGV4kS2HHKIl_6_s$v37L*7)e4(@!BVI&N2d_0QITXuU!B+&M5t>|wKBjH-9d5yCd1 zpx(D0zO(-Ibe4s%gnxd|#*;7F)uIVO`R&3IFDdHEHN--Y6}s?*t+MiW10xVu_zO#T z*o?gTO`x`!qo^m~6^6*ZsJw?uO7uNo&?s?LtwinHGCY4~N*J-!)*Xn-t@_PtlmH3g zK)m#pw+MG%{d-qL=c$i&PYHVP-cEi+c80dqZ@S-wAN#Z$&l?6Grnr_nib8wojyXkK zC@KMZ8ZX`QEmW~uyDro@$T0|sv1G`Fy7Rny&Fm=`ybJi=yp&^JBX)IK=2##^w>Q>f z7UYPi@6M7gZf7LnnhZg{*@~8bvf;kHWn_O()tzN(Q=5EPvRYeh_0EaZIbJC_QrcHa z`Fr9+xUb2iHrS3(Wrlkly{bjrRNatBKkUPF@3Ksp^w|VI)_$_xt*0P)GLN0!0I9zns_ZaZDp)CjpHov7^S;eJ|xKGLGgV} zB7|@EEny5uR$Fj);NgP5&YA3x90zu$6f7y1`%tH47lzt)-|E+YkNZJyiKn|&b3uJ| zICtpj0jX1v%Qx=23^javPnmjXjmRW8JOs?Qn{V@AKl++vETJC;aH89KMXs_rzMDwd z?7K76so|f?Z)S;)dH%UE>qMPIR)VZpO?|a)$V4E>_A4qD2X2)dK4h*&c=fwu7ns00 zvZcv%^9Qc(&#`beCLuKmJhj=I4t42~l!z8S#~PDXr37*MTHFh{ZOlIh2{gb%ihRjZ z6>r<5e`C>5RepGHh5N6!U*umHz%NHWDQAcBfb+4`h`R(ml zWmSgv>kVSBw>Wm7P~~a3L{%6A?}a*fA_-ILCWW~V!5B>|6`Io@zwab%iwa`YJli0l zXt6w^ifu^HM40+i8qrsv*n_xVk6TJv4W-&Gd5VpGZv0mHBg}`pCrj}}G)t!R_f}e5 zPbg*E$6vrZ@IfQ?meu38q&e*Q>=D8}jn>w*Quq?*Gvs=}7NbN%7D7|kCNX===BZ~y z=YlZ_r`G~!fTGA!ugVu)@xgx$iL4IcW31yh;_}2X_I#Z=nl(BX8jcYrs`AIXAT&V{}cZ9HlA1M;bsRq&qqXS&taso!4QBj6my( zXE;rZX6cOL&ek{7@L=NE^css>d$O{RL9$xj5=zg|(@UBrA&i|Er!9dKiHwK&kmxz7 zlzJGi2Y>d#W5qxrRLj2OT?Doq$Ka_duc>Y{Vws@uZnWx7zbv{C<}l@BR1u|TJgGVYPVO5!yJ#_5G$yuSmy-RmG;gv-y!)WPEJq>M zV493|fE4BvO6d4U>&c)L*4K?4Gh(`+)yEXeni#lpX z-jua$8ReBW_ap{uJnWO?^WxuTDShQ9oA9~3>OiCpZHs1uEzDH9r`Zx7;q^j_u=<7$MMfG+Ie0(>oE3@r}B!7G5IJfC#rIhcJ(K9Y{(%jH)#a^F7_$-BNMOSVb zl+W;1zRVHWN8+AP;{=KyY-7;lwlH9du#|EuN@2g6ec6KCgdI+TL&+}bM6W~FZ(V~? zLQ%E)yt8+%7;-r5E4MPXf)zu28jtuv3L_> zXZ9o^rz$cyEeHwPWi{UCVz@Aq60WJ0!|v_Zkzy&-H0t~AGpyKxOe*a}Ty^&R@V(KmSLx>}bbn#Lr7x9}4q@ZeXfL|~^QC%2r;#PpCnNc>I7B|8?LYHKs;7hTUeKwYkIGb7jSB}p1T|{5M z2CZSIp$9t*nkWV}^wWa&jz4@a{uz;oO z>#yfya;a)sXLZ;v5OrY?ep=NV{LPYFL|eWI?6EG9#nNe@`z2I_bAr+OxZz@XrO!;> z7bdI&qT;7hJDS1M*8bEaaT zXTqnar3ErrS_ZcNn7p$6Id=sn^Lp+I{_5A z$d5dh4lKbhuLBF0XMR5GuRQh=<+zr|GJ~JzzofAgPsqh}rquO;Cg$qGo#K@0x9&7S z;**3YNJm4@m)tVQ_U~&zgH4=9de7W;3!Zmg+`Uj#&{PB}4DWUdo8?ZZ#tsuNx00zQ zRG+gK2X8f>s-`LVt8-{%ypqaW-;~2&pUvF&arC%_pDpzw4Bm?7JNJU756=A-SZxM6 z6P-K_*2db-+6MgEq^?`{@7$Kf$7|5#usYB{baLUW>5#i;a4)a#WAln4e$%jfre@8+ zg*c}A6fC4A+q9#{$AE;;12aKCuXOYNA&W-W~xSFpodN z&V5xTXvK$rd3Posf`Ard$O9e{9ZJRnlIRY?S3IbNJ8n4g*sctZ^KfouKtKpW6MS-I zhUSKbMncjPlGHP4{@C7tGl_glT#C#0car$CIE;ao{trpK1~U91i2nuldCB+use~-W zD+3c9D+wLDOFZX=^zZN=x}UXY*G$h9vh|-#4=W&#zf2D^peRg$K+`kP!h`=ZGBRG8 zqrZOsdCWpjfBAdxF#{mAVABQu$H2;V{ru%v;NO71yZp;Ud-XjNGd>IW9Pk(X4?M=@ zIKcPdzu@t~*Dy1)06-lr_!v-*tMlM<;D6U?0Doo$?)@j=itaj8_e&vu0A>G82UJ4q z3`cp<1P)Jp71I2szUXRm;6oA+ObLvWSV4N&VC3-ilCBDu$%gW@4KU$wyT{d!& zFx5#7I*r(OE#rE*Z`Ry38is=^^`$zf&)=k6%qDcfku^1IubO;vB7^eoy4!OLyIfXV zJf&S58S)9tFRiVdFz*gyC9rsBTsAyWh_e>zA&Aup#@r#D@0&h_Af3KPtd7j>0cre} zNw{)SF_TDGzUcFV_wGg}rcdkJA8J6r6?r`p=Iy|-$AteBeJ2M#+mIj!K9l|NREGNl z`l$ySuayWa;fvTG<72k#SD4N9(0uCDJmx)(~}pKlJ~8s`IM6T6EyTdw3gJ$XcRy$ z>)q~N^t(7USe+dtIj?-xVUtiMX&btpu?)Y@e^R;D%lg@49_cYWoX+o*`X>s?ME6G- z=%v>EG`W9h_3xq4|3R7m>S*AVsF;{p0Y^j20yr8*<|{`7l!E>iC1qu!yAF~9ME&7t z;F-V%|Cgir42@$Y(Ysc*`30*5!#fpMg8y$hXed_a9@q z@*;%c89aaTy(gsx!jo^LP<%OL$g$dP4pKjH(Sc`k@qG2%Ib(Y~vdn6eZ85=WBYNA* zBOueq1M$8`imY-`R?w%K{8N;%Jk4G&UTP{gQ6J~sm1-ur`JShJZL5mCPU|bmgqp#Es2t=>n%)l3>OSbL@)J?>a9LE1`ol5 z6o;~B-{u>&h@Mjgxb>IW7|xnq6fZ&HI;<{~ICUChRft!M*%5>|7ID+?ay((3@MR;= zV?LWr-_cooZvW&$MzV_t!ILL{GnjA&6NDevfOS^if?^WPL*(qp`*@#4yaZu06n9!H zU+Ksl#~byXKMwX6(o*h*0`r))Pg}8fva@A?XzwH6U7;Bg86o>R;SVJ%F!g3b+%Lt> zzOi!8Y<(iB!HuTVB7DihhROCqQPsxTF=5MSM~Id5Op#S$rc4%Q23;mSE%yCW&)oY6 zsST0`u$#e*I7Gf%8y>=0hKE-5K~kWMEqH~`%uYHD+Sz>GJZK4o%$yS%)8C)9e7K`) zgdSb3dIYVjiw2@AMKw>KdX_I??{DlidbwVKl zWA|857)~K~a_6eIO3k6*KCGM6k2eIGSC~gWaD~wz=$RC(Mw?9V};rI>e zF%ted?+NJL7WbUf`)W)B+zE!@31Z_{qb5xClwp*ho%Kz6+;SY2Kv`5_YPxQr8RDvRcdSws7{Pjp4F&nK&|W$Y|- zD`5yMNu75;S>0I~b5{_#eFu%z>ogk%C%gIa!0ZOuRN`x`Pc^vvgUQ@oHP|4DQZ7ys zXQ*BI*HR{^Iau#bZyOuk>!Xknmqf^<>-Wt&aj*Df*u40}1sA5FA7*di-S_&{oR_OP z>`5#;V=^%CewGA=Ds1DFS@?rr>0aLAfsng12tfuT=VTjUn zz~?iDp_9ZX!bi1YJYV4EQ>29MoklYEh$tP1O%hTz--UC=&OtIIi}OiW@jY`l#~ypx z-u9BSR_7bp7TinvF}emx{62i0r(6gt3h#}c=0KG}a?>okuX?P$nN1zpC0QKVI!U*O zQ>GK`SZWc&I-w)Nqbn^Fj($&1pu?A~wMHrU_$yMaO)Z?^1YAR&>sD8IDru_|vLPG| z4^(rXZKaq8>X1TemVM#dwC76+_sa|3k_U+QW`-ei#zG*_PQCd3{#aat@_+UzoS zp_1z4C&LKd|4hz=DBxhP-=HGT)I#q9KN=KFl zB>%>tFvr+S*1L+%Nb6Kv4s0~D#}(6zhHI>vYtQE)5;P`-`E5@3j+3;WPuqTlTXMo1 z*_1X~)I9A!V?0AObmedc;8+~I`RZL0$T1B(Jnua1`a_}t$P%<1BnkcrWNPT~s;EPx zNu)s-KyuL{rpo0@qg;>%xr-$qON)iu@>sC)j+)5EbE@bHQf9p4&N(^E1TpuUy*&5P zOfWhz&RHHVOH*2i@kPuhZNh#ogdyKxm9E6#6SjF=@~a95mnS8{l6}*19<6u5`(qM`>=wsvg8rAHV)aL+n5NTn)T$rwfLP3ge?&3@ExViHE&Mex{4|BQzsN59@g1hgqV40%ZRH z#tpu$uHdGMv##%MFKkMZ5(A!197>k-_F4z}jK2Zt5T2pN^CvtzL91ti#Ulw|^xfhh z3Q(Kf$9sAT6AWU8Teh$87V#c&Wr~eObJGpSS&W4kGf83ca88e;1=#nGz>X@hT7A8vO2e zpuXpFG5H{I0Z9TRN<~z0R^tNQRf5%W@xe+kVeeuNHZcd~;-L=q1Fp4;&8=QgBq4UW zeFKkTME8fOvV6p3@3XH_bBrtWjzcvy#sL>j8b#J-SgGHY86(}>DniygcTnNpVakX`V=2#dNe70{Msi}v`NOZ}(7+fF^ld=HJ3Xy2rnn539Y z`|qVZQxa@d4NHjAf4<62y^NE#cR-!evo?T8g22JR*j7+%-&4HMN%Xd7F2c;--u`QZ znYB%K@!k@APci3vkn!yV;>~&z195vVKUQX@vKZsutbGP05Q}P1%y4hW(b?h&r*BlI zzTnA3F;qNJktC-SV={$GYEywi!)Q+d1|;=5XZ^`=`9K6wRuGIzywH+OR?R+Pf@H4I zGP_#9TY0>UU_sn1Ll|KnIU)i_-fU*c^}AaS3{9}_)y;;hCRy2&)OI5nrZO3l+;ZaC z%zOj$smYo6;{mz`x9VN@;MMk>#!mmYihZ4wf*)-c=EJ>`rN(j*Rpl19QXZ2d3Xy$o zlyp_ak@XAuPF+udLm)MhI^msh*kXgy)qk5-tWSfz@3_YpJNVt|aI6s)*TS;XpX9GA=A)?io4_Y>KCR*ABXoL#eUMiQKdd)pGcBMC}%R(RdA7 z?o?x2J=Irjfz|rXY4p~Nh+v)8{DH0fh@3k?rlzK+Jypf=HFCQP7adj1yPYw|Rk$*v z=WSIZ_S?y4swS8J1(64392!>WLz}EF;S2<0t8~co;^L}%aV7}_kqt3@B{&q9w$K*+ zzAw`y6|8E_}Ed z!>Y>pv&obFRhHW9$vg`!2#{AmB^nC8nfX&C#|6*l?7acYO#_8Jy*&cQ`5!dXUzRXd zGL|z|Tj!QiS5lW#SDWSz;hF{&H;*3richEMOII4?Mj`$`02@H$zbB)~cyh1k5BsD3 zxPPy>QT=r_cPjF8jc+uvb&Z=FcQo#9+~26#xRGbuo(sJZVoO8oLYqT7Lc2rzLt0*N zY3E_3ad+sCAz>pRk#4}Rm5&mmMuFgIE_#xRH>cgS=2$VC+iawk42E$z`gZ}4K#rW<*>71eIkJhJdF&b z04OS~@V2=hq3bu2_3H_s3jr#r+3R^T+yiYVekkC*B#7pA$o}()AI=Mj%tJ`_H*oL6 zeKbBddscHL36EcqJt5>{JNn*)BP2@hCA&$4oT8QF1u}{a>QV4;9pr8@i@ZVh6EnG% zzJ~b>gLQfw^v{p&Y$kD14S9gPLoOu){)IQQ{==?CaULk@^<-fJU;zWHw?S5-a40&EyV<-dB)U zvs~j6Aa#K=@~0a=F9>&ZJbpH>P=wm3T@`v7tuBY$QI_Oc*h z90@XqEG6F{4`VjoBJYF8G0YE zHj%H8Z(~(oATN?X(KAesns10NX|B_p%HD~&jgc7`dpl|`K;PT3N+TqL>n%K`l?Lz> zlj#NYBD#j|p!d=YeTTlov@D3N|Mx;#cun|~Sf|ls8_<)3OaFk9i^*E7z*jNbcj9>; zCohp#sGY`WIiBb(wEv7vVJ)~GVQ;Ws3tNO8;#tit<0r;H89$R9g1)K+aMy|1d;+uZ zN9w>BO6V1IJ^d|4zLOmk%z`Y0g<4^{uu$j{ZWZnpekA<2I3PYLzN?v|S*CeXS~h<5 z_)oHJ*_$yJV8<}7Vp2wG$RxneYCzMK7+)W*0dfQR8W|$D177YVd%(zN$aCZs@^kVY z`4lTaXb@w*9CyD8P`8ENj_U!sk3LUdqOZ{3(9ihALb&3rjy17nHj}MkTX5aYjDTE^^k(`EdXIYDk7wIUze^9}dWs&!^>cch zen@{$|I7fyOaL@TSusnpdOT?}o5kAMMYz_mb-4Q20NaEWdW;=lN7!3Jz7PeyT_*Gk z4+!5EUJ%|C{vk54OiYT6;$m@)c$4^s_!IGM@r=f=Y1ORN?9#lT^=NCfi?mm0@7M0v zexyAsX{8Qu;WtQcN?BbL6zhk0?n7A9&wokn8+5&2VS^@KFwCT2s5T-3x!_c zcHyU*)%27QpzqQl;d0^1>?6WV_IF_&UCf@NA;GU{fcob)lBG|w->}o{ccPsxWFOPG zcn5uotrMCVv>~9WKNIcZO`4Cu!2g0Yup8+pdkNaEo3cM34Vqo_xMmmo2?>ZNSUx!p ztlrA*L!@XPA(vy`zpJ?h^F58-N=t<|#a-kBAeXaJZsO5!3fxlL>ZW$FR_-HvM|=|<`ya;Nr? z=4YgxItdX2QTC?ncCs}4aQ0G&?hCSygZ^&Hj*vRC zRnx^5YZ77&XzVNWMeIkvq}xHaXOnk9kD}B?evj+>82>cQ(_~2e1!!ke_O|TLp_z?C zTl)a$%v?~ftH>WPZ?lC_QayeF8_v!Y`mjSCCl_WP%lc^^S)1(z<$Z?il{BC|gT$xV z3)tN*u4XBWw}d!o61kUZb_>4{{v=ME4dAZ-`Tb`G{xbvrnSuYzz<*}oKQr+EpBZpK zMskARa)FESK!z;=$59H&g!A`F@HREzJtjeNs|Wuw1$@p_a4|E$_sj%0F%NuJJFW$| z7D2}9g5-TE_@!=eMoS^Xt^^mh29nq1xUK}3w+?*KCRO_T3izR~f+HJ*tn+nnNLz6Y zL7KfCa{s;HjP3*fvm%tMQ#`+=O^Ahz5aH*V>jq zn)U=*(=#`%9ct}qDKDeLhP>u*^Qye^GBTWJK-7R(+8OQ}rp{?pjWB0x!!RQ{6CN>L z7;b4zyTfRTZiHy-vX$wM3p-m|Ji%aBd0Cn^uLv(s6RyHcs8vX_dYiPiIW4KT30$7W z(~#|f;j+=8+cGj)-jgt{46j^vX=hqkhCW);)&%a`l6GGAzU%WOI)}1!>laHs!VnZ( z0bY4%Xlo$7=fch}wDkme4_#g8Bh8{SdxmD>#k5bEBj`b@c} z%ju${Jy!(M`tXeK+Mz3YurP%~X>!rE!I8p3j(@mam*RmGxZ~+;* z=-LBrCE)&YNqO0@Y|TyUu-P&ZF`B*@S%oRjm8mhcI*+wobbekbA7gk96u9ZYiU3B^ z8OAeB=H9BwWN5`?Oh0~I6s^)Lu^yMF_02s)as%>ZJR(hNqH;Je^jCuQ51;(>%Q?#? za@?L`g#B&3bsr=(!U6B3?3huH=04o-p9}AQTXU z*web$`9F*7$ZuWSkfx6ROYy2)dE0_;8}#9U)}fvWm~C75rBtq*PblUMWfL))YlsO? zi_x?;IwuU!y9kPPWZ+K|of&Suyk|C04P#8_H+Kpih7Nd)c?7j9!0)9?&h^I=okrf5 z7}cuqzcM4~0KjSv4a`i-J+pIuS6(nUfnNVljWXF&ypcrX>E*Toi`%>9V(7mCdnc=|9p`M{-ne5>5a6k?Z9T7T(&Y`|mP^{eY zXR=4Pd(ty+>%ya~r48j}pi-n`XlUgy5uyt_(~4)9s*y>}+q=^3iLP*Zc_JJPcdo*8 z4L1;DaA8j~VvNif4%1sN99HP93zl>q!7*mw)`guTjI!pQ8C}B>lyx2n5TdBLjOX%9 zUM0X25c7dcBTT2(_Z(3O8B|L}HA79Wz`3THm#c(4N><=NUd@x$dc!gGMhbKbD>7oP zL^;=kh&){`Z!lLoK2ckT5}B7A#c?Y%Hhj#v-!KvjJC(diN`o>*nFf6wW`t*rAm=FR zPNC$$G$_tI!{`%Ffb0w%9G;?hj;KB5vX0V0)Z}@C=y0MMBfQ!dI>Ie;555Skx9 z(s^JSLI0|c8Z-Eh(@P9u0%}zF2i&Om0Qv%GUx5v5HNp%~xF-y(4$mEC7l7U)q-r=c zH{7}sHMqkLQHxOp11r0DtuTiUzQW=EQzdk9z7nTJ(TW^a0iIRZ45qK}qAoi^E;EH8< z4vzFI!pNA5j6mmd9Pgn+zOM}7CV>^p&`gY-zf=0^#Fx4R@Y98zL3G4tAwAd;=;;dd z09ojToxtxvT7xjKdRbZtFXIT(f&1gH1GEakvY`cNOS*7VPg(-STD@#lm{SGhb*b~J zt_>YS{s|g1N`+R!pl~1HU#$zEL)|vor&S{wF&a^ zd0NB4E>vMrKJR?ufD6mHw_+%a-nx4dmE=&6#YC$&0m+uRo1D!Vq_O}raR6xlA>w+p@V*y zBseFdkS`GB^f*VI`Q&M$b1=OMK+nTl2ht2gM zW-$rD%|$#ct|vhrqJFhh1pAfsY8lTT6n_gn-VcclE?*?sKMLOGok-RR-avgxE1lK+InI|+z8i-cK<+KlTbufqO1UUjMqcoCWIb1BYPcZ4+IpC zZ>>n#Sq=f_tpspX<*-F^#y3slU zyL}MBpCGC)O*721ib$V+K6+N$JmAJl+Yp^ z(Rj2!m%gvts=p=gMZ+57i0Q|c>GE;w9@}3ErWUMn+~j=9HCA|?_m-mf11mzd@L=Sr zVtrgJy}9hd^81qZR8!S6)n{v$PcqkEJms~iEmN-p0roCNFu%IEs4%yMxSQS zV$2eIZiHyW414yVK=LFWIYfzDr`0@%az+GNLiF@XdKqyg<*Ji|oHNWVDHOQ?i!xR(-FGLcNAD!YQ#pfw7QdPQG~^7F^rL*<7mm+m zH{i51pS1BYDdBsqk6GC*#%)%Xcfa0B?#Edm48Zk|n?oI1svRs?co`o=_sOxwMj0bG z*>tiJx^dd=IY9GK-__KFTT*+hIL2yaGRdyhGJAp3$Jh<`t=jnzt@`ZxT^9rk=iWHJ zE;?`Z9dzhTT1T^2m$rO5e(y_f?H_vVK|ZPqjB2qus=4Z@A|+y}Znj3iaI6?sJ`Mr& zc^FdefL+iI+B?7V@5ADQ(&y82gUYXUI2|^-Or+YnI$Lc`aRsZmf7OnM#^3nc^}FW> z-EB8$R+hG{zH|I5KOcW}oL(Jm{e)im($CXFkE&z3di+UxKlu^h?<#dn#a*n+`JzM6 zJA2&6+=8AGNfa$Q8#!!Kj0Um6V)xqz?Sef+OJR^@S!!Vxx9cGc6RX!ff2{i?ChvV) zJ+<1L^_3~wP5V7hVXBQbo6&%2tWH|1lhv5Ze2f-@jfF#!HXMr8*3?y3IqU`6tJn1F zCCL!A6;w8~)y-J5WBkdo(2kCLlfFRTP+d85{n9nVd@dKzLDq@0SAi^4#~omr!J?IQ zn>CahW2FUwFqw9M?cPcE&|_4KgUy;lpact-@EINJ=F6Ns37-!#0XP#fy29aJ!r_Y# zFK7=gV4ATr%y}Q~c1QMox(<@EflN{F<|T^OASimJp;oUn)h^Z3-TM7{rr%<`;yT`c z|3D(aX95sBLbU3GqtmGRgt@1sB&kfVsF*(e1=X)eDxf1|ZuTSLDa~47afJMm-)Ur- z4ic$$M5DosYe!6`!VI-2Hhm$9DKVzRdSZKGCt_mE%CpT&p%mDRL+Cvq6Yl6y>ceDA ztjx&^LuBs(3|RdtidPxO!_2Lc_wUAbmVi6PzEj3cRgbAR6UZbU=(O@E?(Ije( zCR2ub6`Q0hkR+W>5GAb<=k6wIdYV22`evZJ6_bW)^*XIqrxA@t@o72-@TjA!6@y-H z5$JAVzrchH{hQ*VO@N|8Vxc{jJ(d#|!J<_pD!I)^ao{|$M*WR^g}NK(pYBHVLzz>; zruw7|7?n@TV*`!WdMn4P`mGfS@kaSY9<^93pi=`NRQ&^2W~Y6Ro!OtEQS3@T z1>&pp>-0(a9N=+ed&+3j5}N@#2F7NswsLwvzknP0Ux?EnaD?MYS#tUe9t!Ck!craTqH%%-x`x4z0d11<`+U;t@dv8WpYSMplhNpN z8L-)L+SXK^qitV&7UBOu=V;-?b6xhY1Wy=N_;XfNDF6KDzmq-&+CVgESo*+lnyIvJ z7!n%99vk)hn6HR$$cvkoy;)Ijn@`V3UoI2+92^O8#ftPyvU?0FJ)mfO1 zBsqw5zkclXWReqZ`Q*tzd`gqKpM2xi7hja&K+F`6&TO%mE%|bxwb0}7 zx{9wUp)zdquG_Ujbu2f6-ooV|zYv8G1-D>ST*xcQ|x9 zz0M1Zaz$@J8@AW1STihjpxsA(8TP4S4k+dh^C`1nek^e1kctuRLO_=I=zC%Mc76APv5zmTk6-=ar}X8w+Kc^3 zDH^r7Qg?}$p1J?l3pLTGSP?8+N=+;>_AAaSh9KR12rD)pc-_hDzg2uqEg?&M+sLiH zZPgDHeyez2;lARJ3x8kyyQFb4xvu!y>IbVHsNNfSqWbN^w~OD7=ZOs&_PYa?HFXUf z;Jl$49xA`JJ8PQ8Ouck@~$KiR3YFZ*K(QSqA(6=J*R(}wc#0ZU=s${{g^%NHP z91%y{o~o#7jm)jNn0C6C6yM9NGLah=(It_dhQ5ZuhCL0s!c<{Z2N7hcFyf25lcJUh zKBup}`qs#Uk$0-4K!eiI(XfK85PCE{+8(JVwMo0aaJ{F`w;{5=_`3Mb+FLxg_;yqe zHoTgAH~C5ApAmPL&f@pzgCW`PaRkHF5hB3kp*G=<2%(b6Wz|AOC|+Buca+4PP6w-q zb12&xqcM(W4Yg`8g9n2Lnx@zAfoyqD7%<;;RyBtFfudjfqCw?7Yoo>DTbXMo9+-2FO7Fd6tDAv4Bep z)n=qm5A}vZdPgE7eKykTC;|I|zz$?N*y~{WvWzq~(yOn?NS`3!ID~+s5bnYqMtHJ)rNz$)m1nQ;Vex!TpI+t!}FsK&glS`IPYuaaYPZ?9uRuJ z8Z3*tB2Rr~^}`lPYWn*8uRQKJv(EF#HSKpU6gA*J=4OARv0&eb&>RmtL+?w{BuffO z|Gkz6e2-Wju^qNNWi#k})ZwHz3fJ4OaePAfEQo(Lm1A{k#c-e) z0*o!f8Ct&Llf<9+{nI{tc6>GAzXh7QxFAKbO0)aEHDG-$3I zuYTk3w(&QQXU6}$v;XmeLy!OS=-Jok!l!3D@;xc=G-2%Y*MdqqNRYOuG_uR!F%;b* z-y{EA)@+hD6>OF7&ws%FiszN0H)Wm6YAY!636h;|Exgso;ySG#XOJPu?=c0#&Y;^L zH=9k&9mhLhI&WjUjS`z|3)oUN#ip@kvcEpeaoaX0%xS{3rdlOT17X@1-V;6%7Q#WN zN)?#EltC4=YNoc3x7Y3pEt^<7P7}s}7mf45*bXE>fS=1 z#V$t+Vm^y^F)g&iE3*0*Q%}Bo@wsLD+Sdrj-0ptQuql|)F-Q~sjPwTtMio6xu)$T) zlemG#BhVM9=n0j`dGy5MReu>F10v*RNgFK2su2);;A6OYam3-}m=}j(=+x);JwLwj zmzx)VM61UC`_z*4(MiGeLht54S#)Up*`JMn@a&t*y>uqbGTpSL2sjqRzQ(Py%jq>L zuN+Tis85*`U0J6W^?B)}aDU=x;^o9U!p{;Piy!Bm5zpl5`!s#p&C+Jwpk`3JL)xL! zNqPEGCIyYg42>x!okuG2dz`_LHpm#yDS;NptnL^-zb6(9C(7b^I-{szjE1o;PT0-I zNL-Gyc!vE? zR+rcu@)fB|T%=|esY_h6tK#357&6O1BNQn8WA9^yLE8V&4Ne9W5o#RZ5B@mk3>=hC zeoExAb0LJ6elEO#l{S`?#59_iPUnnKAmE=5^-}7L5wI>Jy|E}(^H6V$6*yt353=i2 z*R={%mlIv|%&~I8m!EDQk)~k`MjJy^0!6prf8HFx3>Jv zlou#VRgN7^EsVKLd46m|!k=55rqcxfAS;fYqHR^oUsB>GBj|xgY z&(5bIBM(FB8iX?0j}&zgLTbfO;dB}Nd=Xq3ToSH=7~$*Tn&2A2=^Lh!NTgyatMKMA z(v(#B``5wNfBLEF(IoIPG5R`GX^F&piP6dwR-nIc)}C3%W}TQN=Fi&YRq8qrWj4RZ z5DbO<9&a#I3jF$>{H#4~N`RD&Up zRg{-|y&jz{Kg5&}JszTgP%6|H+7mh!IuX)_GAy7J%CmZAjm{DRv*@hWXsEWMrl*G0 z?3%gkSFXf-`Sbu+3CjHgDuAiV!3ka&9CHr5_>=ITs>q>Wdk-~XtD4pbKsnr7RF)Jx zg(}QMI4miqUW_hp8p~tlI*$$#HY89EL*Rn(mjZzgPN*QTW7|Oyv|5M;{{lt9f6K{f zEk18T_p(g@s6;BoT2iS*zzK*dOQpu1NiB%E$A;9R%CV;>U=1a#6>ESU@E7#vwK)iL z%F|b#z2|dqqu&}|@p($P@(a}fd({xuuL11&$%pDru`Z}^(i~KQFQ~+8-N9wnm32D5 zhXq3}zsD8~x&0m*4D0dhpTjX%-4(a=JgSrzsA*-V)U5Bnm zCoI*C>W=9Ioye={R2bG}vVT9w+afZq6!BZ@mIeACPoD@1sbEL2Cn$^tj|CZD)(b!^ zWU!10u!q%XMzG*fsw$ zy_k4)+M{1WUXI9T?^fp(vk19F`)ABziizqheq?b`=+&KOw<&HZv6PA_$u^ZvO?J7~ z(Y3CtlGnQKqYoxubG_^Oh<@TSnOtBtw5gdXq0Uv8n&lE4sbW_wC1_oml+!6BNC{F? zNQ1N9RqL*WKE1taEv&;gxvq6@NDYx~t}Uqt$bG5rl1Ec}s?t?IcD~{ot@@So9oMm{ zlg{6}e(yd}^%?o6^KYpr-u-pXOfI2a&c(?qoY%NtcDNxF?tn^7T9s)CPAcRkVsS-i1lF1T0oAAyldhjaBCO3kHBI zwxC=GjULBJs{*eo@*?KM-cY|)HzD-uAoFtRcj8@Dj@5vY{(fu}IN?`3Nx8t-M01{8 z?{Zn|U9zp7=v?*AO!n9zXT393P|ulu&fvp!QO@5hM(Romdbb01H*PPWNzwO0cNHx; zCk)3w7lsp@Bse)IAEX@7zr20KfaH|sNP`M%Unr)+%(2s+Xh&*1o&q0HU~Yq&ntn>( zr-R9h!B<2(l4GN(i^Gnwzls~rZo1K58jaQj282yZ;zh;LGrttoLLagSHJ*7a26X#AI+UwYq-Xx+tfMLbp? ztuLMuPgN`_zPz}vVz9#SGNFau5^tOL7w%trG>^yWtC4q{??&E%O#Mkj>s7)I2b6DAsH)$eTACBITaJUBdMTD zMY@9Rt{AO2Rv}cBQI)lz>IO#DZHD7xSB~9KCCToSs&WqNuF3@7NQu+yipFClP6&+=FT7%RX*G>{!qs2kE9We{ zR*`+7pg%lC4EX|62rShI<+}{PN0k-&2j~Dl48k!%Q5Ztuq28h*oxL<8{b{7v4qYOM zl$~jGdX-24U2;T9Wli{o^6xODN`tB}h{J$lRUXXAfD-+VH#Wa!?CI*o(E<f?R~RvURd41t{>kx=r4;#C;K-Dy*$=7a^0iH%(L?H9v;Fi9A-$Tz+T8>IjZ|^wC%pZ)Z`w z@e{e8UeDJ1*9SI*u89uOt%3W?@wQs@sn|2+*@#^mxS8G-xw-hk$X@y#_Gn~(`E%uO zr~X);EjQs!F#A7UMX~sUTXJyEWr?o#ytdEkvSN4aLyvEu(D_* z5@HleHX7LnGZU$_WUs`b$;k&T$#_wyM-p~Qdn6_i&pvNWhTfrAs^UdOUIrZ<kFwIsMd$l5`wSn!ZsN0qw1x?^=hU5!qKMV&eiIu#amA%|UsMZ215S7Fh< zt9IED>dqaY0H!APDY={TA_?{F(qs+}5vXuD!I_+dw%b58(H3ub0J*7QXY2^jdPD%-QUm6s&H%!64-)cE$fUu$H*W z5Nhz8asynHW-Z=$tE~>0e6lw-uT}+cJD0QQAKrd_$0JWtSI^M8vs3fE`WIf>eG}AZ z*FiNszUj+g-t^s#H)dkv*Wc1Jl;j&cC1FFLVM!XB~I_w`8_`0(IV(#lp6Pg1vrXpG@1*HMsvbgY8GR9HPD9X7`U8x zr4WvDjSN+Mp&F>gxnhxq6**~r&dQGC#Zc>h>v5|9MfTRG{QG3Jl7tVsBlXZzRr-ak)jpQPlj2w;mOBa09fk@|Ms3~uE?s+TV%o>gZg2bJ zGgE5(|5fNNf^xmE^HA@NcT8$19{q@Xnvry8THmu}%YLk>;EQ^{KeifEP&FlA_G1a0xP@u*-0XC8f$li_@D+i)bb8S43--H5rOyRMpfU$%N0FQ47G6Q ziat~sg)yjvsr(Ap8mfHZVdnG6I=?F;oj%m-XC~RIQa<_ICSC>EP(7Z6+OHUjKUJgf z1&&V@5T$Uw_^>5r$oH>V_?#!!o*a9gvwx2)jn~YTVzOr5_zMdo4U^8CKF8OIMst4e zrF0rrzy_`C_xTF2Nqhwk=2^6UmOIfq=%79ss?+~@%Is$j$&8JU%kfR}*s)`yG|7+S zj5aw)9Xdn(cO6vS{am?&w(8p4L0ef;4V9Y$;hMkL&iGFSg`>_RuBQvr!GB1a@4ELD zKBGCTJtAqIz^lsNm7cIa=Fogo+G*Kod(g2nsJYy}(z!vrHg7PfS>m|Z*%4f&yh17<3ig79}Ns2SF( z$CO@T4hHfZg^p5(;E+uG5j|!tbWl3K8LEoLCMb?xdg&!Ac2M=O)uR*;4fPPH2t5|F z4wd~*pU0ocZdI%fNgL2f5_azba8nwsmdhZphe68ew}34mOw#JlIH~h@DTm_N={V&O z9UrCaioL_0wx6!REYuIKMtGp3igN z9=53B3vY%`|8#qva!9g|3T6%ofKh+}S4^wtb`$6MTD(V%*TOk~7DH(PjYWZzQhuxe zTZ&rE_h(0IOU8?%<6^Pwo->V=UN*S`Umj4B4XqlZW?s}3tXy^GtKuC?3jARl)#)oD zRacz-K(KBoFRC?A76p`orPO>EP+F0bix1*F!It8v`#AK(smolKxjRx~ne%$*wXti9 zw>!5LYuy^RmXVZQvd06dj+92D!6@T)CI(4>Mx=OgBp$6urDjqkbs_DPmiRj39jW!& z_0szI`qIACARW}+EZrO*EFDbkE`5YP!uF(IEc$uTiBw>VcB`~CE>H<44>?lgj|Dt_ z60h)(98vPQV8{}QxtvZ|mJ~n%3iAyP8KL4hQaD0#CgV~{7nh1-E{$J?m4Y9q!XRQ! zM<)AERgHnj>4Ti}YL*ib7#M~ zcn*~gHpCi=8R_N#$7N)`dC>uyP`N`5K3QdUn3`zZW@sYZa{=POFap|mfN_5`Y*hC) zzALJLRxAq@MS?E~Ks$^tJ^mXtz*F13bBj{2m=G7%hLDC2Tj68>??2+YQ@mwM8~ZgWNROB zYE&8-9=or6u$-0GV%{>sOvNf1Dn`p`c~6v7!yc!m1`~f4E1+Fe{WQhL^B^hVj^=t2 z^+yMzJENi!Jr!kxQ5uzbrRdYF8TLDN@DcucSlO*ne4b=|rKFha1CTlgB^>$FQxfgK z9@RXp`RW{7+dnXnz>(z11P(L!=@vK-b)&#$0aX`iIz9G&w|ug{>Er-E61Ud#I*CLw zhq)tykpgeI(tfLM$2y~LJp@teFf z6rQ4~_mauFngFe>G1$DamzqQH8nlgG&a7e}5d2i87shz7#p9AAzBkbi78m?&=SY(c zdUA+_gzrfQEAga&$P**78XPv)PYU4Kb94g13B_QjcLfafPB<@z_Cf=8D?|MxxV(5C z!aRg}gnE^rUzRSTvd5ecc69ytX{2MK;vFsnwm>4F z3$){Hcv~ON*kGFnLZn^fVjTYYaQ==_X!*_m@D$H78e>raCVBaGjKHVT`>TZFCRqr#IqX_iDAbOptx>G{5b7MIgVM2~}j zT|GaNO21~OhV^I$HTyM!<`W}6P3npmjk2l3)Mwgh5(nX>O#(5=rhqAh=&0$KNiuWRM4Hen;c&k%6h2-F*ciHSPWfhMc7{;wy`(!Z+66?X>&gA@x0z5p%Eb9sr| zWiWbmNcqKJfVvGH*vx4?Fck&ha?}CxBoa7u?&ny7UA3#rbHGj*j-H(dd%=Nu)N@Jw zId}z_(mK_ES%uYNt7_396-TSB)^qATE-KI|TORzzPrtqW$&S5?EdiIe)J*fstFNlR zDf8Z$qA2t(kHWzzTkcBvu zjp#&rZkJ+(UpkCecN(oDYSBwQ!9J+KFm3AbW3UMO%E9~swg{0|5A%Jis1olJz%=K@ zM0eARCskm2om=}2+f-YC^`+%CB+OUXX}Va$y!i{o1>pD>N}Zlgue3(9Ni#?Wg9kk? z1&#$ykPkHaN%%6+V%H+?(r}Nf$Ggcj;2pBvp1;$&)AcA+h5N$?@O`BpNi*4Ke+XI8)Q(?&(pwHl)&;XntWC`y_a5A3)?DPZ&gNy`aNEQ4@sV}$_ z>b-L(5vPKt;Oe5|Q2qSS5!Fk0ytknlP1(tl{owif2i~_$pkdyEq7_B!i-fz1K=u2(fIpKbPsUfbpv(hk5o`>c z3=`Q^?*Vm%D4>yLuGkv|MF#yH9hP%sm2!aq_VgBuJ{T1A4%NI`F9}5%op+>Hm;gp# z>x9xl3tGr(Ye>$ro|{>7_6%UCQbyRR2y>%v-}KN&lpfsr{mQZ_KC2-dp0;x8g%59A ze!-*~dg-D6qT1tcQ}d4bv1H7?$?u!H{NeAMX|A}IYnWTI??dl)I|Ti5Ht;#kQ({T= zq2-xXC!5O8rK81Np&fp!sR z9*`>X+c+_l^A)`rr{o0$!irQ@#(_6S3zMLSIa-(i)AOP{%IPBKd(IKWi)?5`0tP|g zO}%|ZR4M8yVnu!fx-mFZs^}0o3B(8sco@JTCw#`~V<3>MC{gRE4~H*VX_FNyoqS!j zLBP#$q@Lge=)Lal*PFOyThn`-9v>k|nCH!!Rg=VWDl=df+mpOOyg@T04kq^}N0XA0 z985Bjbd=f?i!_UL3lsNB_-q&rBq!y~%3GXwzxY_`o}@IIJe6R900{(-0yhlUnOhqJ z?SabztMhsT*9CTy-GL{hBht&IhL|qD*f`zh%Wtt46+5PTeMK#PG&6{0c6HYLWwfl! zFBts95H#WqgEflH-s2c_>~{!$$jQv{NlAwmW5sv%Yk2t7tXgezMf2ty#RcaxHqZ^z z4*r8Yb8-NW41z1G6qn=zmGBnE5~8j+8q<{oNJ4}cm!bh$swq=REtfpFWe?Cn#RgdT za2r+-+e7#uv_T(*w)$W%ARONi)_e}(>dQD$4&B`B3RYJWhbNre=J)`oCR}Uf8n+2p zXFqHnoO|zyzyH^@?I62_2@|!JTY?TxxncZNg|=};va|J)bnhi=W==ix(o1yK{O^8C zrM_q0dw7=D8t#9EzTMJS-@f)oul|BV{yb3O1+a-PfDy*QFF<}==fF-2?K#XnaH@r1 z!ivV8QV0!z;4lL7ZG1{AJE~G(9#O1T9GK!$rJkr&A~@(^kkCdC^42_Zh*N63Je7S* zZGp(EPjRdfD-8w!8YkMI+Bk0js6*$Vf8UxYHaN*yak%F#k`+m^bz-94nI;4MMp4tT+0dg~pEw;uW( z7^XJQt0~b;_(_adqHVMjYsR&cjjN_Sn6Ejx};FTyBk_buQO`wbF|yoZQ8BI zA$d#DBkW1nq5L=5JC=9l)9f!ozO6^<(e>f!w&|ajeq=c%VgHm&H#0%c@ktAOnp>xz z$!6)>{R`Pb{c?QqZd?8~_XGLg(SIi|qdTNe=lziVj-4=`&MVLz!^cgfV-oArAq+m9RC&;(e+m_ll+jrZKL&s$I{EXY!W{+W8Lh#W@PT`}>hB^pye5r?W z7?6IfbHqLM76)DD*zDK=E!pXUf#PFQD$oB+{=+wNjR!^Q=r>Ey}WW~;gLpq5a^`ny!Y290vk#1SIlZiaBZQ7NxC z!NDM8bR^Ez4m{wrWWTcJs;p4)^4zZH-jCgRWgVfoqwbkc%ljw97Q->f>+De<`nGCi5(I @yH zu{&aW)V@nsuH3q0OS#|v>iv5^`P1PCUmn{^AJ@q46?F@4VpD#+VZ(}R3by@*(sw?g z(rZsNbVepCU*p>Jc9^zbr@4(J*z_+@g=o3DAC@bezb{vH2_ER6&04B6mrxzwB&iK+ z^m_&W=>R0d#&aeP#kG9HcpPpqfM{lv@y5oVapqp zUzA_w7uYvU(F6fFg7=Pi8`$f5l%f)C1U>0W_%Xb85!G_crK%*L4&!abpklF_k72#5 zN(5$ed07sr`Ob=c4!3_DJDjRnQKoGVJYatyhF45mjI-Tage^wRgCb3qZw~InhkwtC$PV9y%84!8WDWh{XREYhuKOa zUc+h{gES9ntL|^MXmNof7PZHsj<}Z;7eu^NJ#*y}ckZkf06Of9z$g{Ru0Ed?YY4k0 z>662~C06Zun}IwIqE*o8=3d1Bh<;JE24it+xE4D7I>;ERZ8>&6m6d~#Yp=G`N4?Q$ z3&!3niO+D4jC3CAzr3@d#^-Wbh6z`|Pys<|ILuHXjyk$9CGP`lxvm0B=C;MuH zSLNqdu6b+5Y-|Zr$7c$^gk&)V-&^bw#yI>cU$;3r?u$K8Cy;Xa61J&y6JEqF)mCUP z+8z*_CbeI(ZqmkB-z7W59h#e*H@kM!4o$nMbw}GR?e{qEaXrwU5szpNIuE*Dsd=Ss z^pazjoVeuFC7!~7y;`mx?1f6&9pfqR4PXI+`Ocl zcDM)K%>4uQQ@s7B7v{szvQo*@O708kg*Z^3|E!S0{_2BYPv#3L#V@Dmy3~%;?v#*n z@%=ny`(RDn5G&5k&2 zkN3q#|5sz*0v^XzrCGQ7UHz)+Zgp4nvs(RDKlJLB)UuK;Iey!UmBg~G1jh<-AP+N^ z&4fINv0#QgX4qImU?7A=UiRZLurqOF$9BkM5m|3G^MMoZE_i@%8M3S=Bwz_X z^8V*m%NFx7vn#u*ZdX@VS5=>T?m7SY&$-3ZMQhPVN8&9Lr$*x~BS1ED@m~Fwo?DDt z4&j;GZlRCH-Jbd_zJq_chOOa<*P32E%>3c$@T(YHPF#N5Q0Q}E4;dn1evOE?yd}sE+p}Zawr$(yj&0jBJGO1xwr$Vd z{m%cLb8h^&h^VgWta`JuGa}!Lj_$~Ow)ZMly87dwEwD>G8#bKpskaTDq0C9S@6iP) zz?TswZSm~rw%ByHTvceUi#E|6Cjw(T@6>AmciV_?!Z)71DiX|>dL2%DBK zFx#`pub`T0Hj@M!vT-#DtSq$in_{stfkNMM;28EaF2%96cfAL<*eRZZYOCS2!eZRD zNFYUPLJB$hML#utx9Ne-rW>&0^D##qU*7*&Zvx2fH1*W=eLJZ61Y*w0MT@ZB`yKczc3a&n6z++7t zM~;=aY_%a*=U-^`yl~-h`s>Fnz|=HI1uLlT2so%koz!rp1 zdpQ(nnzOkqjY}`p>MxhQy%axJU+H>Px0|{xLy2~;>VEfq8!e|~r+PgU>esgu%8#1p zvT{5AOtcL~-qdeg(b_u2dfxgvwe|)sOV>PY<6g`d+o0sRiv#O!us-YpJGRkrzuo^_ zcjR8RgsUhI=~qdmFD=AZ`(pK41-uP1CUP|Z7p!9=kPIIPF&CelvrGyp1XSuCCK591 zFuAK&#@qd-RH*g&H5D@zE4z#pL@7zcj;^HT6YZp2jmLlk9mdcG0rAliF%=Q*iJ zj`ju1{7y_{HJU3j`U)I0U0{e3ux`kFe}+wb+YW*l#_B4FVuh@TIa*i3KY$xJjdmUfb+0MYP5t*R?fB#(sM7>oGHSa3>Mp zk#*9mEYqg99eQq&qiSZLdzREEm)zi?c{p*;ltm?Y8TR?01P^D_&a%C zV~+6E%eH8(My5RD(h5vGLC{`vJDHkX^Y3eKd78mpbC;!0{yeAeaEDy}N>Hp+gy*3# z?or(_oHkiia^c|2;7;s}Z9HAQtuULRlnij!)y=XE37)iW9NsW-@UmQJFvHoTXvv$` zlmMLxgIp`kma4up%ij5EQ$zutg)2&jKk1LRMBJ|T)dp$}?OU$jI=p{tu~lJ_n9*V< zvVn&5hY(vLwzTEGJ;2(q4TW2l+d!TA*AB|*ao=<&cl?*aayW{%HW3w1@nbZN zj;l8HkppqTZGKFURm?mVk+wD!>U_#WrF5vLlac@C(`tCtYyEua$3|C6FirzbpjFCB zP}ANmID27GE813|6=3}ZazX%3~>Jb1zSp-i4c}Hl?O>H+sdUkrl@_t1ym;AKneIKda_Wk}r^YOUorAPDx^j zy!`*n?hgaerrdZ+98a7uJn=CJ92gjhGEPKf96C2K9%8e) zl@UFZxISk=?WH^id)M4PMilWj2={S!F(y?!eZG>s{)Dzy!lNqVXl_{g7`R3}Qy7rE z{WGD_0a=7o!TCia}jv6$H^fwhH8zMp)4`0n(V0QKP75ybSV_mm~*v1srgqiNGhd`s2|Yw5Emi500tP<^^I@4lgX$B+J$fZiEDMx^jRDi$Y^q{g zNFSQ_&01GB)7l{d#_T>M60BUp-s|sOyrEuIETJp3PY6dd>Fc2*cuyBQFqP-7RWz!9;%4!lKmOf76%vQ+b9a7xannj7~&n@9~ajjGRyl@#Qc+?FW8> zN2iD@+;|)a7&xryHc}@#y9lYfY!9G?rxg9@i`OSOj?>(3>HuB6?Y0i&^d)e8_*YNm z+PJ$iLz^_Xj(QoonZM?u6gqrIemBM3$gxeEc>&Ys5$IW#4nbAd4fGgREJ7`DU9PU5 z;)O|_4aH8YJ8`_Pnrl2a8jL2Sa0f@7Ce@7E5S0@EMt<~+APcU$rqI>C7HMtTxPnDR4BHBXoAV$pmm{#6{(?6HHp^LW8U6bgiunrpeg+Gi^TA#A|a zBTJSLB>}rRL`Xg1Zu+fNju&+|L!}(xJmL$s5P~w;#s)~`L?`J%qI7TYlAq9h7A zg-TYHj__#jV^ZkL=~BIjbpjZoWZXWz1L|kM_MHqmf(>PJaD}*1mz<*cgK`9SkLC2b zJM^;!uk#FV-h}V5JSNdg z-5FN}LPR2D;+nd0T18VMY2sL1YXm+25I!cb@0`GSXV_N7#XhVSc`cunZmHJ2nH61b z-e>O|9wyz&l?fPH|Jdy9y?lY$+T(_RskhC$#r1WaFCM+TqzM8?rioi(sLG^mp^Y@$ z=m%sV&k0H9xeyjUwGBYM53a$KWKGTkY_OU59d78ihr z2guF>k;_eq7xV6PYjCVdyb$iUbG4x)S++S*k{AWA@h?bopn!2FmX&S+c6ml}* z@v)^vn)6u5PyFEe<}hv#P6s-!SB4*{FQ$o8{@&JDV@)l7db`@3Ssw+@q6 zmS6YJRuaWHz9IifQo-A1#m&OO&&QTcqcr6qq6?GV(p zcUr*sc7w*Z%W`?@oz*52-N)>fNb`}dCL%OberPpEdO324!`0oM2#kqtWHZ#eQzW0E zWySuU51JW7MXE-VSCt;d@q`x+e&8GUbJ=z`ZQoi&rLgxA5Ab_Wh*tMK^UE$6Sv=~= z7;!Z|fvV1hg?pKBiVh5Oy_Gf-uRb%@W-g-kn3_mdgYYMD3#7yi63Gh%B1tr3atnm6 z2E*bkzM*_3U%yh6*~e1s$xjjj8WV!^8Uc@1-qrQvA|MAPt9&G=SkeBZN7Hxg+3-=` zi>o8Lf(iA|?hs~wKRpR_bDWcm1g}OeQuB>9oZ`IY$gqbymTo_t`;V`mMJ8)xnOfa! ztyT8H>@Z{#u0i}ifuzZxeH8ui(snozKPExC<=?n$XVCKgkYb8viI|T~@vpvp(KYcQ zSUosdFmssPQMW@%hHdogHOiF}JC_(892+%wHkme|Ay`&r^z&+FYKT*I6sN2NBMKC0 zn=_|BNxZQb!W0+`1!6mcs%Eo|t|=#aHkYS!%vcim$HI{zOmXUETvB5{%#Sngm8Arv z;fcewNb0nI&$*e0pEXY;nn;F7qUI5&2yI{jeaImd{ceL0R2Vq?Mhb>5O&_$GG*1@G zT$L-uZ(DfXg)camg3VUa%a8Sj?IsqHW$RT21FKVf(x_8PJv_1LP9zb`7cM$j<0HO) z@dXbKQ zu|FRXjDWL}G{^RPur+zU+zA?`Qg-e?8vgi}p*P)ra# zbr|PC$zeUPKs^}%_qbH`1nV5Lx9~Dz+~_P zFL!#hX-RfZo!by6g5G=%sP-8J9J3#tbyEhY6&xD~+A~&aaVqo#d{w$^)i}8X2gs4g zf~~3@%U`(KN6Y}2fUd98SN-}0?w}+0RE{%=7&MR9eoR!2>-N|SclEs`9p$Mq<-OMwv)Qbk2tya!2JwsiDzkJ4@MV8Vt@kTvtJJT}4%#LY2)M7)C46KsFEm4S&_{p?E z7hu7@-BXD3DpuI}qiR`RQZpAF!9X|^l|M*VSgi*iFa-Mz^Xz>=+4ht@@A_`gR9xg0y(FT55pa4?zc8kFPdwRxRY4(aLcOn!HZNIoY4+>x0Y}6z) zq%QX+N^ZD>eI0vFR^gl8{6}1O`klus>MQ-=n_W<4X@iPY#fCzwW^4PM{xR?va1yVx z&){wGJu8jvbok|G!sDiMc`Z<$oMbIBcwfgn?iF<32$fNwfQKUiMFk@8Vg8_oAU{AG zBEl&bPjm6xn}_0D1+k3yA7w0F_^pV>ft<1Ud|xOBwAasUm~?R^IUAGoj(xFRV37*< zir|iR&>g&5hfpAS92HNQN61=6tYd4_&Mm9eV=Li$lo{Kb@1&r-_iZK9$7d7_@L-pi<9>b>45}O}Yl4zv#nqkn zNwN0w)(wxLr>yJJ+=lDXuXUI2)8}bk=iGi>ZLj;KFXA(^pv}(qpVv7T^4?&m-L+(l z9iMM-T+iE2I@O?h1O!8rxFHv4t1&6!KD7~&UEC=0kQ<>Cr4&mp$SLp2ex1@O2r%;w z{QJ}it+9JHy5SidD^OI~Z?ceL>6lC4K1%ZR2d2Tcj{35@e1JRQf{%VT^BlroND@>D z6Vx$@h*4)nBoW3GeCc`fhqtTTRlwxvkw~R3S`=u$LGPlFT^SrD-at{hc4#4(nY>97 z&Ph@Pwjl=WzGDOXHB` z6a?BPD$byPXd3|pC6K#a`E}RMPr;kjEi0rlYRCc9mN>B#OrPcFj^FU2^367%Dw6om zle2FREQlU>>s2Rw0P(H6`hbC?9+NbMbzt-J^-A3V9`%Nhq@6WxbxIXOergrJ#WfSl zghmb{W5iG}`2IZm>1O6j026z~%rjDO8$Bz^uz&5$2IW)uBiZ@_5NqAqut|&6uwp!K zGsUR6Alp_Zrj7!+9if0+Zxg5hrNEH2Y2^ZdXPGbo0JZn`bxwS@?b!@ftfKCkuAN4E z-zeWCsmmkB#Jt(BC>EEP%L10!vo96L59;X;V{W(tWA3{Tnu2QRo;x1BVWKxp`>;F(jLNS4ip4b%2<-9 z9ZRSpD=K*)V~{o4{8iViwko%}(Ov)jHTRhm;;lQ)wfP-<_}Ef%a3Kvz8!Db`u-TI$ zTXWZO10(??3{xa2Z9zK_KdY2DDv!ep(D}R54_#^D1R4aM*ID!PM^`P$sxN}Yrgo>8 z=8#~NkJP*zt6dZVr0aYDN;jI`Pd=u6T?EMqM23xdr8Ms~-iWaavK7BPlza^sBTQ4{ zs-9vug=%5Z;A*uORJv=PVqU{Lv)NyzO+R9ubqR+q4w>vWO;~gHUdN%O^BJ=S-_b9~ ztK5?%w(ho2%=>iW^zKbNuVRHfFFB<&NBh%wiG`s_ zQfQ0RX-fP$x1nX!V-Ig)Q|{`q>r)qQVd#J49B#jBAG;1Ob^cX|MCnw!Idim< z$!417=-bP=PLGupH93@X@u6i>b@po)q&erf*ot*yGwtdkM>TtlN4fSgbzl-hLVh{D zDu2l+cmcT<-_clK$D;2*n~v$XdVdw9ZtNok88CH@3>7+@-uGS9(gYAC@DI!>I%}>K z{Kukv&`HNUCD^QSxKy0eJHq5}+}vp`z7gDz*9_Fvi0j)q2paq!Slj!9^lEZQk*G)( z$1Zz#YP+j)HiUXG)#OY0vv!rsdE(klNYTqNS62=o@n#d(B4n(Lh$#{t5AXmvyGD>Y z69v-4#Q6Qc6mVypJF;oj5J-cPD5Pd~h`HS3jSFFzh!bz5A=ube~&GGvCkAHEjX zZ8SeZEFOQyz(zh&IrJF1d)yw9U2VzXP$pYg+J4`CktHZ3R(>w*vofh}b8v2KG(F!V zbjt=EL1}-H*$=$o5Rjs#n0ILb1(C#&2e4JL?LOIo_sk<0HR0QWzQSW@8PMe2!m^Ui zw&*FO`rDWe;=$&@Gf5Bzl+JKCLkRCq=U5p=@T!l|bwFZTAoO6`4ZNn8kyAGkAlTX= z!*>^~&dksWI1Mypw0_br*{g<<-2wcoSwbgkL_LbepTz)&3% zRbiSiQ>9A{)x}XC=bF!TK7EMBfnos1;!H*dh6gp;b+ZR7$Uc&3Qbg7aBTFJ{v~~63 z^b+tCbeterF_b3`v3|OGxOn)|2%_D{LzM%q7F^6n6)BgyfUkFYXsBMA3(!z@kqw~X z_@D&;>h5bGcMUYyM%q9IER8$o}< zQi#eng|i7G(wtyA|F&MYZsor_eAtAi$SbGt^Q-{z9w(6dCOl3#g76w^D)W@KxLO%9 zEls)cQrnmQW!Iw6=yPh%SJ&~>mb980W!u;Ddun}G0a`BkZP(R-K3FVBm86 zfL3eA4Go!0e|@47Z9Ua>ope6Idg8re)MKXLqsPo||D0UFO5tpVt`nPSYxg0G&t>%Y z?QiyW&F9}wLW3a<$S0wuVIMn*AT_u$y+9$lxq4Nw^m+~)(Ckomuk0tD zV{4#U&(!gZ+>YRhnvfiF&!P^vpZw#!GCYLXUx$@pU0(D4QVtXZ(XOHqW8 z2*iqT7Z|4CF-+E!W0}_@@01A8U4r6G*y*wB51aU=@@BJCn;-5KSD<46wCK&wOadC@ z>GsYN=E87B%G&b<{Z++Gk$x_MSkX3iRj!rsD&^&kkF)KWx=uW&Z_Tr`14kU+5-)A{ zR8_Q=y3sz|Pk3=7PEs7jxXWEA-aJh+ufUJmr`nIMvuBwRv(4D28D;m@t;-vvl1Heo z5njG|dNzz0j^*TDmgpKCSJz%*e62$EYfrIX_UmLx0a;c0rrKph&5tDS0&gMm!v%AO zXGH17bTu+40T$#K$Oaw?;9?Sporn4bd*K#sW#-&86bBCCwPsA`4*7$}5JMoW;;7I) zUPu@6lTm8mpdDF=34nZ4;=_g4k}T%D@%8)y@i3mx1jmk3s&b_+-IDFB5<%ony+QBy zU}rRKvhb_soZHK}llHQLcGNwMoV{J%QddTdSx56d@=nXcAH5#wN{yg29E(JxkBFUx zT{1s(7F_d>&D&)U>G;}ZW4nfoxHCO&suyAU(0^P5D#_l*xFXc+%+$w6W75bu*kTVa zB?WE5mi$;k)Jt84rdV-0qf4o=+wr?kB_|rYG4L<-<33wTiv7W;DPM|q2Pz2)K&{Sj zqMf=sRxyVN?Evq$Y+c)LSRf&gYk`LRAtYF#+deeB|fxEkvCa(9Rsb zb^ana+71g|T z2z5tU?~&rFg}aJZ$GS(kHM|;_6yNx4tXVml%2<36#GQ>qjJA4lU=Qts>3ocvP!4+={)YURT(k_B1VD`CMeLByjLxDD zfLqk3j>@n2W?t zjfan-`VryP^(CMcltEbN)U#Fc2{sKe>KQ(9#xK1I;`=Ej#Eq5$z=a3s>IIB~@I0Bl zpK*ITQAON`zO4@{cdx&&>l_D0O`8kIVNo#sTzXfat}j=)l4MC$!{-Y0#JgE?a?eIp zSqMCw^?Bw7>O1JRdv&?z^(istsY2}{xHxGerZsItprrrotZaCZ zIK@Nr&C>j^H!uT%97&HXp-lM-`+OuKLcn8qqXyp!=Ah}E^kK~fOE920?mXY49ehlQ z)*N{J^usgXlJ328G$THM(84)|k=0Q+cehmTIc>8i5-sOf#}?R6m6N6{HUN^7F@Am- z8yGi_^&zg&FaYZW^9D;2y^C3xZkZPH(R#7(9@iCA>d$)g<2mu^oJPQ_dbP!^S<+}q z-cSg>t2C(JV(i%JRNtzo5U-lHrL?KQ>epL5F=cR6)qBv)*igR4;lvdT+<9f6>%F>>BECjRAUhTVdPm^0iN z30y!C|KZV1hENWDwjKEFgFrzGI^_5D?aJ(g>8solpJd)QfI3lEy_gBzF}N(j@!dpG zFMP@|qIcQ7{kswIV{tGRnTsC_0S$CI!6spWCnD8}p_VUO_Gk)6zbbM+hY+j~Ha+_7 z29uJ}cF}b1F2Qwr%-s6H^T&##2)$rY$^k84_J8XQ<)}b_h-B{e(fcx%ypOiL>~`cJ z*6Q{b!(|xibnG5_g2#;lhm8{9afbZJ32+~SiGTHLm6y6FKC&Kj1At5h-@(H85k{Yb zsh1Z`+Il-fl}k4R>aq>Q(gQ(*-Wz@7S6`qmgz$`rI*4J@$L2X18k9jI*gH3SQSf6M zJI{7l08ckxH63-~?vYpYS4)u)*bVE5+MSq1mrZ3DgBRY}V=A{i!bOtOh60hbosnyu zOHlBur$nXpG_!zwBGnPnNveK2$7EzBve@kH@_{j&!We%fx3+}o#_nv?Imf+KF6%mH z?e|5p^W@+yCHNB@Z*7@|_6I*pa<}`gEz5=-%z_qI{?ngH+*|IR4Mcz>B`#O*YkOf} z9@MK=BWPh4Z0>ic!qlOw71W6>e}2W=3^;MdHM;Kt=3XX~`8n@clRvJFy88u0MZB}x z;~^kUq2LHf}bX)x$PwX zR8ak~8joJF+}95JHSi?fe&VnSBr}QOoFq8gL4`Gv-!}r^KhRv;_={89Rl?OAFLVpH zz22ew9`F?Kvg-tI_^O(Vl)Knl=cVJ^akl#>FKuSkcL6tWM5$Jlle2iFCo*O$K~h%} zR=n$(2GiO+Nst|1k=7BcSSu9XX?R;je7%1qDCcmRc{||`vE1GMMbtLN$-r*dIW*Ey zC(POa#P{D$zxu-G=c?gVHnttCT`rx}wcdA2-y?-yq3`fM-mndL!CY0;T#J+us5E>( zt3ywOiD@T%on3~v>Qm@WQMdvntsRzEit3FO(PrWJ`w^sbdOjnIB=+5oF7M*Tqk!tY z7nyp9lWU+y@L0KwRe`#-x8sNXVq3W7*>)F>UCAaoz#MSx)_hmpcea3^7gF?d0+dpa zzqu|la274zN=lGJ>gy-k9E2B(sJ~u&=2|Gh*`!Fi1s@(S0|7A&bJV5kYV~8lgV~~zwpLGCE4VD0|L>Muq z1OKVg(0?-`2Z*s|%W0sl_38C zy#JdHOV98>i}8OX<9|@q|BV>W_Q!ht54IUn6aO#U{4cHimu&u*QvR27{8|JL~%|F`^aJO7;jd%r)gzjgjc z>_7MVOFRD)|39w(8~YC{{pYj%XPrOS|8HdTKb`*<)cu!iX83 zPNe-!>9cioU?((uWb-?#%_%K|g?iFWLjBNNyR&mq9kcyA*`UJ}`~7*&KvA{ty`{3g zj68Pd^GTfnzxRDJ!AJKR%YGBl8vdv8KE%)})QH$40NndzZ1T4rzmRTG1_9?f;gU9&g&{(`ePMt^-8w$cf5S z6?63VLe{KGi7_BLMz#oPZ-bI`MlRDN<78cyxRiH-A{+rfhkqjUip=f3uqKYG`2)T4 z7bUuNSAw_0KjCNc&D>BNI5jT0SLBjf$4)E%{|A|cdinF z#6`s&lrr88(C>_!z7EJdsc_eE(^ZqkK5<6u)QW#}-*t!=*`dO~Sjw<;Y0d~l_~{6u z7e^;5fvaGk{-O*E{HIlvrZ|HJ9F!&`vbmDLb4MBThngGOL+_kB`I|0LK6)+;7EKG0 z^~XLUvq{Kr;Lz`wyC%i{+ab(3Tr?XpWpHRdZ?sm|IHVxBxOaH7H~7-mzgztaypUud zeM4lPtcF`i1=1E_I|8nNPS6jlDL{X0=M2Z$y7Yj)#y)1hKsWT7g3?YKHQQarc2ZMX zg*NSejE+n9T^I(iUw~@14$)ekYAekc-giHY5FnrR$FNG@nB9k>{V2`>#7s#o~2m(ef`9}y#{q`}P zssW=dM<9xZC>IP{AB}+c0c_4khr!|N1(?kRSAy(7c0v3#e&Yy5=XF8aq6>9k{n8&` z8Y48o(1+K@>cb6`4~#)*I4F(n^Hey|4syd1K;32Yhiob9;i8-|LGw4lh7={#T=jNf z+>~gVtF$VwQEpW3RNk7veF5jk7yTU?9~w`74IkZvYx`NM%(mv) z@m!#@d%`)q{d$74Yj);#R*;DQ{FZKsi8|ltM71fbj3_Y8g7u7EKP10TU%*k0v4}mNIpb9!|7;G*e9pAa)Xwb5 zgejh})MRcPb+-Q`^MvZx24;`?E6zI9m5LpKo}?f&hQe3}$_ZbmB++=lTY|>FCHxqk z5dHl5q#5Sc281q0AsWAG&o~gg@cnwm6lZS}Jn~b920~T%kR0jHVn#&rZ}y3}5!s=2 zR!&S~BI_Be87JL;TbRC+<{EWG7*OU4f$z22mV4{`<&$~aOt4jX@Pz+$-NwIAsi^Uv zEv{g-iUl-o4&wHNMj-G8+F|qKn-5rnTPDQ^rq+SMk6(Q4&OwrUx%kq+VuTTEi2(%_ z3W*1EZ>0}js-Tm@5nzkLgVTzGTX!(gk6n#B-*!D87Nf==t?ge*&*XbiKF4)}M^5m6 z#Kub!*(f@6{K!9(VvpE>C^E*`#iPvqqx2&~krVn# zhH#IB;Mo3a5?`L{p%(E^M9Nrl>1(z8y`=oTYyOdF{!#yfHlj#L>;+85H#W;Rft3%9 zl@Fy=7o(L9GRrhfhH22NoH4Q3#Kg3rM;v0xNLB8u)6BIC0Y*z&Q80*eZVtd6a*TfT(>>s|qHGV{JlGaS!byG$>|K}_618rz zJor@IxU}Uo%%PV#*1)?rn>s*9Mf0RdCK9+4ri2o@cf@*;rNqj4ur=o+jy5||T?yS) zZiFX@T}>xd?pC+QBvR~eNbX6r5iFkz-pf^`z%|e;XNc6XENg}sIafU@6PG(wlD!tB z%y=U&NUO#MGR%{-qChawW^0WAP$;IO#c>!PI68D<6VJckUz)6Ox5m<}jb8Gs@jp`( zjI;`&v^5?f+7l~6#afP5isdh)QURBm3szmM`)Pe1K#j5c`0y2^g5r_KOL9fYDA)+I z$Me|;vm)a8B0AEfdzEK3P$twJB6g&tN`e&ODG;gWNv-njJ$wPru?>B8I0t6uB=97N zIx&%bmP3yMo(60c&24*%>OD&M0<-dZ^KnF1T{jR?VHGtakrg$o1Qz9x%?Lh;(~?mZ zq3vfB#ntuC+1c`~g@hTa{!DtRk`sCH!HzjBBpVk&ADs78nTWrVCNA>aO*NHNtPg5twhxoqi#%ftgIig_IiU|?S>*VlZ0^$! zht5R58C;`NwZK!|DbudRDZ37srC1haF1Y5mlvjT)lqGCBhvCGLB~mg+k28yKgr3Gm zK=ztEl_&4wf@uXp-m3yqJK`1zPOq;tT(dfc3c(p4!@V-$#aL^DxFgu`T+BW^NvZdc%#yvZOi+VO+P$)DFgf!6X` z%Pv+eanh7z(zI`C{&>D!__a_6-fYkZLvk%F&%$dv!6!MlsQ*FsV|6 zb#5HV$uL5U>N@yM*wne;U2e0n8U^8+DdcKDtB1)kQ3fih*6esSD{$ScWbU_Oi}dNk zWgiq$Dwq!WJ&57&<=DWxzCe$txyi})%ZTCIHk8mew2Shvg8nYIs5!3Z@uNC{`)A0> z?fprjM4wfik_Nm$=wohJfx@J`X;r?LZ%2Li;qMT%x!vCxG`cgLTyxy$r#jJesh!sI z60R=mb9#2$hZ$~ybW{UiDKwg+^CaW-8HD5Qbt$54{_n+<)1RvMKwDhQbB!@SPqLf! zwt?K;Rc*?+8ae9<%YEIRo`&+OJIv%20 zos1^IGs_h&f4gjfBp*qmDru5sY%EJdyu!|sk!1)etrOxH6|{RQqkXaL)Z6c)ya144 zu^_|uue*8IX_||MM2!#(fpNzj1ET0Q*%Et2j>|*`|ues@ho;FRM^dXO$>j zP2B*1$Ik`6K?!{5jlk@A}nURVG?lM9?6_O=tB!1paSsY_8x=$puCGi+HPvNw!0yp!93?F|4Mcy(dVR z&|P*iAc6iaT!^|oK1y@|;GWTDo;4%>la3z^5Oq1WQvl;=MbKt&4R*HtRHv8WmRx0? zFFCFfzr6f}9P0PBk}p?n&`NC=v`Je6mxPay4zcgB+58FDZk^XU$OqueZ}GV()=e=R z(rvHv>f3TApPGps^0Gd zM`6`*@Mgw-KZg@i;;}}g57-?NKf*q;BIRkbrS?Zz)rW8rF(^2);GGKys&se-n1Tz{a8&LFsY(Uq>7~E9LTF_J}E-LPs{FR^=|wY(8W> za6Z_3_qP%B@;7!cytZ@JjH4qT80$A?XI(#8K@c8y)WHJyrs? zxYHBS$IL5g04i_yqsdjB%X*M`Bliybipwr6!O$l~9b)Z0TZL{4)t=_jEBO%skFXY0 z9_SgU3z74irQGtyTjR6h&2UoOTIzZ16l`dFG~uJ{WAhb^^o%b8Q%(oLjYg1z&ymsZ zFk%$G>wJ{dfkdz22fQzQVtvZNi2fbp9i*ObVn>jo|NcSYoi|=8oA8i$Hp7mhwDSw< zk$8x>ZTarpuhzFh4*|!LBZSG&qiT(SCY^P#`3IFt*T#@WTT3#h zqTCXli7qb%ncD*yk37mrmw5;lf9LO5Yh<6^t9;p<#eh;*15h+WMQ%c_=`QC<8@7NP z{bi*5GX)RnAY{*=55JClAMHb>@1&1J_tB8p5LXDv6}Z|>mw6BU@H5gys#uN;`swSM zeW~%M{Ne3iQG-T2kFnqKS+YDpA2DUFK*jSboDb$th)vVL2hw-soKiKdWaH+*Ca(1E z$zCE?xnM!~+yHIs647yRBVn}1E#9#?^f&cW9YdbeE1gWp|_FY{KaGx zdc{p+v=Irfe};oiBpr}1kK(yO(1ry^7ES7RDI*p6*%OUy zmGC?*hBmZ-s^&qnPo+bcg%#@@e!sTgb_EvbrNcO;m7wJQ=Fp$q3OK>ll8k^W$I zOv(2u`Q1w1jC?4B2ZG)1m0iIs9SnA7r)^rfvwN^BRYCU|4V{tB<%U!R*=H~!YeX*V zimcm5U9D8fFjsf;K1OtAEHUeibaiJv5j=`vgjn~Ii?e-m7j$>|LZSXtMV59hi!99& zZcHbYE~Ha=O;*#H)he$EuF7IHLVSIl{+sT1ZuoHv*g^(p`6z%ADlQr zyxqZ_^At@k>Jix2q+*j_Q6+cmQ2=YV&W%+H*z{TLuy&Ni25DB)Gqz|xN(%+m0_(LI%;M+!IvJ{2vwz&%msF2tGD#n1d%#p0swmCadN{QuItywE=LyvWSC3l{{tcMeWc z?aX;!DHYl|Kmj+jP3E#ioeKmXLmkgCpP;mYC>Jd}(*=bErmQN4KaE1v7msLlph~5N z2B&4k!Jb0gZwQ4ZdFKE2(8$Ec{3#{*^0CQfWSf(d6D>?TJLN0ouT0OhQLwsUajl97pROCv!svhyHpn$n%?x*>)N7#*27bek_b?e=~w zWhHF}-7?a#FG6pbyHBRKoVRenL3qc4x6E6RW0Z9ccJ%LyqHV#!AR)3+%XlrXI$7uN6E4gR;Uw|e*5Cei)3i|Wh1IWi~Pk1 zD%9x;b=wNP%O-p4&?54dL)hrSlgH;=_;9JwId8!vpcN|M%mk_+nkHJp2zoz-TO|ax z1|c&Lb|BOsgb->Gx)Ekgraeg8(0{M`e3DfEl=zUAtKKD*nkJObhadZ0l0ki3wSioU z@(kof1dEDHjN#cus80%CBeRjtK{^Lxb)dc%V&PvNH){Ps*^*EyJ!Zg@PcvF)NcBd`8~^P)`eo&)@!@O{z1{} zj_aK_70+`e+*>_4?`-d@CH?+y1+J5}2DgM}g+GWajV_7JFBQx9n;6C2Aa#kF;O$z{ zv7gZwHQEtDCl?X5>P3MVw5k^=@#r+_7n$$_+D`N^y3Qx=r1)vec*|_@R7>x83u!}M zJdLQjDr5`UVu)yoikuD#qo-vx`5f0@MmaQV=vua!wc=e~*~H!=#H*$r)%U*UPRe`?{xU+5FCC5XqCUqBCTV-lvf? zF@3p9>-IT=&X~Jguhr2jb$+;^b9Qwpl3|V6?ivvca*W8)c!tQyN<_8wh^9=<$gs8c z@HM6E*06+q9`9+{Wu|7i$eA&FDn9uKpW>&<^}S;QoeN}FSdNxv!hDc0A0*5NSsSK9 z+=m1uc;9y(zUA=S^x`SERR`UXed8bHPb@vBD%f}hr;;5Y;bp+8i`?)7 zVxixobwo!WI}l#1U8`jjr&GK}OaH@}kv>MO^j|QK>qs$Uvc;++YMs`EN(uHojt*tf zV(GK4weGbFqLo@bZp#lL%5>}{;$|*-T%rGyp#Fh@mR@mufO9~bz3G#&)AW-h9Y}%< zZ4Rup))}g+t*NiCvt{BO2Bk6fK=HI*X}msq!3?jxI#@g1PXDQ1`T5h=c2~q=Wz)8? zBNwGZ!RSeaTd<-Bv7!?4S2=nM`#yU{5K2wo6qvziFjAuS+4mIhFJ{Fh40AFVbtNNo z@PIw-%DR|qgobm}uH$55G-q@pLUg}HO>wP=o|1h;EvlLNZTsui5_+VBmUsiWr*(u< z>hT|f_hL7e2YwtF5OD0+aFVpOjd8cCT%?nW&22i_WkKYzA~H8|Lg)t&&|aWd z^ib#&os`rkDrv67)~575X=`e-*_$ZBfUU{igrfK|KsG=ILZLchugfT~QV0mltkuvE zVAxPA^qu|_UHh%;FS>tztp4rYmpnB%V|o4=8e7wz2uF+Qv$S&es@v{2kB$sJG5w}n z59Xh>C%ZWuLlf@`I|0WeIVww9tIN7FxjA_gMC1cS-z@&F{qf>MMaEP~TM2XM=m`C$ ztmiitf$oqIr;CFS)FJi?jyZk_;jRNb%{IUjsojZ`{qlfpQG3nAfz#yuK}ywzL-d=( zNWBLF1u#PxJZF2Il#Au8oC^k<)kR(2lr=yDTs#Ck6=%Ub378K-N}L)S5KoQUn$jNc zSPOBtwRy*qNpbw7c+%dK9vHJX6~IO7TG`pqg5=W{17su=<~#@vR5-34eQ0|90(tYo z+n2_A{v)(>&zyi(wWUh z;|N_Oi-bCW0wbYXozYBHG+&SWMyU1Yk$f*u2F>7eXRtJ+k|s*+Sp z<+TzHBI2VgQ!NU|wL$rO+5+O?gk*2pQJGX-EB@GOwH9DgL~Cue&Ir^| zs3BylWjAiQ=9>Ii-nj(6xd~y_>3fdmkI|~5%moVRhDI2Me>_b4J1)487UJ~ z4ujL@ED;(t(>2ej1*4jJeTEX1UqtLzQLjn>L-AFHvC1%{KP6#NQ2_e=c6i8DBlM#K z_MmV?VCWbg#`&lhN5HbdS|k;*qPI>nH856OWrA2iGd4f8?6e9*9rZeiJOEc8wG?@(7J z0eoV!yEr;rpieS1K-Uip(U1_*s<@v(ql$b%mCy?=Xadk>G!)jtO>*_}@xRs5{zLbD zJ)gh-@&4BKWNF`$sTES`xf}9(@~3?D>RI{x4)a4dym;+L+gmFdlO4gXglM{IUiNK% z&O8hFdqI)?rQmVBL!c{)Hx)C($i%;8r`?fB2vO%xokE*V9d^4VwLb2Ap8cFqFe-sT zbN{pPxJcBvGho;+n!|6KM(7`hiMQN6!d`yX>Xp3A%Nf_`;D~T|%DzAZ_;d`~y7yCV zc|iQ9$HcLd3N3PqRFrY0FJ>r;#!GyDAJf=lmUzq%UP=Qt?@|)9A{Q~nmr`Gmw3LL* zh`44|6l*e(+`gR*K$i?qr-figUi7mHM-2*4-t?!Rt zzEj?r&u3z*AEk~}xNxX&!%=X9f!r+{iQc1T8XZ{Mfcqx0wZPB@N(8-@>a<*+nCwAz zgfYTI#u&)_fL^bIRjV0czmN@juW2_d)=!O}9He(}z4_h%hZ$EXEl?T-!eyMs7^gAD zX^fpAT!=e`oWR^5FA8So%&n%N8jUtm6ryxB9m@aZvGbba@ufmpzNtjDI2kyPKK9wY z5K^QEbTOh{2Ad!X+jEXwCYV%3Hj|^M+q5#iIj)V-2G{vDSE_DcH+t?jKM;M&{8V&A zcfeuVr{TLB*`*hp)^im~J5In8|FeaYv)XWOc`?!^G(`$ySlgkGUZ%HTD`BjdG*IX|8dgBaI5-8gsSP z9HliX%~>zYY0S#8Cp5ZmL?E)?SylbEEc6L`1VQj-3dZN#)~bZ8H)j&Ohh>+Z>N(l! zJ++){xe+>+6n~*qt5Pwf0}Xe);XKcRhUJUtWIU zwV@Yd(Z@x^n9QFwJ>Yvn)=znHXb?MZu zh+5~8O6g&R<8>5w|8U10=#x!WH8HzhBOcMiJe~a1l*aYQg-y~@>a`<^8emAD;r9d> zpEEoia6zRvC~OX>a;CRC9ZW?Ph;o=}HBOk(wKZ*z|7C}-^@6JVUtRmlwO9W7x>xg; z(sDz>o%WQ~l$3TPrpbbwPP?a?0cR zzk}TYzrEOBofcle{>nva4ieAAsO+&9Wi-=?)->I2v2be#lv{?Upo00P zPuX!`M{w4TtLUseJs&$SzWeH$+=U4*C`D9_um5sCCcrKuY769z4_sPJ=gW88>!SAM zzD;aX)f4WgD-Hz?RlK5oJN2Kmp^P@t9y;AOpY{8evzytCRZr5NR{Xl+y})0?p9cON z{&$tFM;DKkM5CpapkE&jTZ4W_I8qf02vJfQtg23sSRjfWuijBo8H?#1(Ml)4o2b<3 z^g0q0gDm)t=UaA_w>DaBEtN`HY07Hx)YOhp)o^I)0$dcD%{ROQF#SL6?ObqxREm|X zviJP~-@eM;v3~FsHUc6PvN#6XNRN4VtWXIe&P-r645Ae+EiKq9W4Bh5OobxFZnf4G z3&&kCO}ru&DGsJ-m`6!%WtxWEQ65E57fGoTX%LOr@`ajE$fiIzTv^+IG-vdVb_mlueic%|EV!Q?P01JDao@$8W0A(og>{!Vg=6PE zHn8+QyS#DX!VN8S{K0&0O?|^TJI-5tPYb6uAFw^7U^_{T@*?jxy&)6fk;tPYk1i=% z>Aoa(fB8t6`V!kJ6z;LzTl{E|W|>7B^pkK{7xY`gk&;TQg@x;UKBBXyO00g#&-|^r zDlP4UzPYwy>azt}9pVVXE)5%Rk;KI~i}w2azw(O6Q9$7q5_5ig?B zq7oh@QJ0K4!?ClFr|g{zxZ_4zE#E0NOl}n;z>SNuK6Jk(B49JgdA_0ErtGGDsVQw% zeQ{?~iY@-*ZIAO))n~v*HJvSw6{|dY7ObtRU01ui_DRCi@C}FhR>Qr*y@orTPYO>OG(ktVQ?Bi+-7KgLg2BLQxnX#h>OuXZ zs_*L`cc@L2Xy=+tztH)$!JywA4kzbUSO2lXpVZ8y^cQNsCKU9Sha*%&Ojia3}m zPCA^$f=lZv9=2DytINu1rOD(jXKtNFYt_!tvNlA!w0pHjwa2v@E8pE~YijoT%25++?w2#d?=|)-XIpQE8bl!6no`bT1%{E$;`~Hp`fQ`k~|dRm@N)~60qR~ zhYk#_PeZm%nsegVSj(hp$6i%YhDj=peL%$V$>fWoAgVb_gVT~j1NgurV&e#{wM8mf zq~HzUMS*(+-~!=@Ry-p>wJj3oJ`z^x+rCkZ$4$K}mK0^0=l<}|HL-{=x;k0uZ z`-R4@4Fvtsa73ro#+hl3na!c`BQYBDW-DnWp=PT^vM@`l->UdgTLS^VC*^Qfltoz? zErYcbby)mOydp-*Vo~PQr7C|w8QiH;sTNiw441YRnA>8@Dd49m-7=;WsN#?mVcvk{ zTi~7qUcvhBiSH?$CUN!2Kmm7WQqJpi09Pp#lqooayJiw;iVJ5FVZ8QBbk&wFTtCMY zi4=Wzb*T%?I6kF-D$baytI91KE^B!NhZ>m_a3*vIcbFb?zwPH(4Pp0n|X5) z`ZdewV{Mk2_cjY1L4Py)90+Uq2--6vXhrQFtw4i*EgvCb3;JE*Nck5dlq(~YOXYjZ zg$OtkedLQGwLyPV7^l8vop4V}FmB;0PL!9s-7ePL)TGmCbrI4bcCe1t8fz`Z-(v9E zwWNEno5|gM-Fv#T-Ky?j!ME0GBO>kx(>{@k*LF?aG%2IkPYUUQA-J3h1uls3EyVUF zaOF5xdjninD)Cuah51Cze`ovMzJfF-H=STS!5_eVaX{mlnlC+dg(q0me`AyVgk#of zq{oZ9nkv|jD_SBb@%(s8A}g^fYKEgZq|yWopN35kiZb+K)+Ju!7`gdUW| zV5p4QZTzyeF7_+(Ejq&X%Eex*Px3KetI^|FyNrCvOQ{&(yz<9jn z)IbY&rMS31Z_>U$TUPxqm_Z?NQ=vg^uKj%0mxRw=pQ3=u9q|kft=YXQP2bK(&nEgp z{`8)eO&0yENB6)Y$Us6p1_*dz{~bL@f)oGFnVN!p@4m>`G$&5)aewOiH29yeDxoVO z)CAfMhe5%baKsG2gd@I6JE<%w(G=OCEOlathTa)0-d6k&#Qx57oW^|x(o30%Ni?xO z)1Zl&u8qaNB2Q+Y8aP9P&;^Pi*Va-n^8t`a=?+sMAVnNrx69*VnusHmrji#?*a;gg z=n8P-jBnr*1+~r#isXk3Im_w_Dw`yYVB@wHci*vcU-zOjX4V`U%|E(($zMXYEjQkH z16z^5g&S30npejjyzvKNH@kQI0K4Pfdv5186{n%!0fG@+?7vEGo9|ukz1Jz|BJRjc z?>QysgqM^o3v2CgylF(Ws8Lm=FY#UJyE1%Bp}nia^9gQo6A?e) zj&dmTQ)7j^lAZM31O=mDWwG*j@>1g}>lWi?>&@jiCBJEX#(3EHbK}pgW->6OI5LLd z$tZ#aruZwkW0HTJ4tOiMQ5J@u$yPh*zJQrl3GZ9|7k>2y;RR#4|J@r`T8CzC z@7(;qUXjr|LfLXmd-}2Pa%g#wf(v_ik zSFBul^HZOAqmHt2M&5jP%iOAkbK5W6_U*-woD@y%!KoapGr-*@aCeYAbC87LyLEdr zVXm^Li1th{EQ94n!>TG|82y7*dm1=&2mN9=tPlFF;fVAHulIDoFKNB_*&P#c*0l~t zJtH(BhjmuHghSL;kLadBcb|K=TW|+Oi3X)UX{)qbQb~ts0!Loo9}Zm(govM>8W?I3 z5x|1b7hpYp^81A){DmnDEj$D@O()IT|7}MpsyJd(o1($lUGc@sU7gLT@#cbxU3zQl z1+F;Uy?1O~8?t}?w=dPDsFlpwILjFK-1_Wz`=8=>6wVj<;C^yO5&o|%l4p2 zM%|!^nmpmin$WJx+#~pj689VoB)y*A@p1R;?&V$Y!GZvAh3~2}b&s0r$ zLwVy2{H}0Cv8ax#4kdVven~}n;J{w%;VOmb#nU%{mpOzy9rOhOjRfMf6w~#?EP|+H#u!L?J zm{UK#dQPR*()iNRfvU8#ef(ejwVhW{bN-3>CSRtKJsfVX)OJ6)>vE}iD*fk_o^tO> z!8iV!ol`Wim?rAATLS0O*!YHWe~l3}=1Ao?;K%#?T1%)z8;iwCip7-^Wbcl8U!^w| zqrRB?T7Z6HEI&l}C^pr|EnS)0>h9D&P$#r<(Ya)1-GL^0o%Zq6GcC`hUP`?g zdL#8_-Fqp0owi#$qiBX}dffuo3f(u!19gw#X9Wjzrds??vh_aI{iz45Riw4Aby@LX z>w4GS&b{=p<|Fh(t3g-X*Sc|v(4%8cyOT}fZ)tQjeKdvE)WW-{O;(g8D`Lrt@|N1C zYY*27s@kcwy|vfYey#SQ+V9mqU;E42Kh%!Z8rNa(GzI6&ugLf4^=!UF7t$@)U7=Gk z-4xv{-4@-gx`%X+>wc#DolbAm`E=`ag2S#8+~&BH#MtF4(o=d^%{^owon~&iJeje& zCHG?YTK7Zlz3wA!?Q!=9?$eO+ZrLJc+)UCkqqRb+NLRE~s4BY3JFPJ(#$tbiht$nq zpVqhOx9X4RRY4?-=tXFY5qek_<<@PjOl}=)Wvx%bHO!ACLi@1T=}n0Dqfn&gI`=7(1|VZr}ERX^#y6T-{khY8R6#?+{N11 zToN&eg31cf5Q-V&O>s-W79ggeJ^-I;v(OMAVu?9G4Piu$swsgESyS`8h3xOLEit%65;L`BwQXAiYqE~GVoBs4IeV2~V zI+tA5p755$r%!2{zy6iWZ+gIGF*wX#{8y3HU407;o2Qh9JgJ(U_pF+;`suG`&N91>&h8J#n~Nt@1@Ti;L_fs2)qH+BO6gqL zYM-VfF44uP+hdDLxF7PN?2E*26tsc3(P&w3wTea;?mh71X06xGJp#Fzb&6x45%-Mx z%8!+^s&cu!uY6tkp7Lz@Xt}oBVj)(KgmaUG%`S_yN|ePuaa25pJ%*=j_K;$&7Y?39 z7%iSqn}|_|-9aVAKfd9I$Nk)tXiAGfJ-=Xbkdzm?@;CFY%0wo+emc1;6HjtOFe%_j zs)Y^|aO{n#%<5P)&QD&LMh`U*Q-w>Z-{c7pvqdr>AJN21sn;9`kdQ7=`V}1G_+|Tc zIEW+Hs@Lh)1-C};);*zqT=$$xcfIZ=J;VPRF>IB##_m?%6V*Tv4-NEF8%HrmxPn^P zh!Cz2qu2zv6k}15wvjO1yXp49rv|sYa>J}mO%H^%hGZ?hQDc}jrFMFCeQC$}>RIFC zTZWF^a^Gh+RMjt6JvO(f#K&UekLCxrMy5<}e&)m*ea&3M&c+$nV#u`!`GhF=me{P^(%#o>~JG8!s^mE``|Ms0t0$Bo)hkIHDZP)m|>MAUfNcq;q! zwhXQc?V(vb;oTei2(rRjB9*X`8t!7^{djtyESWh5PauCpZ?a^xo@nFO6?;AjyAZa# z;+O*$^`2a>81-XABEQIC19vxZK7XsRUW- z_{rMCCsthj<{eLWH%{r*Yg{g=Dx8@&y& z7GMeBoNqU`mUD3Mmxzvg0xOv zps~+%3^>+0RyvovH#@X3!xQ)w*UPro*lWU@<~N=H6#i{CY;_i{=bSIB5Y~pT6t;$M z5N@{o&HPuVKB1c^raHYo$;lvy>pTPMU@@W7ibrVKeqX#utHyt_7&aO8#T>gvV7FNI zgfqpf2+9XIvcdMq4jU~Qp2}`p9r32aZQ;e?kHV^Ou)N?RsZpdV`YUO`UP$9r8HH+0 zAg5#SwR%F69H{tD3rCm(pC*%>Sdz)YVJ;4JxwaiRNyV3k6tN05>5mnhT_tuYK)jA( zTwAmG0@US1H0kV0Zig$DBN>-{3fx%GcpQOtNJ(u**+@hAIfa4o3H`$EB`r%E!?Q*< zA6tF?_)}kh?ZZgS8OemE&`%DnIj{4A;s>_x*?#12)cO7+4__tOYx^IF02Cd#(9r?k zMv5loMRJ;IilivB;x@IU5w)sB64!akZKBy^vP0k}MXM<)X@3%?QAq=yg%`BVC+vlB zQWL-4NmG^^D$oV`*pTKIPO$ArA5RNuSpHP;wpV$48F!#OEF%r?E>Hjdc#5WeOGx=7 z&=aO(xEA``V~~))HJk0_CXOf!#8Ww4UXux$j+q!t3{#b9n`yUcj|nGSB0P^gd(8B) zNo(>1(^Y9!nf`g`5bk(u_@TxS_J}ZVAQoH1lS3zmU<)a^_i%0F)Q?~>@r@%uUD!F| z;&s~?ZfW6SAj}`=!osm~A?A7!48@&NuHpvR`nV`)i`3QDm2&UJ8AFBJ`dpA|aDrLu zq{kh>^T&VJR_D0o7W(@BtFN3fH8T}kUu>*O*-oK*{K|{mF(DeIzN%U5)}`I)-J^>d zQyujoeTmKLG+3+Z_Fjog4Fc)SPZQn(CsmQD_~rKN@&z%`Xl<*A?a<$ny0iRQ)j@r( z{6OW$(NDSzhFX1{rb#m;I9sg)+m`FgrADbox?Oiu;sN~=sV6#(a!<4)WG;8(pBy%8 zqmI^cbK2CJvD?{v#gg}ez>=&9uTli^%EDRJBsxJZyJoT1Nzx>~s{aZ}}VU zF`4{nCdldPj4&dU$T*`+R^OA>cE|nJ9{z~Y-o{hefH?uj%{A`z zxKO-a($X~7ae_uJujr8R5F%?^x`SFfBpinHghXD62$dqWijv605kW0G;+ZP2E6Y%8 zM#`{^9Ev9^`1>T(R>)=LnF?+=TPxO9?5Ys@DvnjKiYt3zHgoSMH$6|baIE8$3%ooT zj}Hu;2F;8q)qFP!0}0n8lgi;3u8Stqla{eVmO>d^?&FG>e+t$3$0S&H4DiD|a(*cT zam-z82#Tw1GH+{Ahzf>qlH&M8ag!;MTDj>{+khi$e*FisTe%I?aQ4L@ZJ`f$c$db7 zg63A--!Pllx9F7N>LT~rBQrGXQ&SsSzxS&-Lo4TRfAYFx3%f76{?ZLMUv(loFr&F| zPJK&XDtN_;P}8RG-1d;wcbV|u<<({NQ!c*qJoS|FDDGm&H_g8-R9$^RRpoS#yuSPT zs_H$fZhg7+iji-wz5Jo!_NveSVUy}=&zsTdu>~NF$uyijG(z)N(BlX3Pc}c!8Jj9O zF48mWGU{oJ^;I6LWLmXaQ>=+=RB#%GNrhw%M_?FB*T1lnKY$Z!q zuH%4ct>X(B#!yO%(UcSeDk(-%(uztYl`XjLR7ncPT%xR$!@z=xeOyY@rK6?C@JlB? zT0?V2Nx?fxib*M5_5M(%)RUTBFi1FIfkKrNCXpBLfndW1k4v{RpTad>#d{BW27m{by!Hj>gcU$*@Ys<@~=HoRUyFKYEn^j>gnv#!C z@z_dRVYRYQs;7N+g?T7fUp*sAT6RW!M~*Y&;WjN2QX(%D-sSVC}X{ zeP*wQYGj;$m1yiXgPudA1hs`dxh#~MOoiJG3Aane@cia|uDMRRfFFz$emGL(Qc^jc zs>)dz=?pyKYJ8{2Z+PXYc70XEb#Le z_}vS72p5ofCD%9qbrtNZa0X1{?F%oI<%J7hsqD;aTLX^D4)q$PLY6PgPZ{?uYfwd_ zEbLmw!pO$Jo$$c1D7aI^y}lAVhuWYW{}YPh(w>Hh63((jQDcOPS6~NS51R_GgX$NN zk&7dEQlmCZ9wsGBMh+Qc0Wv%pPGvGm3JCF3jsQeiBi)6cR~SVjGhQv(_t>*`A#HE7 z@3N2DPuSIV{#gC{CsllR8{a4IU{KaRa2 zJHqWzsU;G&Mx~-iL@uS_s8l+_-h3`1PoWJ_X$takBGM6+rbQy!aH>9}rK&(n%?edu zg~6cGl4+WjDP^UOB14Z1ounwk`GIhT^z7-$_KfzZdSED7tX9d&tO>6NV#~v2)I**l zo}(T?_UwXB;QdfIQJF$hN@+?RNgc&M%S`P`vDEv-+91I}l;~*ZF!%bynZfoG?QBnb zwtci+NFzGdF0^~j=^0_?4Trc;;oft|4n?9UN)X2mlj%T<;%EhJDn3~5g>A!~5BQ9} zxVXcqbMoTAq-;@)+i1Gl=Qo(E=%>Y>=XZJtumY#uPPWOmfd}jp{k-Il9^U zImXc*bt9XjnPd74|1AyH+0gpJwx|arRqR&)JuEugG&uVnhM-eYh(B1BA9FFNq&9P* z9;s5c8VhBlN?DwI4k<71GvcR@XFk|y@qLz45rwY*yFlbtW8tSuTuA;G0l(aP!|a7y zLVb7kE!mJNZO!|ee0E3DpInf#x!Ut3xI5`c`^rM;IkU7@zNykR-qI1mAm>T~Hgw#rebG&65l)}~RU=^7>~(zKwn8^9My zrs6vLug@Br^ctGtwA47Wt}jK^(AP1I@H_Tf;Wu8vsi}h|7k*2R``2%EeXi(l{9OC@vNHm$o{U67!JyS@F?d#}1(gk3 zSMm<7-yK}PJGg!qaUYQ@b*q%hrdT?)4r~LB&ezB_x{_iLCB-;O3Qex07)?nr7Efg> zG&}A`Di^I1rKNFRr>J)PCMZ4{KZYw{d|0SQR<75r z==Bx74l8s$-)+Ka2w&_M)U3E$&l0iRTkyYV_QjqXXI*%eLj*~d*11G`(tG}l(z<-< zBzbP0ea`ZkO^@X7TBE4-m}eQ?v!Nw)b>6tD5qcg<{R=XLR_DJXeGYVJCLuaccK_5% zOHI^%fzA>) zvjh12N(;PhllB+?g(?5Ntb(AH!tX}ADBQ>_CIx~4rg0QGao1H7_my}{JSBq0YPOeR zmHq%N*4qQbt@W2uo5@m21A-+$iwv#+DN(yh6-mRLIowyoovQE_RhQ8w{0pn;;%1Y2 zoo1_PtGLdyO|#3iOWfx98GA`GY}LY_WZmlCrQK%UX5Ho1!NZE9d7L5RW;r$#d_Tc| z^5Vjk5$-;RyN}<~f?O(%)BKiSUABD78?T>y|7h)Wm&MpqnF^Ge9r2h~c=5Ww?)>S^ zkI=FgU#7`(df)x|>Vb1+c*0W`)6i2}{Z8)pE6vYPp(Bj?G~I|x?`a)(C=eTWDTp>r zQ5ud1?nmIpgmKcBFlnK|d!D+{rM1~%jp3fZWYpj%66Lg9_ThJ(1^n`a`}KG#SAh%r zs*hE(s%p8quX0`jtrqdQm z%Oiy{t(|`7t{jr;$KG9eb-lay+;h+Q&UemQ08Yv4hYwown4VG36u<$8CssQJJ@=p+ zfM(F<91^GYWb_=vN>(+;*Sf4z`F{q!#xGV-Sbvo+MBKS=goBs*UUbAT0NS} ziS3cE+I~;A|gcwPsZl&A_-Ul2{;21kP@ow3Nz?Om_&{U zQ7xiG?W&OSH$x@)Z0a>F`f5hcdz_s+?Cxm9CvR4iHm5ANX_}Byz&%G4ga(>Jy#5it z2s_muuhC#F@1cf7fm#dVtsSX}6SW6xVod|%)kMS(fCFYTR-_}~)6yY9=dPI1M0v@h zvL^8y%*(AIxaJ0i6)_PSS`6zcVUcv%K{;pn_H-sKN~Ju4ukMb5ko9UR^T}lg_6sd~ zfu()A%8JfTKFVOQSt@%jEK-_qOt>d<6XmCBQ#F((M>HfqQQA_yqk1pfhaQOA?j zLyniKZ&ZC`)mS!7%@Rd^=MY8yAm*Un90v)$1bD%cZhByxCda2Xq5JeLKmzgbV9)TG zPhGxp%RASPZmry(QQ{*rM;G*kvPLv_W@%A>VS#?(rDj~ef9n(9KYn}fysQ4R^r8sZ z#<^s58GDi4^3aBSVDb9dzrJ(c{BE+Wmjk4_8lzrh1)w~6cfUL6Q7lD@Wf+R|FOvy? zAT(&O^wHjYK9%Ve|yoL1Ft+uvWabZGGzOYBlOGjz!}-s$UC5;4Gv zj{X}($7nbicaZP5^7v8lZH!U3_&9i=wGi@E6>l-9q>6Sa7!JOcdoBN4<$dJ~#aUEx zr9P#%bi4cR?A`if?w@22xu40p!I|>9iXqQ3_i~S9x>0b#->nIIMU64CHc*(^KlA{Z zaBL~6UF`K6_@ZunT8?V5y$LNI$8$Z1Q+CD~a(2IFs8ZSDAE$p8PpT0h>qA-XrZTd9vT9KIW)8yJnKrs zc;cEQf}gm|VEd43Ae6->oWmjR4P%QBN>0Fe-AvkL?x*c(JRyE7E$E~DV*H-&WD;HQ z7QOHm9Z`GWL|^5xqh+2q9Ajn&C@7TJ$xJ1IjW2?YH*EdWF+h}bjjF23L+YX9f1LCL ztrlm{@d2F9FOHvgSa875_TyZKiW40w&T<6jPEr0&aKs!BkQXYD4YRdv$S_*~zS3yZ zZPH2BS@fTC61ORwq$K5IE0k-(c}<9x6KoOC}#$@FDy2KX_g;fao6g_ z6RNvC+^%FQ_YculmDTn)@C!Sz-yd=e;p;$yI5DwiC3+daEp4qge)H)?_L}FqN$6kTs#V7;R z-eub-WZ165f{v?u1b(1?L>F5;2~Wv$y2sw{xi9%x@=((LPv<{eVuo0!?X&@s6abDC zu)pVI$DNEIq-@s0O;iGNv&fTajjd~Ox1#z?1`j|Iq*BvvQzdfiB=~s}Tsw(eJBhqH zi5xnK96E{oJBhqHiKDg>lO>jx-jqZzu_Y0()z8dUoxDXI-knY}44v>6{qPoE9kmBa z)}PTc{~BFVYsO$!PU%e3pVCD`pU_1;sDY1Dl1b4_qGR5|x8p5*J8IDxHD&-V-bY}= z`KEkFd^TS!+jRDtir>O-=X?g5jQ@w=Vi`eo3d_agz63WLK+ol1AP)(`G@V1fC{lp9 zfMw-1N4<9*KeYV{KXqZ7iqb@cR0Zv8R4mJooM zTP|y;f%phJ*2Dt>@WcLr(ND4+X525LrjcLpGkZ-Pi;P7_)#p6Z>PM;*O6BGQ-X1hl zWNMd1hIwdJ7xD%f%H`HWP9+hqKxbJ;N+3kD@ zN`py(cTZ^SO=e@GbY+tRBXxd-iEN>+nqIlCa8!0$wJR!n3ilzVU`yC0+h4|vBT$`2 zh1j+;v18-MqU6(A<3YSq3ftgM#6GmBr6|+Dsim)!Paz#PsfBY5A-QEMGe4x##j2!1 zMWrn{#ApNAnLZHg=S_&3e;+`Mi@SZKW*x*RBtzaJMFj!~`S4@V2^srf_SOD$q{2Qn z+M=EJZRx4>v+mBmJ|uOEzuBIRgJRkiR&$rnKE#Y$dy#<){$THpnGDTxWLMbU!#Fp@ zSIp&w)E-TYCzXci->0&M_xjr5hRyE_YI*HCakuZjt~c0w11`96E`@CQXvF0tR6#=( z*^gjLIi*;=C!bSvO1-CrULMkWvl0o%&4Jj^eZ9~3iq#4;QmW=PQa;VwpjSLKWTzM6 z5=+Ikm|n?gdT(Q%*84nwd6F3r?6qiC%V^miqptN-RUZU|Z?mxnjQxgqk#VQ-0>aFx zd*uC%7aF#U8h186mu=_Cx62PSY^ho5Uc4w^5Axk@zlDW!u~$P~ z0j**BvtXadUfGZvcvF$))eNm+Zx|IVC3~4mI^2ktU#oT%43CHeNa_J6fYYT z(K0gBLhELdSc$v$?(W?+upv>)N;Cv*(=MzkX}%c`ABA_kvwf z4<|Q$o-%Ah`jQ=Z!{V0K5%E>xrM;b&&&$hvVL834 zPp{INM^@N(96pj*JfAz%t>G`?i!U?nAZtFDmc-7-K zZ;hM$+q(xo_3f$3PhqP+xNl^|m)pFv7A?Med*;_?n<{6uG=1Yq$(U2#xT^WppEs;K zSzUJ1t(oKZ*F4<#c2)7f)ia7umfXMk5LhwE>B{#4hWy8h-rv+810#kuA2hvU1)FDvPHOTvWoi-SA#NnLQMvRjwt zgXedb9V=^F*IK`^{!GcF#D_MoePe&_#eUtk#MfnIbs3OR`E5hfhg~K&J@wJfx|)~Y zpuOit9X``*cWQm(g|$7${u(>zvFtUmbL$SR__1qT*VLss6W2d~`SRIOPw)F-T5UI=SX_PkjFtN`8l&m-S5j%m=o^l$sOva?`7=j*zmoJ;+R>TG!IYQY zl#BbmI%?;*oCRM*kMA-1+m<_vZwW72Hh9=wS;wmH?K~%H#;WwZY3qF6s+YgtyX*3g zPoG=Uqwz{X?$%RZ?F$b)Fm=Zz=lto^*6buz`^zueXSE%iR@?1xZN<_4c_ph_QqC1E z+PQL0)6)FvwkstQ_ttKzw6<@0f5wuLYnmoB&%7tCBCgxrouezJ{t-26cy&Y4$}bNs z3-52pDmn1Y{_OD9z4ga3dgK%xaq>UD?MkQ7OXHgVjbc|b$!dC8(!#aCan4D}3 z%J7G#I7Z@df2rdeI5pre40%E?Om&Jp{z6Ztzu4;wcpRTU8hgf{!Tp*!M ze9oJjKGw)|f<^v7A@JxXQJ!QO*@=&Y{Zo7fRcW@!9$<_KdxN1eV|3c^p@G7XQyLl& zGblA2nj8QNj|crl#t3f#m>miXwjnJW9dwHQPRTV9m`?MCV0meSO#+0yjM{|5Z}AKv z{ox0!JsI%*H$+q9UgZcc4LW%-K>BNn7$E<>`Wb?AiyL`{mQg`1#ekPse@0=u4E}=b zxn-1dCOB|^yKx$cWhRwwU2V@Iwi`zoFn9yKIJO6?xL!uNA_A?Y{r?d-t{9U@mov$L zC;fkYaA^TmIl`ITLa|Yc^T;>|1FmA1E@gzXf6=wvu@S&ApeB#dRZztGyS5)XS2RMG zfqEn^(jKFlK;CVSnJmIDu%81r^Yu7zDkzFW%bhwBWR##B2uTz{acG%| z@**U&Ol(hbMNw=T2lVu=+zSbTpgj^&!0^C0K^3wmDX@>Dyl5G&E6GiUdsTAIDT+-B zli_~Tx_FO3mnpR8u)C2yz@Clb&^X+yAmbM5K}fv9y{foL6^>U}Hns=4Qli*E7nI#O zRh*l6CTf|D@~mLKD7-$dq=o7La4gb?k~q(bD?GC)CCo-Mhf={5M|oC)Q0iVwyDstz zx{4xQN^MJ^+0^dCcE{1K3(qrLU#FnU1gddu&!$)xaKxQwtqY#r_DnbwaU6^gNlL&~kIeMn>vbO}6=;qsw%BV>{g z3*~@GxCUS!fQ#@ei3QJRxP3^%@&1B6VWT*pD+M|ipazscJ=lI1K(koOY#c9)2!duE z;Gkzv9PmVeiPsD=8}$t6N``Aq<7{_N15ObOTOa*|i3Vb0B?pq*8(Wd{ z^8@58?Z8G(mY!f!a#nyGIS(5<2MC}@4q{^mh?BFjadNN%6v$aQ0czwR5I2YiAVscA z&dth7&d$lLCn$&swljrPgh~F_4<_0RC$QO1N^G2%Xu#h-tX$;xDRR5t^H|xrIR2K$ z#m@b=JRT6F(a_H?@AB|~{xy${mE&(T*tq^qmz|aSZ#39B*#Aa@or@dzuQb>}oPVRs z!NJ4!ujM#6+5Sd@la2jv?c?NO{aZOMPHvumtq;V?&iS`IcCNpd(6pE?KPxvIMEaaSE-p?nVJ;B2Fpz_b zgGWR}j7MBlf&<7Q$j>S&&Lu9v#VQOG72}rR;Nj*Jfpm_ComE@{$jQUOAqa&knzM_M zlgm$F0x{8OXv7sIFwuSmE(EX~P_ht2Lq`VK&fLX}Vh&7ELU|0VwqMB0cZfd^ zs!@PRp3X%j7AAQomy0I%IFYW2#gz%ymV0m=!oCg@td{)aX`lW zU%AY|`A_WC)MX`yeDxrZFa^KRg+Q2#lT#1ylle+UPPWbvIf>faxZ2t|le0t7Wol{S zV($b|T!MnXp^l9epyuHKzQ@~N6i{L5X#f zYGZ#Gc$kY3^w@vu!aY`h0!7})$r_5;d(5~%AmZ#`WCDi3#N3%22!K9?C|4IJS1_ba zimom;5RD6I?N5BmgI$bFja-b#p=uCw(dx&RdIz&}|8P=z$Y#6`^s z489+4P>ld7de0qENE>YI&HoLZ->NkR+c=YRLi7%~%AZpJWX6DG{N#wJlf8q8{R>@) z>V!0ijU2?orUwwVv$J<`hK%yxdTQhZwsRrp`Z?x*HVqY>;B*CE$?212e7-FcsX-yVpTff9w36#2FYamjc;nKkThf_fbvic(n+XhhjIjh zLwgfdu!}ALB0GQ@_=SreK>US^q$;!_kV+))Yrb#hz2NQz02SD;%=~SH=z`ep`>FT) zO#AcxC!1BkCN8=>Ko%f5Cy0#&$jw0xnO#_TAdTka=44@mj1*N@V;3m+A+x+SKtvTn zTov-wgmB}YsEECjDcA|pVqplsehNYgApILoehLF(75pr9FHE4`&lm4y`D=5bUH{pr z-$|-L0Dn&e$~rNyvx$?X19WJD*zN^&k2FyWBgj~V%nO>9rY;uFx@??KuKfPxz85(= zTS18rr^V#m}f@@f#h>g4Ql&uMlxfVdrG-m<2+InD|(tDt=NgL@GD2bC=J z9(slH?{~iZyDPNpeR)V75OsL}`^ifvccJox{_fKd1VE(&O+$ZBYEV8yk--g-%#{-?tY+|7T6mmj09@G%T-zxU&h_ClUP(@+Hd{_OWF zv^*3m_x*yDgXTlq@cZ-s6f5`jLF$CQ_w!w7O{@@W?Y{=l{~LCo(*6xQE?`>?a&ESJ zC8}U#3;tK6LJ2_t44DJKE+!TL1$!r3Bb)myO|Yf8g$p?c5C}E9AoD3Z2W0klHi6C@ z%xtV&_k~2DN}ZXLi<_L89SDNhUq5>Wf=oF-OLFr-j5i1Y2_sue8xQ*XaY7CmDCGAe zg#jRH5)L;N<$W&=*B5Y@F1DRHS9WGGC_G_0R&dojD zf9mreqw~M*IOu=Uan8SXoQ>=M?~dPV+MgX)RFa3x7>Y{dW=1y7V1T{DZ;5+8{xJyx zRE2-bSCuD+%wG^|?T`8Ge!l-%*>4s&#P0tG&oZzXh4qyHf5_CUigQKz zhN$Z|z7Oq>$CCLcdxGet><;idDq>>59vNmTjx%quH-CtK@u)X`Zkk<)!SWi-OIA7o zB)=}F8fu?k6*e*UO6p}kJL%`>X&fD7N_5Po@qNv5wWOS|%io{s+}~GB|F;5B9G@A3p_(@^Qtd zOX#)-O&OUUYv`iqX(_GqVDZh~8fN12ObEq}T}kB*ga>Ol#*J=!UYXagmhAf5a+7@g9d}uk8)^+to(gUC;b)?@#&ll8)>$lJ5 zNm*Ryj&73A6&b;1A_Y%Bj6OY#j*>T5@PDcS0;E-GBl^q`p?^eiHQ|_Cgi+*wrQLsv z|Crgv&OT@B(nm1+sm=hYSAMV>y3aBf*r=wD9)`t5druNGD$kEeLQi>P1V$r&O;@0U z&zXaaFOuE(%!Fo5Y{UoeR@KOTL2-8QagpzvL{g6P8I0GYY>RDymAi#D^^VIc<|I!= zlp@F6%=k8-YaG zQnQ`FR~ao+$UOGfT}<+2&D$#{T)|EmX>dfc-;_fKqjnYZk(!ezRa6hRqz23Pw_S-5 zLc4|e@s`xT+lYf~P!afzT@Sh5qLeW-ntfX05y|f9+4}Iw*J40j9~DtKS1f5|G%g=# zQFZ6lwA(z2-6*->T>kiF#$Zvj^pD+?4H1VWp30<1@rUhvy?R2-BMTPp$m8mDF!b1% zc5UAeE5|e*c{%EqhPLdZT^n8s+~63(c#rW|{3FhPb6_AY$M0&K6=DJWZ3*R9HEyFi z9GwTmemAQ5eOw>0hi_0IwziC9{JEPX6`aB|BUo5GF28m?TPdd$c(?UR7EPgyJ5Oi+ znQwu?6B&l$f(3Y&Z(2|BPUxAJe9OFwGR0Cm-zOrLUnydXJzeAEx2DrbRG1VBc$iH% z_gLG@@oP4&FFuy4p;y7`3f(8Upi^DO8<(UZo(8Pa>#kM@-+X7YJEYV(>KUnzsm{*c zGp{Mr4X6Fv$d$s9WU6A7BO`hDn%K-vwUmQrOWhfm5xIzPpC=iBTW3{`9*Py3(2Ps) zwdKaA6u#j>%;>i&W{G;AIAW`4P>sBgFog1;v`6tUba5P&e>UrE3aL*fRK_qqadJLq z{BF^*bVo-)LGh6W`v+fdW}T);(Q4t36J*b+E8X%(c$FY;r{NBSi(QEconH$~O`+2H zOfT!ft&AyrZS=pw2PdG98|-_P1|>#u6_%DAE3gy13LzAp7gr(@R>E#FdZ+ib&dOH( zqJ^MO)YL{?uSfWw^K z^Y5++I%=lekF3Tog?NglS>P^>$%2p{^Ybr?0xwZPp!lNeL-dsH{ryEaA9t=4tuo=D zMTA$dtOUX0N|QyLnlT^Z>E#~=`eGtTU0>_yRa6q*g|bXPwv6qKMOg6&hT;KJgR^# z<>CA*Hl{kkhF;$r)XJ#Z>@%N^!)7(4J+M;yaG1L_mP%vIk3X-9UGEWaN7_3P6UAs$ z$=G=#@7cB`_L?EKREmy}=0%T?Y04TYoeNEgRs-MX#-}{Q z6RTyCKg==TO~k#o6@AcNbjlqm+3EU?S+Yyz=1L*}UB}nZSs}gO1r5=>eW=-jCp4Qn zsZ3yIclCDS;F%>U9B$hjfQE{AiseZrC2^)OuP5_Nfov^3r}?(cM(e7KHL~8+yQ#1w zd)NJ(7ke|_^08ozkkqL6h*ORy8f4^>kAe{!|&rT)`gRK0h#Ieu}q|CP#r z>u&zDpUn<+LLu+{^s^zGhs@ATG3X{8E07JcIRCqs4Ow3L#moMUFx1cf)x+ilasT3B zLvH>P5Bq+t_b-Mq2RF~ZF)GScb9#(kRvAPh+vZ4d3_DUF%HpX0$`*4qH=Hv?FolbU*gkpUS4Z@V zs73zEebw!AqrjDAz4pBqT5j3K3?9ZKyA8Kt#e{>!kDqH;1 zG*(TkhQT#QdN*9zd0Mg{txRBVtIkii{8e z!g-|j>lmIwjCa^do$$ghUX-U1T(Sgd9Gv|8VhM&gbw0Ucqq_LF)5Rlc zNfJAq(mplf^TCBIR=3NfT(bqa-V%#o_&K+9Qp4V)?1eR}W5h=7mjv>KW!O7#c8fRt z{pn>Jd$%jI`R_l6H1x1OXpvITN&8VK9^P*7Dn4hxq3p}tV#m7R%D82!?$e2HEJPm@ zV;0@KZ7uMoO?@-3mkEQGo}P{_(Q!58G^~9d`{)Yehd=})Bu*3YkE-*}1)1M$P!6tt z=SL;P%Q~k6AHF=lc~uu|8B1e?&0$9n&i-kHgIy1}$U%yQWmMLi)W5=gcOEPD>D^9Y z7^3FH`(+-_%WDM@X$I-M1Jm~wI(pwD?wS%w89tw37;P02&Sx&|C`?;?f3Ph0u{~Hj zShsmMVTpXe&-176VSj>OH}~c0U7Z4}08F>0o8 zIOf4Bv@ulzKVH`CS8WJksC&L=_Eay`IPC}7%1eIEeT0+YY#x!~hD-7q{u>jGvQ;S) z(blAsYCZi@UJtU1-D1-*qLt6=cVZ(ayo}6A1qrL7z%ho=ENe|gmrp-F;ZwPa%OONj z7U>QZ@cPJYOF6O8DC5%Dlc{pyl1K&@s($UjHzQMCAsvd>#U0=D<~m|HK?8`hSyfWi zU95U$n%1_niNe46n)-;1CbG}$I8>9^jUv@@g`diijo#<{n>u!1+YUChuWdIaO7k)y zuxi;23_KmL_}=NpOLF?;Rwj3<(I9oE5X4oxVONZrV$b$`o2;;Wl6L^f1-;*OaA@j` ze*cgNh0Kf28N9XD*1^sCN2QrMH_tE%20AgjLLioQQAO!C@O z>3>4T{U*_06baWq?m+(4=KEujX@|}$aB}47wuMkVu;f#Vd^$*;aVjBBX?7X`2YX}r zFpFKTOf16Gx%utp&Be%pkD-W(jfkC0OeD?Qf;P-=l-=3#vucQMt>#$jIZL&7#l{2Q zD(H%XXKbIiR$7skyfU&)Z zzZqY^pI9G%En9>Vv{@xTlV>Zh8Xl*`(A@_|VaX>Z$gx3|(Aw=5V#^+MC%y7CjXtwf z49)Dzj?!&X115sslLw#N?G)7-=@?%jlMkUtGBo3;_B3e^)!^-qPLhrc^%MF-w(n&p zQHG;^l0O7~h8fdj8r#kqO;x&6LuZxMcssDcV+70N3Dl;t>8?&nH}c7fAV zEqh6EmdMk|#FlLOG0t(RrR%B}V;BKSteL6=PBuH>X3_52#9sXilwDmZm0>nv)5{ zq7m)2Cs~LRCsc2HeQGSu;y69Dj$ZGPKVT1f;D>P$w^)_8y}r3kmAynpi8N4i6ZCFi z@T+nP-Qcs6LyH#*bR;HG8$9fE_6A~d(UfU3HEfS*X&b7tX#^DTk=ifL5ljKGUjyRc zp5gf0C3aWWZxy&zm~d8UPFSp6AdIB5JZ=Ds1QX1|aPyB)hHh&81_IehVw)Cure~|(@zhCKcbET|@d>bx@DPq-l)KEpHf8JE-Is|Iu-Y4h`+?GwZI=J!@ZcWX`Gavw#kR`p{ahE}&gl3~y_3A3DQ9#G8i!Q#rXo!~>a-q>>aOSKQ*1e^2N!&nKC~NrbemsR zHoj<^q{RJZcQ+kj4-XfY z-=AlGgUO*QC~cSmqQgW@=Zwlk_7dPfG|oqbfkz{U!+~M*s9<5{qd;DX>yxe4SwOvs z$kIoSv3yS{H`D<<`Vr1NvyBP!>=8FIeL{m%2A^YPDQHXX>`{hCK_YF4GT!bnf@32` zjaAnBP4BNfpL@`vGy>`v%riyufX9{@nlq0Qxv5IH-KRE3mZ>T=sDCP zX&83P5|Dy`qO?^B+xRK@I(hMyk6}~Vzh!V2el~s)8zlawvs1*6Y@F}qRaJVsQsL6b zr}z)eS;9By_O?QsngVG4F9@nWUcGIcV1|jTLg+a8s+&H14Ff!GBW=4F@wq7UU;q)h z7`3&Xo}LO?6)g0tje)MRAGAL^d^g(fvXFja=s3idS~KLIxmRCke&AU}4xyQ*CX*`Is#)Y} zmJ^thx9Bh%6EG_En9Bi6-L)gE z-G+Qb@U5M`4%m@FuRBqXBpsf3qgDp!8sf?Yg&HF9BUX{4Pzqy&p=%32h7 z9`{wK${VI)7{&m#7y>pC<}tKl6{BTjyiSTL>->S`d5?<%O|w4s()$aG#8x z*dVudwp9~F2dJ))yg)4vv|gcY!qZ2_>dZS5ya0N`31S%p(XECaF~MOIcS(}qP*6%x zOYy3NB~Wol^j4%2y;@#z|UQ^z)g!78YiyD-xm)Z*V{7s z&f$reDPlf0gMieTv?7i|C50M+!YCr}CITomD}52>5aJL8lz$;Zje98u%Nm>_#zd}x zogV(ar=kbGXT3+Zr?p2LH{4YGgi<_aLYgfuU{d_E$Y;r`NS9O&c~6DHSki&JF9=Q) z+Oh}aSaIhuLNo@n%T(>T)%2AKKp9?fVaxpQ%6;_x^n?tb5;WDg)SpG=d{uf{ygx~? zPwlxvMnEFF zNDB#y?hdW~*^k`47iq@}k=9>LXgO6=8d9TDNV!^V&Qhd}TG&idWK-oo2!EycD*ly< z;N?bNT(xPr#x%+<{VtMq(noN_?6wVNYx4V0pJ<?rD-=P+zF%BUXi;>n=nBe zZ?&^)d`-LSP~XPRyUdf+H(R35dww>X@ALB3 z|F-B1i=^p6>;tP%*w9guyH}|utXlY7!I^z#B(|jSBvH|PBy=POJg6B?nY?MB3-mlme4;DR_B~i2h<{i>k{{sNso$w@RaK#%{0?>L&63Wc^5J8V z7NCR{-NMt1lhy0|E0>GNYyLCWW9ILRD_+y*4F)j=iOVU=%s7$>Ogq@5cs`ql+kkVj z<96Z>6e$!7bZ*=u+Z@dtHV566?4TxLOX0FW1*BUlZfY&EcS0A!VX{)v16~xM9)8D= zCe0fUdI}D%dgkxzV}wSXMj_QfMt)d?A(|nFsO{~~s-HC*+H@|W6rpJMM28JZG1XF^ z+zt#vFsMCgF$o$U8Gp5vIeu=;Z_H?X*2^D#8Zp)H`|w&0msXpW=SgS}O`mJOe2?>* z^LprdyMlv^63w1`iZq6djRJp`n3Rn4=NK1JE4oBkcUki|(YR!qY=t*PrbQS<-tjxB zrHTScNJTV7wsNiS$6VjIt-j!uN-ngQYmcWGC?32JrDbKOa;E+U(9SJSDzBSc*jw8Z zm{W8%cD{15+i2M&c2@k#`t8+;+or(q>*2%E?B`!vE#`8+AxPZlj9v5Re1cGgvyi~~p zpkXq`TzvRf$U`XL_7i<=F>Sv&AN63Xyd7Z=wM)ZGss=HE^1DXL5@WC4x}onwSWo@R zhpKpUD6C~TBpXO<3(i~`d|X9OX)=>5WkK3_8g(UR64|-S+UQd(B?87Pt3s-Tkq3Q= zeI+deEwL?|ryi%F#Iu;6L(rqLqO6GzIcYd<3m@4gfwFBJZ7l6mbqMNgB%e;|eD*=v}qPIVJHgqQBdg1!r-MgLAueU~_bz~#cU6ZzQVIDkPaM)7A=sk5dwPkg> z6V3^1-B|9iSh01N+h1i~y&08W`Un4;YtxEA6EA+r74vQo}pPrq0KC?1w zI=eMzGk5&i?eq1#{{rH|t3~X^q$QH2++~L4suix4_p4&7AJ$aY=GRTucfUA)x!DN* ziupBZlWenOi*4)Ow#4?>j_%IJuEXy2UdTSqe#Qa)LH*&g!w*MVM;pgZ$3IRYzdia^ z^qu2-*QwI!(wXhq_4%udM;FDH+?V}Vnpd0G?l;IcDYp!_EqAha3qNds{D3T}()N7}9^c@mj8FYd=4Lb7z8d z@S${Nbz-jgbA)=a!FTlejE%xJTG1YzWjkj#xx~B%qKbgQI$_! zKA-k(;!Tq8H+6lXiDz3gNz8P0Rs7E<*zrIz9@&EWdiuIQQt#d?C)aPp62`Q!IVNnz zW;quh&tLoL>w8~*-);5N(>JUGF!5!4Nt6n6O7o+M6>-RkYC~0wB3_@kL zc@-J_TsjHpowC&6_WgSI>PS8gZN!2M>acnA#UxG>(LjehQpJ;0-()*B@;=dW+uDQ` zob+KDuiYU&(l^$?EewKAYft zu5f5L*OSMk-2FxQ`Aw!65hLAqNx*t~!4o}NR?=rRDJzP>u&uaO1U8`9rXJ z+F`woDN`QdGvYJ{NAXMMYh1%V9i269_v?kx6iZ_LXE z4^5Rcweb7-F;*_WzkT81$f)u(<)QQOHdT8EAt8wXQ!3(NkhUXmUWQkH&#~j8jR4Dh zc*WG%GgR*5=X*<4cli1n%&YD0KPgiJRn`{z;D~4K7g1xDxA%|I*#^GM;&XEI>2N<)yZG zs=rfUj^9(M8S6FR5yXHaq~d6J{8 zSR4EQK$*rw0^{IDb{)Jv@ik_Ov6DF-$c7a`ic}#UwE$%o;4tH$j|Oe|(1ksj5SJSC z!|v%PbiX`__SM|5!;teMlB(?wj{)T8&S8_a7oYmMEG8fbKC{y5b)YO2^ z`He>+$c;s(*rIl&t4l=tL0f)<9Fr1w>zNt}zlXhWCv{_DrS(3k>6ltF`8Enz;f)lh z!=Uzu3AyNr&xA)zPDF9_3daj$K@r0xhUQWO=KN?D5A+6E^(^&Y<)Q(ISe~(6DBRFU zsTSX`-t+^pB%K^2NAe#>bhu_(@GDAm-{D!zU)yo42-O^QGUw5v%az1995JKAy-@I9 z&=s>$-y~dl_}x<%yhVA0bKMjDE@&Dibt1teq#-V3%b9t0>I6kS*GG0{Vjj`tz%*jNp_k46r%n;Q(G$VP|be1)v{E{e*G8MC4leE!#rc@Z?u_I zr19zbN&Q5d8L<>0T8!n+k_@@T@&iM+S^hmf-jvs0c&oJ&0TC`VSv|7jz4;YA?-uY~ z!)aZp*%F&uu(Hc=Ulf&zehanCY{zs&cr@S?^pajxF?TXAQL-H)_{KvXE0GaHfW}%Eh*}gj-qcs2`t_EuRq! zQ^Nz(K5sS9N_hz5<>qGVk=-g=)rVyjGv))BeY*t_fY!sM3Ti&?%Nt0GKIEJ*Tv%7Sz6NL2qB0p&F! z{;{`5(FW)G z17Zi~q=%M11t}|@>(Mzd8L{B1y*cbK>s<9SbQd+6uoef<`0hl{Uz*PTPPRPyf#&1N*!4v^)U=ZfJAxmX$@ zzcYEDhL!r}dClNtrOOH>a-rZ4z{XTb4YQMcSDE3*6_B%SEIcaeK`ZHZuLI>eY6VqlRXj{2@KsIKKWjpa0*F$nlwD@&Ze z;9$%bbIiZKW}BvIEA^WH*cgTRZm0)rGdpO6!@Mto5K5Cf9P$aLg(#Lf;u4+BAnmzW zf|QG=6g8(>P{7Belnv9mA5pj8&Cf0RIJ(o7-=YFx9O<6~|APkz4X*yV z+we0wh8q$B0P)W54`m2DSsK~=C&y3Nem#Ej^Hj;tuo%c81<3Ie$YH(TkDvT`3WEm- z+1!9o{O$P3J{|>_K1n_h(N246^-?y<7G_z1yEhQU5Q!+dn+o zKTbpbubhDVTTmt&Cp#nz>A!Vj^EGW>r%U19398(Q`URJN9Q$}}6Q{c5^0vsvNyfWH zcRxd7)-at9nF+Y==zA-mjyanJ;}{o$+sPN(JMVK~CzI?lZMM+Nt_-Txr zs5D=7mlA@Cjvc*Oc2y*!?#8hMf7oMXKwT&Y&RYrcIOFU?G{>@p!-ceVH0QJBvbS|* zZ`(yncJp#;)xXPCQM_rim7Z}^?0urpTdG{g;h55$-wkddyzyjFb8V`fX^x*c2t<*GC%RMos zEvI^able%v@j2r~r%qNg48ib}B2d@O=-#2vxr^t=>)CzyuV+CWP8syZ&O%{DN|%@6 z5q`?;1MHw5PS$cp-*r_VZa8MwD}n~+>8yGHc2x#86E3q@ZSHE$VKpa96k9D|yFp z9C#?rzZ{!HCf2#$v-^d&LW_rzjpR(&<$D0%0m7qLTBBqasodb_j@imfGz@#JLMaKf zs>@qpK2{Q#FTXQXKaVeqJG*9FtoigzA~W z!#XM{wBbQSuGTo&{6aqU#oG6hJ(bv7!IzuJPb&qskodM1o>3=Qawt>Qz9jU>$2Nb2 z>mBCfC*FRProW{nth#({@|nz<_7<#{{Q7_~jAr!`C;V|4(Zr+rcq?A9FFf#_jQoJ= z+(OQGZ(a<)c{d?EjYL6Nf}pIDpioSc!fPCnR-B(>u5h@3zh$9dgpoIfUWLZOXYn|? zGr>&e_>u;nq?zP>Z`|v09*zb-uve%*p2C~V>p1WDXY2Jc(l1{A_@K6;t}Su{`<|fY z5m9sTvCmtDL5c?iGR~zAwAXOFy=hOAT-#>B;(`=h51BFzx48o01F^hV)uRjEJ(DXC zPfW=_j4`FpqY)BcxQP?gr6qjyB?pdqyCTGw2M4`TMFaaII{sq|9D6QHk;8IZ`G;iG zv{)ZrpV7E8ap;c6OSd5NNRU}a51fUC;)&U6E!~yDtG7q?-_-EpIQmW=e#w~Dk?p*0 zCXbGZb{0q0@U|9{e4T8&ZS5^-=C&FLGTjtmV*g&Hu2<_3;TZ}3X&)EOsuT+jldp}h>tipdv7 z#kU1QX!Qsz+Y~aqpa_|nM_`m(2z}V=Ejxx zv4UphPYFNZ89bOnTqi`KBXL^S)XyM^0*1O@*`9y#o=H)^IUMGq!-&3mz=jzT>x2&L z!}$4j?kmE;)|jP4qBhoZnR$h!t^xuBBg?En%AA+k!AGPz&SHb8XnK5XPly5>lab!- zZeI$nGDx^h9`6(AvKrK1*}jJ_4$5!G_tI%ql$EdsD{rE9271$IJ;qH9V_cd7zmpXY zccequgV`BVA%n%#%;Ob5I!Bo7?I7y0Yh5(8WQ!!5>_~w8Ymbh}tXlr-#|_`oziA%I ziPROY%M42%Pj(Y>hQuv~lKWsQ_8L(>iT1b~10NgK^P0S0wNY?XGelfh-uZrxK#Kmx zEdnjiaLjF@uFFj2a)x&){Ds0ZpoFXzlNFLTMjGh2lKux=efYsM#}l@hC3~7+99aiu zGS0-jk7FcOf(*52`w8E?T1Mj*(+<03JW0``Nw>8s7o#Y7N^&B3Hsu(UsLyt7YG~Cy zo5^N;H_|WptR%LtN_s?-6+yWS_J|r>@qd`IZG_>G*l;wR;feH#;mTXiJ_FH(Ov)y5 z;`!4}{(Srv67a6tI(+qFU&N2t=%>7y8u6+X$Ep4FNDJRnhef4HS|*f$&N8zspPrn1 zw92!Ie0RuTu!S#K#7LW5OXf%3zI+{t5)kO=D`JnDlum(J7r}jGD6NVg;EzP?k`~xt z=IDDDjk2Vh6e@9)G>acmzo=>Qo?86-+^fFDf-Kv_@sX07+A4|TkUZZU!D1zy2Z&P^ zl&7Kr<8ZLk0k$5M``K=7$yEmMjWY)Fn4fAad$-9lJi(r^1va`tg}Pv`B+h};+DBo{ zE%fefF2pDq3(UqBcvlhb#4G%M!k~1FS1mSQjg+J9JqNy z+y(xG5uut@@VuZ+#J1`%rj04gKcs?nZtRrhv6|Ks87))m3?JG<6P>B_MG&UP+*Vhd z4ToTR!009@k5VD-m2KMV;7l)Nz^fw+(Wlkzv7!e9{$1~-k}Zn-s5^ppbOWlu76ZX5 zaKJU<^GE7rk-D;_614cHqgpA^!md$VIcjG7o%EGL(k)-`JkW|0!kThR-%cj#XAzKC z?sbMoM~WY|Zs1Y5NQ4iqnL zVisnR3J$ph`pSNfu<>=h20j}VDX-Jj?$)<}<%smnmT$|M-cP1iC*r1dR-_E&x7~Tm zcbeo5zRp$VK5qBpx!&wgK8)ri5yE=?@}Quhd`fsO$({^dE)|Y>bGiO(#UJPDPKjIm zB5#4tLoXNmSvN_?Gt7Z3zv5mvmsHhkSaFdE*^PL>6C(b(G@{sRAMHymL7&^`-a;*wnO)wqXEu?37w-r`h!a? zZ8Pcq=1)f`J~v2L1O7F%{)*U_<^$2=xk0NXrgC-pbT{&2)T<@0ta>Ud@L2I<^Iu8? z%fGnmq|{6i(~L<(QhBmS>?O12R?h1g;%P7@BO|AqLLHT&Jd5i7F;&hu4)eH+k8v&7 zGPleiBIVVm+(Prnmq{RlH;mCt>kl>;@g^9PALA+*pV^3fWaUs;sTnPHJWGh|m5Hbb zF-qCgisg}(ulOc&;RgwSi`Wh8{|Dp#&%+V_u>s8SrvdzPgXaIz0RH1&fc)e3&i{%r z3~k?E0;bqOtQ`N|7`C71#)X`T7TyaD@-}~pd%9$ePHpQxE;=tzub&h8)sQ}EZ%ZQ< zL#8(U?kb%yPJ?*({TiHDp_G*wcZSE;)M39@o1=i`cF${_7i$~CyE9ciFXJcgUQ{l2dKUw_5SL9=?$~1l-@f)jVZHGr)HKXl>lXttuakB@=h82zXny zzZB1Pxqo)m@8)B%MM|-m7I?RoM6iqy-IAJ*Dc)RS*0#w3hPAGr%)y8qRgv72eNl@1 zeA{CFmWe``UI-aS<^uuihb!3kTot$i2cqI|4NGr;wY43GXBYHJrL!FbwGZxQjw%{z zJS6&lcwN3$U5bDGezWd;z}(l+ez#;D7bMSiq@UJelqBvgYRvBMT-u1U)txCa8lFCw zj;^qiHJwOZN!ID_iW*y10rVT~sV-?t>Rm2McqvrE6it4_Z<$!)X7NgWMre|TzV+_* z+}*xXFw7i)P@)%=L?<8SI}uFp(mfebjyg}F*}K!Y!^eLZTDCz(R<%Sb&&{&@p-?W& zZz34za62{}txta~%qeu@3W>_*DfUKVoJqC>rp5&v%6uNw=Fxpd?@sibI~S%qV0N`m zEnQ>LB+i9*oII!}Dk0$YaB{X0k>I79pe{l}b!aKwWuTvo!oyk)wj>Eu9Y&uSER_$T zaOGCWG9O3_NeYR-aCO3&?iE%r6u2%u8Dl~U9GHu)YwDh}udm0q>Zp<#SWx(q+3}It zZugXF74?y%ZzSp%fl7-u_AA6$f*PYsX2!*MB*F($VIr?-I(jl$Ltu7MGdhh$Jq=qI z8vu`LLn^6bD1Zw&1T+|Eo!aPL5-p+g$W-)2o5c0Hh?z+Aa6BX*EV1C`G#BFW5Do^Y z%vYb@3at*yQVie5tX-q2ZeN|K&%4COX39&tIn?%;wp@v6?-ep=P)BaNj^KF9vP#~m zYzV9S7@WXeR(NrF*e&yU9DOT_njl)bwQ>2}{v_b4uQPu61MTQRc4hr@15pJ6ZY#HG zOlg#=xc3gamCh)PvFJ^9WTk{(OcymdiW=f#t|_u3v}?E`U%bqcX-)lk9AtSS_$Z{-_GR<5%uzdm?b zy!K3pWhkh_+wRg-{v?UIh^aaD;>A!oJ-Mbttl+8hPxja-6xqxve_CwEsnNw*ch+x?Y*+F>BUyI{Z?v)l8EzRY1o2#r z5c-1ky}3#^5JDmcWK!g+OU1JBQAoF>iTwSPnO!kk7^!--R%JtJ9_@ngR}2tbIA`0H zHV(rHE*7GdgpuEN96cpCG=5p0!&xB}fa1Mw@hU$AH;iGNHkX3unAST6@l_h(B#RFF zP35N1py`fD?VB*>&a^jdO~DRKOLjdWpF#Dh#06A4CMA?1gy0W_-k?EaN>!tQ0l)Q* z%uxbzjKoR@gyT>lOhMoSdoyRs*VU37^Lj#FZEsAxd4eVBg^3qZM^l5rJCQ2P9hjv*jAp38pwfu*nu zn4vUEp!ZsFrdqcC@k1k8X22Po?hcK!Qln*$usSMBOvi!0HJl4QH?Eq=+LwX8P5Sel zZfhl}nX7|OoD6kE5`i6zaJfsqTZPKr<;OL7QN+ZYXXLF(l)boR(UtiJb0Nxuinj7~ z3?}NcX8~!*E8h$Gic@<-Mqm}h_b^*om89Yy?=T*zeSt+eezo5eER>P?H#)W3%4}eux;D6ZQHh;5s_irwwYnuwr$&X#LZLZcAx5T zYup;uUHuRCxBWhAt!K_|GN;wpW2ZckJI1|jkIq%#bhQ;6I24R!Wi9|&aFP@fkWJ+| z$@cT^@?WM#h2ZgIAOv|<8B=Y{k_1_w#vHV+w4rG^#L}}yRqK=J)Nm^}lhj-F7h@v3@26imG0Td$Wg9 z@Byyijp-NCe0M%n|Vnj zLy#E81Mtr!b%t^bv1=(MVP;R!0LeyBBSi{2%VS##UOGrbbW|4%R+zU_v5eSm%9phX zHp~K`d(zBdk|k1h+H)x22Xd&APr}JTPD4w?(}GvXp{QQprpA|2a{}w!)htJ#EI#+y z>-`3E^UNMd^F%Y0jU*NZ^WK?KvfQgF&Z^e|!D%lf3D5#fraO=Vk1=oLh%#WUKRF?r z`aQtHPvdZ}sqAGtF14w~G#P|sT=o;Wys);>LzJJjFh{d>Ot(-iO_>X9m zr3BY+GDfWVE2~F5uCU)q-OP|cKAu^`T;^xoVVr4mo)z8e7d(Ax&CHT;_QN9Y3F;O0 zm&^%)M=JPeGfhW0HP14Ec;-cSO`yQF&w9cIl%W#gB@PTcQ(olQqF_BJz4VWpVLF~M z8sg@Ed-!50+3F<9qe^OzLvP8(`^GB5cPI-;%3^Z;Ai z9d>VB1oCDjX)Cykl7YLY!yMPwQltRL zD}t721sqnO`cYotx0xqr@ysCg;ri4VJ^Hr~f?-(( zv_`f+Gv5ehlIUzVhle#M7knJ))kXHcCpRGRnaGe}CXpXBDfB1F#{o|yVWEFykx#O} zfq+O^Vm=Mk+mWvkqvVLjt>0t>F%n%d1H=r8nr$=f|1 zlI!! z06QTT`{?f!h%&_@sBX=HfEK0WXJd|3ll9WrqS2Y7tGz+vNY@|*f)LkXEJm-+AQcx; zo+I&nNAd9!&4kh<$MVF-b~Ck;U*~!0Ao}RXI;4jI?v=>t_a~PlbRAZxc6e-`_oCF5+R94>2bD5VnkRw8C9svOq62r;Yl*rX!KyD{5%a@95)hT1xhL~ z=y{T2vsYH@7}lK!zfzai@Yp4YifAaJ1V(Zrec1Mo4-q;gKZ{cNYDPhpXu0IBHb9*3 z-_Qf4N**{d#kG#Jh!=k7k$CBlJ~Kw}gpWUORxW4jeK**tFv(ktaN~`WAFaAXqF6Y6=hhI%KpzUz0o3txg4fmn+QI@a|7vTRRiEZ37BEM>xAEaleYxYo)*yVHkQ{3DktHpT0m`>Lr$(h{#-J z2(;XPej3?GmyENB{^s6L4P^}lOroiWKHUB_K`HijPdX=O_KqtCICKv<6d)~aD1CP% z>m~gC?P*~KGDu|km(y4lNpa^YSeG^w=^TbmC3U+b&8Nb<(JiH6CQ_zjaqa*1eW05) zU$Q&L(rbF)=R+51%}KuG7=B4of*PmP<OB(TlN1f8Z$EfXT|iS|8aD=`#s=8q8Pb%pDl^AOXmoT@6lDD zI9H&EIJ>W86iWu1P+$4^KF!=w^p~M@4v+NWV&{;pzoUWqib-*g&ruX$u-3QA=E?6MPcFfKUD+;*JSUQG-7VP&e(&6Am&x4ondxAasW37$P(=Xbn0~^&V&hb{?df zVTJ;R!9XmxszdA1)yVcL*q*X(nv3*ublqOHLPRa{Sg}b{pRI|4+h(@WVjWytD)O^v z$E=IO`h$N$zh)JAK`?|P2qx!3#1evkGJL7M9@yGERLze5lxv~G-b1^6Snalqa#q4k;HA8IvMnHPOV>qJaXHHsZlt zY$PZ;S#_$71`ud!!pkPJib6KFNny&q&xWN$M4`wh_~zNy_OiO?LF~9LbQxWCXE$R(7<@(x8zpT0Ye@hv{Jd08zAdOJ=;aGJlLCi1reeo}J&5)5uMc$xLrBjT~$dt|^@Vcx>4CU=F&yi$>l@FVpvyP zPE5jCIHpMp4`5nlB}d%;{PRRRP3VA=fiuHAe8IEx^HhY)g4ahW?A)GvnkzyT(lBPD z8tPMtjN^)u+Yl|#^e!Y*@;G9=*08*4=S|%#h{JOvuUUR?IB<$E$RQ;s97TejrnuFj z4zy^gvs^h~WVfux@rD>Oed6aY};sRF)E1crY2p|nm-669RHLO_#0V^hf zRja=ga<{EiN08yJ85f6o#sEjrAB8MzW8!0q4i_r=K+Ym8Drw%K-I>{8o^9+x&}Cf6 z3j4-O6bX8-1Qx`P{QNYmP!s`M$Mv!F(Ekr>#=kl!hQx9A=@K)?J!+5-eTY{ze;-s z3rTL=0fV{&^lD7ki4by3GF6w|umWumyl0|ij={Ro{ODVzkIKZieKDn4Qm)aej*X2C z(Cx3QfrLB5ZZXxf0AU$FnkCWg%fGSB*u-s{=^@5zii6__OsiU6xrH?v#(aEL%rc z+7Le%jb{1_Xxq6p<%+YNePhI$pbR$niD+&|hqSv`5`|>)GQ4iXT*#T9kg`DQfc{-&n7DE z=)gy%Vss$@M1^tOJ%QVJVPv3kOYnta!XxcVGD}vp3Als z)So4+w{ScM$tss@B!^17>{cq+VJ}Sx4m7zAc9QDOi!_-=d@_iKnc@6B73e{fm$pAG zIzb8iHMU%4XxILhL=;P5$2nsDk`vW zv;J;j9UA@RNzG&ax6f(%&?(~Mcg|xLE=Ib8U~i`b0Z5pGarl9rH;qGV*-0z7z9zJE zS?2m_xs7&LY+6eH}T6Vt2LX1MH5+u6~ht8cQ9;%7v=T|OI_-n_0JsT*W4c3Z83-ypf_mcB5;06 zxuXtZ)Dci>t4(hLTAuRagZ~!(WDpp(o;bDyfK>SRu~uin0XthpQ%j={?Y5)seT?h& zc9?jUEuq#zz#gM+!ifbfXnV~U9$c&~csWoe;M$4m;x}fU%@Ch(Pp_`PfH>oRil8L} zy#JAs{t&nJwMVz}*X$X}DNbIqpJbtDFD(mZdt6)KW5DOsAtuol<32jD`L$JR?pWIo zC6o_qQeDcww+hAxfr1k_O18+cQBkTw0A_yh5yierLZ65a<2x=F z9tshDD}o}5Wl|1;O&cA`uWGOruCezNIRT74hqXR4=RCB|ctYE9=%+zv-vhjl;BbxG zgV8&pogNIkZRg^Cdp6cQ?9v9B0zal){$_CJdA55rO{UPWwRMQ0%syR zvsv{jPY`5Ng=!isr&$+f5n{`jq&1%%YZAH^{+t_$9c(ET(W*e9x?yxhgm_INUE(8P zk^v!&qk@AvTRckXrwDJR<)#RyOHj_xY>-mI<8-L$NM}ndj3#wO z27$C+{+bsM4>ZqbA-u~1fSyjQm*$+yEK2@#G0nr)Q!Y5G3Tr_O1`dV4%?J!)Kns73 zgbAia9{f;ei$_@`Eeh^4)_QwJDmB5)|EjYtHiZ@&69}qxAAM(SNr|B& zGtb&de3<4xOX|LGOG2Rk=wi<`3jx|%g5VkxCX>s0-D^8YM1sPw?M?WUHl0*DJsvc$ z9bgzk<%!Tk#sUq@>K6Bn`h$&SL%(^H#u=>942KiR$33jw-?Et&EHJ|`CO?O8TWV=M z{@7$}WA%v+bCoW(^y~tX3 zTYaaUv;H?GS5He3myTS$=e@IlSD}f744q?A!hH2S<-lQGpwWWLF=N#^{1IF|3m&)Xw>-?y)WEzfg(n6T|H7x$05=NtRM^HNUE(us>TfM=uJ0O~M$e!dKt z-673ms82Vwfq0Na5%F8x1i`g%mt{h09p(T+3iza04sJz&FwaZHPCVyEaTMyeRNW<; zan{^?)Nx#H5+|;fPyCam^^ImkkRK@i^{T4-R|Lt%ui1W3BLYjmVedRvg4xA^zr4CU z3(B{b?DVceiy@^r9|TdnSkI2%9n1}_Ja8l;sE5{;UZ}#T=Dhm;bp3(^ur-FBYT(~i zCgB*I;$wHF>?}A1vXKZ^9KXPcvgoyOPD(66AQ@JKgjk`1O90+Km1_f%?+`jXIW>os z09P!zq~_$|o(?lGV!jS?RmbiNuVu9w^pKY;j$KT;pOEc$8a(h=8bI+e!K{z~5LT9K z5KPuS_D)Cm(Iu3nN?~72H!OczbhljN{Ik=(U=en4;qT2SOs$<|Yzj+m=)qxf(F!@@{=<*!z698=@wCc?+^$PrPw#s^r5!o(M4wBjHRzfD0N3 zDK_?2ZZHr>tbvp}b{Pr}X2(&;Kl@9Fhc9eSd*mY4IfCvU`#Mj`cAV~Y^jDQM65nhp z3b;&+7jpM?TI2~53sG*l<8|Ex6d}ICH|!*M(yk9K$T5=QL;SiIad`C^jln1P6L}@6 zvlqA6SIMeFf!XwA;OZf1LCX3q(@kd?daI=AAif%}S(j?b@8aYkoh{@82ojtMf!0B) z6HpQ-jT^}2h&*7D7vr`W1&Uer-UVr9pGJU(e#yx{h~wneB`fwOg?Uf5(JoCnJ~~+r(pJ!j}t_5_aWT(i>tVa@+zMDb1@*nrJIKHB#*Tn zsN&LeJM+YYpahJ3IWIJY1|Eymw?Uf-@Q%9n&c-<=olXXv+@y}2&WFl@$P=2%3$mxO#Z^_NX;4fud zL4xKma6b5tkN64s0YfZsG43^W5$^Z7_n0QW4aNMtp4pcyn)G^#iP7S>KUgQmOOp$o zX+?AJ{6J1*%jbS7%@w+isAtJ#!$SwVj!kPj`aZt(s|kriW>Q=3rK>S}8Btj{fbBUf z={aJdsUFy&8%_X_^fz-9W5|iE5i2)UJK?Rq!8E5?5!$Ej6FMK#N@HE4k>s)-`!?BYvlp_-?jH zOVqg%k1eM21hibjVh%Olz_fe#2~Pv_V(DA6*CUdEI{u-Wf?xh2|K#h{*qW9(f+APA zUr0xI{`~P1ao3Q9GD!Kg$2P^5oyX?|(gVU}65xW++AK*7h62NMCjYKZbGe zpqd&i`uoJTP$W(9heY%w=U1SY{dvX7gBfI?bf%gwE5D~{B*&UhFLtrw+79{5T#qLw z(-UhRFKWnheSRFI=)RseHWqfyMvI5y#W``aJ3pq4y&qkjoSD*F59dsL4!No?NLkX6 zViOEx5@GF&!^vib9(q36%HD|?9=uM|zZ8+cdV892F{ zi6gs5H`X$hb9hT8<*J;-c{_en>4E|O584V$QTls zk5~PoNsxatG48*pCJS4IH&}q>W0<-cAcARcH!H0ZdI+O;pX)SuT9lAyYk_44yQd1YFkY+HCdQuRZT}-?d+HPB^PY!d2i}@f?D_p5n5S` z+wg)y9HxJ8HT(>8A=SJRlO>*5TMK_VrFy zXhuCw-N|))Z=P7#AdmA!-VwFyg1P4ibP9kS5se4daWX77?u#;HOfK^p3G!v$L?{@= zfhx5HerHRpFMNT-jAh?jOJD(L zFI~{qQafLe6t+=i3>qT}D<^2iiPB>O47NYH2G8zTkcKhQFsy|TmgF3%*ahmda^(XW zw=cSH94f$mif~HG4H5$j@SxN<#)6OQ$Rmk2FS|^!n*}&}k^(!wggL{k1uS+^u22Kk zb-D9$FF?nAxKAQy95D$86D zIjw3<0sx6A@rEP6?mE|2l05mRErFbRDuQV>mCQQHdW=-vJ87JX)^UIngq`(+vu(Hp zBl&_4G~L#0g7ID=R~Q4iUPjIX2<6}h8AWfuC?1;+8D$PWAOKvkAJrZLjpq zT?r0Jjx4ZIUfzE;nigBqMjx*DTwj45mR(MkPIU8-IGo zw5wzQ&99;R}Pg2fPRlq)Wrq93&h># z&%nd;4yn#gRvqO(1lN7o#KxDKnZx?627uvcra9x0*h1*Fd}Xf5m2 z!N|EwY^tFxr2t9&hw61=k=fZ6Vkt(CLkaX1>5_-i&Z(^Dp1}hvu-4qBD9y$wR zTau9sLA9?czb;m3kE72N`;<1v2c3kFfM53cVA6G%C#Ohy?GK*I1v3JUF%`K{b!m})aj^qa~xPrM%^%Z)N9?+>J+_%T;ZsTdKWlWei zl*8BdWH+?8_Rlx zTQO9p$T&4tk&uD4Y&c#h2{D63kpr|V-N($%4J!<_EtY11M`6dsk%v+igUaf1^M{u; zX!^YyIn-yx*R%)}&cBJ`Xfn%s3aMxvfo^A1+<;1pf^MX^yl=$FdU*IX23teVEvhRg z5^BK}#FJNieE~W=SFu8H;v*0Anr1K5lYjp`yX?A{A1nx7pwV4f%?{6oCEF&b?sWLk ziT*07UZS#FdITcXy$33RyN~F}iB!{p5X%oKHus!ib1#B@ltG5o37A|G!AL%d_eK!> z;AV+eT?>O*MpR`sGC}cN31dXgAnj_!#v_{+rAzs8%d1=;cKk84qXf_Vx~~}$iFgO{ zt9r2c$R$~p^RyaKa80OQ&gdPN1uda5ZmekO)B_rM4yPMtd(~MIDK>&|j$Nh19#P!* zA*5aR(f)be^E;c!i~qSR{nPEh*TzyhviWykeuK9tr#-DmLvTW(wdB-hQl7+>!Ocr8 z{D63L41l!N3oa1sZbaooaFq0${>0@Y5`Berx}zN_^r)63_CmQ$z0R`r7;LZYVspZ( z{$3LWzB zs65gY1ZIctcin25ZN*B}x{U+|_(w;L8p0(0ngSaem80eZ`|zc`q5EH}kcqs7jcpLF zZ73aSy>Fjp_aiOr_=^4#l5|excqIt2UAMPk4`zLT3v^Sr7IC1i|vOS=LfC{ ziJ&u#l#A~9<7ThhGg5JY{F@}FE)*3LY6Mr`dUG4}`g}QEZ!{J`PJu$X$$Vcd`{K2U z9)|E6_YT{M{cd?=?_;ZyLMxv2RPSefM%Jssn+>6aY)2!4^anU5W-!$;_d60>C(WWB zAt?wDfTIEq&5ix)nDT$Gy3@eZL(hgM0c_&fob+g=W6wg{BKN4$CtU`)>st#?gBTcB zqSNi2rfHoDjAPxvE_Sf*3-WGkYtm+)DtRY#kfG0YBeB4|jjiase(GiJpycRds~hCo zWPr;oN%yJZb7ovuQhXHn&IJq6R9&jdMr*WB8tKwo4lmOuX*hIra!ky=SATsQcAlqi z2IcSS=Le;y5rAMs6m|5=mM2+q5c^K9?E+?H{dl|P0_Y=+$r;ARJ@S!MZCBrmy1FU+ z*@CHWxAs_JF4j@EUTh>$D|QMi9Vw9Pfb7R751t-d^itG*fR4M|+4l|3pwH`g{s1l@ zJ0AP|)_PpmTwTK+)ZP0MOaKxh@Fq&=_IfJYfnVrK5b2=o%}gw3N*Zi*qe00zVzCDC z)v{B%Emb||n3+Fkq$Q&LqD-T(A+j0`{?b)>op)Ra=FfJ)I+(i+s*Z~xr7U5_Az(F! zdph1D!}P@yMM@2(`ws1G)TaD^kTF%wI+*6A!PVUNp`}X}-u}(^trVvP5wG?A?!!?~ z4j&JMJqc@Am4%ZMprXJApUFYs9ny7fIVT?2-k;))g7aVdVQLbfVnlnR#hjfrrOYQ zB{tgXA%cbax7ki>G3mE9A`#jZ-o=wd`I64RPgu;PvqR$zQ?ghSLgBQ@Aj{%x{P)MH zw|()eNX3m4lRw^U9a?M60Gr{3rWmLY?N?{Cjr~YNh=RnGBVYroYm;v6&|Tii7&52& z^l%NLz!$=<(|2aC6#|6OE73rMJGy~x#=TtdhOk4uU;hYR;NW!1%si}3AZKfy8DJC* zxvFDwDo-)k*hEKpPqQ}P@3Qgg`rkDHA$VN51cIa|u*Ue%9=-ID=;={uf(vUyk?;l3 z8Bzt>po&wRet7W%R#HaAM#-jZiC-kTl=2U?_9AP28x;`5dCk@*)yxJ|U9IQ*C&Lbx zt2XXmf}u9C;*5+MVq0`@?9a|77KfL21Sx@CVQyntc3DD_7*)%A=^r-3T0~2w7ezvb zt29&b)Y}neQ&l=9$+;w32vJ5R+>;4mB3t@h`foYKXZ%;4`2XcA{e_qQM{eMM4=GJj zzxW4V>E|zB>F=uF6RiQBFHy%&8b?QWvkA0-dUidyu0##VIs!$4s{VcDw^>RNMre}o z0NV>EGk5IHWak^W=g;@lO|G3ihB-c;SLfScHOGbyWJ+BHdykL5qxX}e`;%GQp-k-& zmTM|ymM-2NzV@<=!{;}ADpi`BPJdiWVNe5vSe?nlR`S5+-yJ?5eGT6aoX&O@XJkx+ zDG5y>sKTxgVMnS7Xly5k6cHL(cxsRi;#-$o?&EOn)|p{8Z|2g#x8*>+M??kA5!Vz| zOc%p(oi`-)WW4Dx#!UTsTEB{>6b<=nS^8(esB*Uj>xdSZP3g;}k5b~d44z>a7n~N} zZG(m%CcJ1l_OB^5Q%Cw@8x2*wh$WO61G1Ukmwp8jUik_EqdNum(#_rd8T{3&lbKxY ztLg_OcK9hv`*YXgv*4^asw~Rn8XnT(^I{-T9O^E7iu~oNe4rF%oWgXR(RwR0obsXm=54XvTjHZ;mmF${L=W)s?%{nvdG)Hz3rT?e2NTEy zjN|jmftTwJ$#wc!TZlqp2h71ezef~VPN@Z=5_tev;&cM3*t;+mm2-d!q=;-gxG=?FD|Uv~#*Jig$m zUpd#lDZWiydr6`er_!12X#K*Q9e}WxkH`*ML2&Q%?>tZ<&>u|HSj}L6EbHqH^c%Q5 zC!@uKBv%+MS6_O`d-JFXLWmkRShR%xUZ9y$VnR z2t$Oz;BmCqr8F=TT#KC|`!DgkNpA@_%;kXXQ2eEIJ#sGF(_S!wDf)PMQ5U8iYnQe>2?Vnu^HA zJ;AL9Kyr$MFrp7H4vv%Tn824-VpJKF?Eh47WPQQHgZdr#$sv-L+lniy42SHl#5J3Z_p&fA6e- zns;iUhYo}cayUaZV`dyUnOE+#hu0H@CbOKGGBa!W4AHFd(_(2A&F;>7ZD9d z9~Zp1#ZB?j+~)bb+t~5#`eK=KCQJFl5W*+(4qQS%dpLsPh^c=l5SQ%zv+=rh_7#kw#tbOw2uGWU-xX$f8edZBa?|VY`Lg~j zZ>cH3zOFD9exac!7tV&tWZkQPNm9~EeT(cy>M3(B{kW7eMl zBPtw3JP?XFftQFgb9N1Xowy>diXU%!#wOpZ1{rpkRC?t4NCeO;|X=jO)LBG zq{k0uDTROa9%B5k{G@VSh7L5T`nj#e#hc;DWN9x}ok@j)K+0%^n6o6Nu9_%hAF4lo z_HkO%uI{Dq_*Zl^3|kh%Z|}<(A#=d3x$Z$lHHDQ?S397;ybdndEfderng#NAn^Py) z^MYo`GQN2*y+3_Qq>6^J6HmFmZEvtmF%7c=Vrq5!eht}#NSg0{%oLg0ViKp=W-`cQ zr~}gwnd{w#N4CHe9B6v003M1+aM%JtuE4T*tLQdf6krcf?Y-I5B+own3nrA>>ZG&` zHVA;&nY53Qo^EX+*qMUz)LhK~hP`T3kH*?J+nf^H1L6$Ln?}Jw_AbGGsgNgQtDtVf zF}4j;Z2XK3dghiP>DO~bSa^^ix7n{}xmKRK6WeSZv@3nak-)c#F=b2)cIQ$j`F54* z`7NNrS54S~R!_sdseAcYaC_5phYSP!YWUA~ySw_~FH}W-C!SQXOA=fJ~@t_Sic+fDMSQv{gw`CRxhKFNm zk&#VpHN%vJ*TB~HaM2qOkws6CfNp5PDO1@G(9{aH*jtu|)a3y{VE8&N=YuvPT6tbU z;Lxjj=_ktq&x;u-orDwqH_PTnOgcnXs`d>H=@M(a2doKR&C4(Z_l8~UjYlxRodKcL zC=aue^4h~%5T95r=hg^{4kD-s2O>d07jSOArpt}G0sse{Pt)Sc{-0g;@E*Bvn=v+T z<_ZK<$9{C6CPXKg;vt=o)(lyUfBJwZgca=}*lTA5EMDiE$fy}sd)pd#%-5^up5cb( zAD3xd(Blh#!3>mBQCsKafLQEgK8yO!8y`|Iy~Grd%SFw;+0?SP}Y^+#^lcIKJ3PJ7oO`A7`Kg`78h}MYiS0h7!$$a!(tL zggSwQLeLB@L}G9;9f|GYb57UZR1^3Iaoy znF0 zCR6)ii|QfM{^B`^%QuKAHeM^9RO_ehpdmJXseXKeb->fvV6}^!T=LKpy?}bKfeVx&P4<`d?rO zAl7hl{EJxgzlxmxINH#vCc)|`r49qiruIA(!fJ`r6Q zbm^^1`4LP5ix-g$Gf~DZ>U=(xDKBjmnUPF;+@l!KO_?>6cU&x%DXaHXsMl0)|2$q- z-7m)Sce{Tiw%;xu_p3Lxx4vJHW4)l;r=Y7(6qkB6>FDctRG+-%YgMC&36`X^JXUEv zkH6ghVDr*)dpLCYh2-EJ|jI zNngd$b>ptiC0YQ?01SW$4KZs3k))N>F(^44;99pZL=>du>O;k{0#1h zKiEnwT`CSotBYQnT}PSyEKud9YGjnxkGvT17%I&@EwM1WHsg?dTvJcA;C$ zMcymlNEeVxB!x2Y7aB#f(uElL5%7g@GR@;sJ0wY=T*-sSRlPh(qDD;Y^Qh=wGqP)o z9VM05dO6H#xY#h_dbb$I;@D9Ov$D*D{_r2=)L>HkLpu4RGYfJiLYHsm8su_b=JASRc`UgB{I}(|CdY=LFmE+!EfUN zS>2@Fw}xM3S0X|BN}=B;!PyKUe+AK?7&fNludIWNc#l+iXbF+M2r?2 z8jS9`@K);1mBiFZNh*VugwLV0cVzG{Hs*0rud+!tteX6PfNQARJxv*qW2XO@Xq3bE zBL=M%j_V*ZjBdf9ri7|EGMF`oA{bKC!RN&YpaVO%DFYQVkD>7puXT<_GZhBU6l=T9 z-;U+w-Xb3PFKr~)@$dGRP>$rg$%h_cT!whm)Ht^`MFT^cET|-5=pczbf2J@AI>NkO z)EKN?K<06TbUGNroSFqPodgQR&db&acFQvGqFpiczfk}b70HrChmWy#>_uDhD7s>i zyN!`-Kn=4j68yRyCov$2&DH@rH0MXYI{hfbHSNYu{7j2|juL8VbW+O`mxQy69yZXY zb*@a;ri`-}Ol7A*5-bjb7rk5_6|AM<-Eq|H7`)t0>XgI{ytJ0-Vv@mzSm+P0y~3x@ zdJK!;PEM#3WdrBSD`%nVfs*MoKHI^dyB=%;$q1lXjG*cyG;6Aq0!rhJSIVk4x1;1n zdPWIFem03Q3o*O?d$c3yh_NVKgW<;{u9Ui#N$#;srfp_#xcFU*jpF+o9wkNSauFC+ z36KT0Tl-=r?D(|Qve*bixws14drNisCBwG%165r#-@xaXVot8`!Ngc^iiqjd_cC1xaZtGa-QF6|k$hRLO2xq3$!XqaRU+o*2E&#!cXRZO1IG^9lBz)A`eQ0jO zF^D23xq{D0F{X`TL?;Q5st-1R!{s~gm}`Fz+9%c!`{U4ZwB$dRUUqsvPV2CN9zl$FVSGo3U*gGt%d z3?SsH3$d#fRaVgp@lBCEDM4w}_DQP9gI969!JJ^^p||sl$LYJ2k7Ck^U1~6xAT+&& zHukU~N7&PtGZml^J4Em|AMUF5dQR1z<%X3FN1xOlRkJz`%U9}Bk!AWLDKy=U|3eUm^g$agn|=@wp`8 z0J(NBhfxGSh0%lA$EOlZ&TSx-&k?&}?6{clNcYfJq#KzPm4H;f-rMgE^H5J z;9?Jm_;4Ty8`*H7EwLk!^N<8GPgGQO#_cE+(DN|^QiDnW6S_h-Fq#<)#Qs@wy}Oe-rWL}d$MmdUh;D<_-(eX( zEe&CYQeT6US~X>HDzD7r?ovkPs+T%X)OrfZn3;a%bxfIIIVUS&=q!U<0Z+Ntw5vMe zj*23SpJ+O|-3qult24{%^HYb!{0>euUx;*aJ1egXX>HMuiBHkCN*x}=&!wjgFURC5 zWDb1Oxe=~Hwln1%kB_`L3W2|`N=hbro0-iUNCBnjF$TAay1m zk>pb|2_nN~KPl zBqv5-C7<%A9904)qt#%I{^IY2%FKOil+P=-ezW3M;gUMWXlfInLJQ*kyhhFvnIqxsdNa)#~~c5qodj!{DR%fTyPQE{Lopdd}*Icsy1 zvfIHOKum4Zz^DEta5E8swRO{4B4%{mtz;@h21K*6ZY&DZ_BIEl?--zmf>6XoAv|d0 zF|NU<63(qGq<*VEVO>7u`Y?RkEe*cJWDwAG(6h9uqnO{J-(7H5Uslq|VPdJ4C8S?M z7eg*@oTrDcADU5Xr8@2w;Ek8}vzteYGWN}huH1i?Vjz`sNuW*Wk`p&!qPiMZ(ciVn zj#4h>$ZFY7D68er$u6(~X50!lgS}IHqKin?k{uppznJSCxV|r@UtO5{WiU)9?YssE zJJ!gkz!JN^pC^`?D^B?|-D->xE98JV93H+tmF^ClP zt%Pgn{>31w5K3=A}hL^N!XJ z)hh$c*B-hvdM3wGiaqn0$|}c8QyN6IHBMjKX{gJ$Xe+vq!G9p@jqC_~bk^{3S|8si zq8|?oQc8yz3Xd{XX-{eWL@8C0lF{-nxu}ifCy1-lN<8TRKn?{X9Kg6w-J%eZDro_Z zGXOgQpsYYburG|t%@kf8f#ze1K+cS4WPwC{zJsG)qt7636Jb;K!M$dWA`gr1|-ehMi7p$|cYDx&B--Hz_xTSLU0< znojR-*g^VO(WWP5`xE)(RQfyH@O+IcDn-<{l+?o`rPrUV9~0dL2iQW2K=XLGc@Uq; z)W(Yv8z}y}Wl>ZJM^%=O^2#&KL!j?Ur%N^T%VjfCr*{>j0s7B3{4Kh}sx49R)!-Rn zamh|uTD5PMXV(v;X7W<-g zZ_^0x(r32?iZG*JgCUicP;(&#Png0?DDKjCy*KQnKG?61jh5GLRt+7Rwv&sbJ+068 zR=kKWJ}4fXY7ZmU@I9QkD);Tt`0mzt-srLh42PQC^j(raLPjS{JSlzx^V{NXSMsNL z7LMIjlmsX+SP$N*v2MDCt0>YZ4!=RuJAkIpaY2=)wFbN>f{?(aUkxBk`b)zcsm@fb zJF~)`C7j)@zaMl>_-@TQQ=Q!4WjB*y`Za!S*6}u*Fl*;l=X~?)et_gg?IL?#dIh(5 zzUw2!Fz*Ib{c!Mq+?f+?xr-0}A>9YO5#po8e+<*GL^IJOOVC83z!b|v&vyQ449 zb&Xwssb5=VgCwM5*}y={WsXkoJE}&+4YXtsGlG(uIKb=0ty3{Mt1utmveMgsPB9wr z4VRxJ5NIT3L5{+>YN-5Evi?w%vd9aRvt{(tUGZ-oy z+sH2PxXp;`hI+%B+(1C~_v-&$ySdKZ1`l@c%IPPBEiJ(U#_K+qP}n zwr$(CZQHhO+cwT`8>dg*N~fyQH(k};_0Y-A&U)F&dfLexbBu2s^9>u%hm{$7%oN(a z7ZZA2YZ}YA#ANipar$$gaAKBB>pVg5n2%vKjni({LyhkV=6}_}d=B

o~SVv7@?W)WkvIp>CATx_t-pXC2>7bSWnZJK!rJ`*)ntymC=?{ybf@_WKGwtEaj&5RCRw$)pH!D(=l{e?Q;YgfpurU>afs49Ji|VX$ zfA^fb1>al$CHqZL=%u~a*e(gU-#_GCl9@8xH&g)R4=VQqGI`?xkJs+1FR(@PdnjkV zL)>3TSLB?Iej@EZ)L)J|!p3GM)4-gGn_dL*Rv8=Hi~e{q(H9*JU#nBN)!KNJ)`n=; zVHaV?<~$I!TtB23mN#xlr{qtGjdx>ul1Q+Uh_KvX0>l4oovHSiS~B0W0^w$Q84Jtf zV@7#+Z`dyAGzmU}+-K;zN@Fx+IAx~62o}M(Zg>^Mw30&|s_mIS^PNs?IEKJwcplvR zRnQp}zT{*9f)2jHv>;A6Pjq)NC!}rIEq_XyKOq`#pW<;P?;~VB8>y`&rEel9u(sxr zAF&*#ZIq?Sa|pE+hCCJKpaMRdSiq@qFNE6Jg-c-a#y)fgjE4b}D-`_xL7CJso;07F_4P(Iv6H-CMa+4K!+JIK|%Ax+C7;D>1XNbkNTB zawW6<&w>q5W`}?ngvkaKfWxe+RUE1|n`%PH%#Gq?ZvWqx$77b^;wD$x{BUEj!>-d^ z=!ZX4_f>lij(C~Qn~zZx!lF&Fg{!p0n%6W(aGb(6_4Ss%-l6Nd#LOXhKVmK6gs4?u zOyz}~I|*44T|K1Zk7-iMRVtIyz!p+>I|jAsuVmW7k<>CR^WJgh>s@CTLo!^+tU zOFY?ec)LYie=f3|w^1TeriLWiFwMn-T8?gNNu-(#piF{2*UX2weRUUX_f|66Oa2PV zs*l05iw!gC?X()KgMc=J+%@{BJAJuG5A!aAaRNt_3qM3hnZ|>;;*ZzyD_sAseC|)1 z*B4CydGnv)?p-v7_6&9#Q3HF7=M&EmkJZ`$TvOl5X&2xZ=6u*8zX5JA-{s)=Al^@I z1JoyBXx02nw(q?9#-deg2uB|q)B3G1ADPF-KO%G1zQ=%S4OpXaO zHbUt_CY{%jb5SvxV$8G*^K8aD6b`(UP_GoVseeZBwu$O~m3>*?R#fy5smXK{4*RmZ zi@vl}ax#h#vgbpRTKcdJQ0WZixtU^yFR7TW72`#L3%tuXM5|d~DhlidwsnmhJ*U>X zVoA3f-GL$N4e5T0?I?~`DxKsr?!da^D{qf)X^a|gi(tC2d4hGn>4$cw0uJ~(LRp*Q zn^+*dme;?q6XUd-u{DeiTt>a&bzJYBhGRAhjnVMk#8SIl*^)Z3Iyy@*#;!EL@d6_1 zgroQlbP`Nq@*MZ23_>LhVrD z3`!5_Jr7b`+A#80x(ayxJW^FoJuO5qD=Nu%H4b~9n40fYx zCF8oRJ73^kE5-_^ac{s&Ff06pvh*|lOL`hp;@R_)G<>s88I1y)nvfNr#iPjEz2TIR z%s4KLTe4+iY@u=szz~P@LvnN+N9R9Fiv%#f5HynMSx(HUrv(sAJt9p7qi`85FD(LWMIW$+LFEzZ{CfRD3?Z*YyMe_(C8n-5cKEIVMOXWXrA zMfmpPJOmIOyo@}9m`ik#R%*CX--hB@1kTeKDCEWxs(qf}0=@(e9)$U6*=vWIgA%|a zSi$C|=!w%D1Tr|%5t0gIuCa4CO1s7&(&#YSy-A&LHuPS1ou(4BfH_5~*XYSi^MK0n zF7)@pfJ4gN09h9{F!?8d)0VXht*Oci>X=k?Zf6Mg=eqq>#k$7b9q=6g{p7kOdX*Q6 zTiR-8#mOD0E!MYSX6m z-9nmB`T2~ygq0I~l5bVf{wi6IUs3~=Xm%y_e%i%x0#{XzSL_fkT?dCV%aWibXRr8J3062}SR`XP-7Izu+c7#XWIl)F{9F$Ka* zW;7*SOl?3a0m}^LJ^k;&q23Yj7jFIi?1P{yXBCURKRs+fyMOt`d0K2oiF9xvt8UE? zysJRpBtCu28!TuyewR&tPsmIJq!~7n45q4;Xvr29yiT(+$d5X?of^*7#Z9j{{^TR! z6IP&iDi}$W3Mdk5==W8tMUHiz3t#)7Yi}4S7R~Yc4vFcdzfu?)>C}eilnU~`f<5bn$f@<$cYrw-52f98IGcZYEc@U3 ze|6{#K;8d}cTDX}oL!tu4Q*lno&M#~U>W}#+~@!Cw8+Tt-^N9z|B~bT|HHWW9~b!_ zl6EW%oc}Wm=-QR+0RbGEb#HAjAgvM>*uVCZO3v5y z^1doQiP(}@Tmp#z&xDoB;;yUDzN&2fmACzUpZAL&Zqy2VKaa0la#z%f8`TOeSJ$WX zcow|6y}CL(wQ7M-tJY4aZvqJAR;}g0Rbt=D)q){_{(j@Ca(+LAMTUi9CI!yeD2duW zou4yamY)T>p@D7sw8C{-CHYm zCVTqust+MiXWWyu1Zc@wqQ{2w08N?uM*%0viGXB}A+%)f&)^%4j~LXPCrL&muW(pc zH9U(i-QHj@X)G}f2n&P^{+^4{B#(ozb;7jMLpZf0Ie{->_Y(5x1l+$GKdH9(Aq_uB z!z9S?G{X3Jp5kLaD58$)t}nLxG*0o?YYQ?$!uqsnndN@h@95jFZ@CE+ye}huyMV{La{q9)yvAkrVtH5GKEpE|)C2fC$I(Rm z^>u!~0)=kJL-Z_%?D1^a7UV@#)K2{p1_|`)CWWi~@{_T0)1jou=97;*sGeuzi8_R9 zsNRX9v4qXLMbEF>ZvPr~`^p^#zc1ll@6e|q1ci}Pzp$wz|;OvC$gc>B-u`+{EGxPgmRr z(=aFMFw10YJUhk`MMt&dFeRAC;}2Y-86(ZES zA$|`C)^4O}QGx}>iVmP-em{8v@`f9#K{Bif=Abe*u1{1JhtVBch_97}DMdG=j^xVq zMj{Vc$+WSNDaiUmLB4ocbKzlAiO(24pkDN;R^&91HPlsoN8>e@8Hwi`mjp8+mqFPu zg96Dc>ZewQY-aRFad(gj=}*O77$|ZYSaAT2duH@e{Yg>L^K_Gnrba+~QnXJ>&M7fT z@Z8OxC0ao^DJdLMSGHhTH)vYibHCQ*O-k?#Y6>%_+)Li0l~mc85w>Cn0<%HSt8nI&UcnSB)WNX9b3_0n;{IYD-l8iK@8 z2JB^al4-dI%i%^_^9vn#Llt8O50}DQyqb>WeF}!A)5@HmO7l$L)x^WNf(g%3qY7Pc3`%a0*Vnz+WU;p0X;Vs zyY7ZM5{D3m@m_N?n3}6Bw8bz%9hgG3#W=RZOaBZDEr*9D^U?5%$5q0G1)2v|(@Vn@ z@#-ML; z$lz?33%w47l-c&8{zmX%$EZ2uqdT$fv(h0&Zo{r^X;Hqo%m{QEs=?{jVPyc$LX&|w z7Nt2s)A3qB8@6!PV>(7uZ( z>E=>Z$)~!ZTQX)Y5*asF#@WZ-jOb*6{$=>7bc~cS%d?(Ppxu2yLM~Y4Vy8*kJYk#Z zh~TyT5}s$%8_LhL9dlxWmDMGi`lgO-f>BWd5lK1}jiaG+D5)lTa%MLnT{Pw}9G_GD zM#oJ0s`pb$2AOg+Ma{LCUh>pN^J4DE9*OLx zr*qK1z}U$i9cvAhl|?dnN)|x}_fs3G7kQI%`SRX!mh%;tes^4Tm_YUg>ED#2OQ{Dsc(dFygd)&FR=lb~=y3sfFQg}|mb+Xa zVXbrlaVzQ?^PD-b<=EoJ$x&f@fX1;A#O-_=fd?mKHCpl`2-2#zyZ{Kg{wmWpCf0y1 z{F%{McRK?)g|CfM`9>5m21aMsPL@SJYFQOjapDw4yqWOCsP?nD5CJFJ3DRZz!8@k6 zVFdsqxCXBLM9jEX`+hO*9|h7yKXeyIdS5waG7&?KNF*_ZY?ZNhxhQ+dQ zb?q|o%6fMM6V@AQd2ogV6kUHvxlwZ3s%VPImV2sB@{*9|1Eu{x%ili}*P{7oM3X#)i1vlN4c zG^H4a&^IX?V;=~8<*8-|q2Y0sj=DFD10mXE9Y3wd5gEx@G0wPbHZ8!nY(?~7M%M*M zEcN@-Qt|iBSkf#OU2iNYr3H#Ltpu4S@YDYb0>KbO5>${#{t}6+>2xt)s8Ym?!pO!S zQWWZI;GHR|1WQy&5E13dJelretOOd0U(TReS~C52ToOGsQy)bESMsgSH$eoFcdj^W z5_v`UMa9V1a?GvPs`=D|9<(r2nNA`}Qn1LmVQ9qet!Aq$k*6eNhtjHI&dvHHjKF2I zAQGhGK1{cx{~Qx#xAUB<+4|*!pznxIWUlfd(Y+!J+3A{^?t}5H`~`I!o0?fk*fLx7 zCrXl`$Gr6R6mBSbx3vf+Ax<$!L_pulW)KWm7z-8x8^Z`p)5GcgUV2sAc~(z^KTu`E zekCnbt#=e*w-zph#>LdUwFt*ytla#z)TiD){K0d5;kMoT%W6Sg*|p?-Jj_c(QQkVT zg{KZKjjmLEpD=lQUt1SJpf&HoWj%pZ(R$c=JJ?r7f8VIa(Zj&K%VJB#DFbC_GjUjA z^!i`ESsscQ$ym*Im>D*indj@n$4NX9|GW^ADnsTdSOVXvste8FREQN7XkUJ-K#Vo% z6ZyLa7LIp<;LR#}E=F`K!*-qE;NyNX?nb{vecEoD1LX&m)|TK^BgJG>*9FmKc@zNH zg)>^Hk#szO7}|>^`QvlXnND)ij-=p%tkLu`sfULrK2_InVv|19)c=d$YD~wK!+q_o zTebI6$l8C$OWD-)Win|^&3FqJ&jZRRQ{C-}^hv)EXmoqUd2VoA?14`xR`mIgP%%*@ z&1HeG>a4r>ygC?We2`yV&wdLehtc za1eY0h0p+OXZf<_mI}8V#I&37kWmtEh-p7PjmHb0Kdz?8^RmWHi>TRhn2B&3M$3Be zHv8nz0saZWTTtD0m|)xvGKi!8lL0`Amm>O12N7@$= zUH9fJK62*#K@6_1*Cn2Ff2cyrm+m)=M1*&Rbf4`g#L%2dHVPML=8+VGFBnmy(imrw z?59(LrL;+1?9gW8H_lUo5zJh>3rvL8%XA=)WkVEiDF^@Vt^Zy!urGgAp-X?yKvD>f&CBbbzb(>Rn%5~#ju0K}9v zylg&vKh28z$G%?iIJV*lK5>yo7e`QV2v6}8$J{fe(l?6h%x=7?8m(>9K(g*8cK^c{ zmOgspyYWpg5#j5ZCZ@X!1evg5ON-%v3fHXKz=9RQ{0K%i^M~ZpG9_b{MXC&rZgzbVEzbdrV94CRV#zV7*1b~hiC!D%<#Ybn zxV#+Nqaq`PT}gZw7tshus3jt%ry98c#8^0@!i2T3PSJv5PhJ7LFX9*mkjPX^DPD?u z1j!0bkU)FrSZoiK#cU*0Nk%vY(cpI!@sb_D6CL-G&mS4(>`f36yFrW%KI&w*i#i;% znsl2}#U|u}eF#EiwEg#8Hw!WP5ic*5^3V2GR2(cy;ZJ3FdJ`|cNKoAJBdWP|vYA}p znr^*#5=~!!0iU)G?9sCbNh)sC^y%l8AjDoBaOiK;L!iP>Q>{zxsb8F+4fu~-pT@)W zDKphy3OZp*c<#iq1^%2XvjmbZ5uFUK?q}nc{_SC8dw<^kd>|MA;}R*$|3ndC`Y$M< z{{O1095E;MGP-)OCl0>hJ+>uGF^pAuJNTt-OBfum#<@|ilb2`&Y za1}Q_7D3YLZpUYKI-SktdVK8tJ}*w~?dkTj2EMP)BV3Fj?a!> zj*d=^5Hl8N*w6#r<>P2b!eKes@`s2<5Gbbt1fAZ=1 zJV(8FC3{Xyuso}clDu7iME$ZQ&vZo<&CrrF-HDO8Kdi|L&AS8*e_17a`=|G}Ct05T zMiaF)YaXh#Qi-6G;$0(Cy*J%~NjdtnMD}9;;lU^V^lxk_+yhoI#^0dMp}wFAIXpg* z#Plk`;WQL8suv-n;Wt32o(22p$QI;7vmhrqSkPO)Gm8?J)kkTad9I$?A%X1;%KY}e zgZpBU%#|Bf@a2a2Wn_(NJFcg`nQ=z;O8@!Zt%@$N1LHPoBz~3AZA<2ii5ta^q;r6N zAzPqEPW5q+m$t7yaQ_=JUQf2O7x5CQ@ozQXyQQ1X;w*J#HaC}Hk01I=lX1AXZe&4R zq-?*RWo5jD9V5MrlJB^lgtwIrK4T}^RVOSweSUVP8fuT%F=i1Ck4L$F=0}db{=PWn z$xD}xpxd9dHxx*I-r!&*8^WI~azg`W{WLgk_~gBsW@NoMHD1T)>T2Wu+4p$6(MSdN z-gOpltQi95iA5@XfJ0%p}G+t0N%J2$e*Q9wi zu807{>9jxUFZUnX3^JEH$YDkp&BK!btIs1}9aPA*Yq;1)ABdP8E}2%in2_jC6JQcv zLluUI&yg(-n=G+?duEAVzx@x8U(YxQ$sPhZNO90`B~U_Jf|fE7KX%TRRR+8&7Y~2a zDmzD0#~|C2;N2(NgKMl0L43Wsw;XT(PREy?2wpM_H!DG0xe_X0)^0S0bXF@oH> z!;BXP@pr(v+LIE+(TF_~8~iEOxqV^)Lw`2IrSNUYH}H_O4BZvFz_To&LjSU^05Z-F zbSkT|-N{Os4ksWGQZM2U(T3dzTBQe6zsdcm98OyjiIVFp7V=7SS#CJ(h^}#UAY||8 z+k%$1x{1{+uV1V&p&uApl7f{4LjI_blM)?1aa7keE4DHsxF1eMl>8p{S@AF~v%>B* z)frJU=cD&1`PN0=+3FwJW&Y4PhPNjWO;|AB21RiIA6i(%PcX*GcM`ugQm3lyBp92w zS4XOj(MgQK`U%ny6RHcwFxa+&+zsc^A+l7b0GSE9h%BT2_OLZ|U zI-WGC9*wV4G}kbD61uQ?&4Tg4lvr?IeB(tQlCdSvC@2HtYhOipD>rJ#zfi7@OsL>B z>F-us^j}LoO!d`J{BKA^r5p}coH^@jJGw`KSw*MuT^7>3GL`zD;nSbt(6r?e!JW=2 z&?LhVp0M3jQOPHUYJ`_Fhz~_7GvUIG&$kjJ77M*ik-9i_2?lGb1SQ4-1(|UY19gOn z1Dj`)gAd|avF1I>@l4SP*GaJr1@BF~axop_A? z=Tx$_HUvsgx|>QRli;9nnkIFkHcK0XA(L4 zy*VPx)15STo}k)_t(Fm>Ket`gYjxZe$(V&d`{-%M$?;WqHOigq@YI z0$bvjeXQ%7f#0d&nvn2u?_oYd+pl6$%~ndZo7ZOb%2a_rB2<#!93}a~;$9-5xGu-`+VF+6HOsV8 zuW6!MOEHKR&DEb(q*xIBj~Z>Gp3EVhMK%TiFW53}9Q72ha_mwvl$7NP*|oSxcW%g!Z(c07ndvBFKq;!qmS3v*5xpXh`OR&}BYs`5H}V%0#T)VaVtq{(Z>YQH z5&on-oVSTRu)@P$sneI2W5vxMQuS=7?%tg|daw!8$#;3kAD26yp@_j+;eQgcEV%8Xngm?M~}FnUC_AV z2KJ#N!REEE-S-;a({fvx;-3l1Vz9{+1ZcVKO@>eJN64gieo-yA_Ku{_=h?;7%GRc) zEeL!@wzGoKi8pUErWbIQ^14iGw~R4jXC=6#(&bvd zm9oKX({u6$^BV=G$3Vqw?c$nC7N2B$YdD!9Iq&F56He(I6B8?5b6nQa)i!=b#G}Hc zSW}Di1IogEI`fDf(#Ee^VZD8=Fh-qxZ%W7!p~O7%B%L3ZQr;CLj-IoQ7UG{%m>$!r zg2RMZh+ETbvgX!_DW?#hD06yKcqka5{3HlLVe8#1dzW;k#LP6?DlL`EOu;4e zvJ$m1wzcPUYBPoZBGRFO&cnSEh>K3nf%PB+E(Y8+ZMj!yu*`q+fb-?0)sd^zTqJuj z5y+-jNch4UuY_a03>&dId0F8cCL#0&vz=;{$kD`GqhWbtG4{BLKyRf~i{_D;Z}Apr zB^GNXmTFP>B=`@rvb4!-rrC!L;=7j;-Ua>j)wHk5I;}ipZMkL8in0#lI0AxV7pJSm zXziAIlU#?k*l#eBSlRuJe`@-W#fB()?>{0=1^B@BxgIYqS5vjC4XKbML}E&WmE7v@ zd)k|+Ll4SL69(G2+I_j6x$9ljYQhO+V3Ct)vqsYMgXu1IDVfHV|K~#rtek#8!M1bV zmujSnTVev0sb=V$V-mDZFUq-+B+AX(S#0H%MCRq>wLQ;pjELxl8I2$?_XP1cU|({k z@dLg=(sNk+s&wH=5rrw%3@us=C6l1oaa?|H+|mwiaP8ER2CMVwR_q1~s;|F^Q`<`D z(!+AZ`Y$1HOyr+}fykkn**6hv zN2R*1Gn?K7%N!7hvuKExOgW8_6{3H}Qh&L)3y0s}Mbz@zc=q>mp@Od!w^Jd<@-~z> zcQa0i+XIyrDaYLDv~W*!9{$T$OxF?6qVknxPW0fw`KVojopyo9q0|r_gnqRv?Fuo zvCc%y2oA9`Crq7s?7@PRWGZBVsPHeWPB|~Cdo>B-^m_=wLDJK}qo7Rg1bHYj*Z9qc z4$?#_ai%$nIcW}^S?&-KbyAZwN#yA0%GF;1q}*o%pv+-d$I}!EK+C;NZS#j&TqbZA ztvpMFuwl^Sk3B1#*xK4K@L1bIZDb+E#;C|+`w>EXj2K{gq<&?(e!i+3DFDJXgo9r% zxOc975lf4rBp~kZ^AILSCL;5MkR&GK5V=E z6sl0(@K}GrrBA=&_Cgase9#Z_bPwfv129`D7C&3+daj`C(b^8l$59^Y(Tmt4GtQXo zp>r9|J_WS^>rDpR1Y~{%4JSy}o%^O2=yjNcR;(Ec^B^wqfadaY925*}O{3Gwfn-ld zZHBDx>TXC4KwS$^O|Zz!@(>tQg$%{kH- zQ8pEV=I7ZSe}D7Id|lr>jK37g@UN0w#d#^$g@sU}@GJv5OL?sD@6hggJ2=>TfI+jU zrgg)YIh|==JG=NpRN3#0h-Gbyy-lxlB{SZ7Xi?MBoc&ti{CBe+j9e5l=cFVUmDxp( zuyI_SMoiKxNFF86X!adt-u#G$n>%W;;gQ*-?PXU+kOyh?%`gAOE<&t#Zt|arBmWH$ z^?z#@`A@|WrvFYH`LFn@|Cc>i|Fx9*e~&ow-v9;wXS#rqjrD($F4$3*wcnON>itrG zPTYz`Y5c&20mC2<4n>DRn)!oZ(1TzRnbb-h4JJ7`_x)L`{x7(Wl9cCRM(^FQL(*Mc z-L2Q__43;Mc^KY~|Iaj{;QVqNzmC6GUER5*_hD6DxA%Ljj*WF7?<(VPd8f$KLV!ctyBEzcHqvLZ{J0*ESdo6o+ zB;R{}G%IhVR=j`JQ`YEi?RfpZWCLw2dK)s$zbIe-KsT1N zAAP#2!ZNJGeWd4B(Gs>dGf|fHWBn*!-6!2I!g^G~+^JgG|4iKKA@KIfS??5{LBYUO zS>=UWHPs{oM~nWU-mdQ!`bADRofPj4S?3sVn7wK)+c=dyRK^54!>8IchW+w~_a*O{Y?qbFDC6JkHtq=;}N^q=db;!v~tqxyI4c zQH8HXa{2!{(Sz65>zo>k+)nu zK9o?!AeL_Uk)tGZe1?30?O+u8w=sbA096{srL>Q!Fz$jFS__{O$D`aDv7V&lHQ*q3 z)=)lnSM)8&7)vkOPBJ6(O&~H>2s{vv2kbzx0a^&aImE!QVSs*}2`lKbDBfGf6d! z=+h8pk0SKvn5R+&6x-D?o>@cv){rH~QwXFh`gbC5|L`yF{XMFr5)&j^!#uq^EMY3A zGN9<&Ej1$l@?+m7ZrgXRULK8M9hRQ*CcJ$2kKXR2Iu7ihB+ubGD=2w=n+x6SnY;Gy zo4eN8_KWo-%?&SR(iwcq6hd>FmcgH&3pXa2rA2om4(5gE9~2SXxFZg{u|{g|9|pJW z8Dt07KLs)<9w0JSg<@EYeh$>i!8-{F(3e2&3{F4HCs^0vXnxjc2xuQv;eoBEGJ~8~ zh)n71HXJCh2BqVm^GVzSWY&Qr*6rOcna!XeQP%>Q@E*FxCNS$>Vzjn1SBL11e?T@w zJWTVBnjJkpjC|c23xS_sNbaYS)E)R3cZoRPlNzM=HapGXPgqD@&Ikd}A!WzUw_8## zFxfsr9A-{hG|rnhQr7Zxr{HgHGGy1;YB}dww#8^xx3rR=%Ot~-nsdbB**F|rYz-Tz zw2n9*rcyhM$*RM|QObv-${aGF4@uk`L8P1;{T85F8Bj`JQ`Etyd{Wo%J3Gw}uA_=F zaN|(4wi>r;)802~R>RsX-A)6~>!uG+Wb`s~6pIuAjuua3yknUut;C}a|FAXkLsJOb zI&Ed)B3R;!=+01#k}MdSk(!~IOHJ5Pp8^A$_;L~n zUJeP=Ei?IEJXNY*1#AKC9>=}IrDtl|m2GP3^|ol9puw;d?{CL7FQN36 zY~GF?k_nb?uCwE}CQ??elc_)@r>j0rZ}rMQP%j;UMM9bCQXcY^Cg>X%I)$x4kbQ+r zJycWrY9^6%?6u}XOti4{9!%qT3KrJ4wd5@aYq&w{&MmrU{l}rJ&SGZ0+Yln(@VCNY zz=0umYTb-nY7_F3Iz3NJeUv+C5mo(DAkj>1+Lw0Hh>NamU5Pce`_>i-2j?iZwT>UY zD^Z=%+JH@aY?y-J|Is&aTh3}uB^a+ws%V;cF-UW-6YXKr1G!OQr|v$= zkV|7FGc5(%omEpdquWmn}{eTY#dqZnH9li1=wv%Q3Q+ zo}0}gHTDP;?0|L0lVG4p$*mHzV}q&W71@#jZaYlujevx`m%ikOHUlMl!jKIk+A^W+ zV7-BO+aa(cgEd}pORA2fL`z;rQB78pGSRt%JIJGN|FpG;8TwtD_-h$r?<>0p`tDfq zE;&TR{EC+fn4N4U+@t_OsR88T#+%-;*5DvE^-#pr+?kHwNTHFP$w#L zU%OV@twIucZga|YG>b>wN?C12i@JWHbU2ZpsCf^;FBF z78XZQ(J+RmY4MQr^7&k|sTUL(LO0WL5jeYLDwx$FvhB6R$ZsPn(FOUOZY~7l`i6K-G(dk?q|<(oc^=2JgLuhLCjNm)G%Kgx3HXakzxHmm z?rg58GA{HjwHx-W_U&Te2}>0MA10Gk-_aBBy-A~pq8x4tvR#}n6&(UQa@%jFPR#= zeDe?Ww1Us~6HT!gp&KSpT!cslkl<2=l;$U7yYSJyT6;^qS@7?nXuS%3ceP%;IW;aP zzD|3u)P*F)2=bVuP0araM$0N<^?vFDEK5;pctA-KAkBcPAsVnOc7ZIr2e7BQ8dQMH zf_Q=Cz!uV|C=vy<`WK2;IZ(<#vZNZ&obF4I0NG^30rPAGCZQ9(2`1-ZQaofJNbzuD zd4opd3M)Y?HW(uRPHf~NeZEWg%MOkkyi6OiFr{)VMU;Z z_8v)zKmlbz(*(=jl@VUq8045?V+BrO(U<}MEu;xq<|_ZQnd^U%Cib7sT+IL7%=P~b zC-z^zR#9OjVE^AafBj#$VN4us?EjPb>snnmdAkkOcV9nHe5tVm1R^0N+k`BV7uS5u zwp=Z*Cl|L)q;|z(6gO$A?&r#zUjj-8uqB7gc6k##5}$yMZjSdYFM7H^vcA91=WTd< zod|8;@9XCZe?*kVj=p3gDtel~Km6Ic~|&nQ3r#l7?yPpia%83t<{|M)xSk4Y^EkG0GM>O8_U!iF8YGu_XP&!n+1HT_sW*!do9>sb_YxoX)vQY-b4mkrQEcLh(z~v}P^_h9&)F0&LNoahP z23pswyxoGN#8>v~`35ovM9qEkve#(P(QD<>$L56(oPmL?uH@nU=k z>Xs_~D24GzNVRSKw(2F#7Zse@UQSG9-j~x7?-uZRUMu_AdK@Kq8)^9k=7LGG)(tXp zKpttH6e)2xeh}BroxNbBbqb8tCV-dS!&>AKj#wr5FtfPQ#aX^{jqrTunZ0$9kMwb~ z;}jni%+kzW){Kf>kBT(tU9%ssctLR4OKb{$L&b^R9J}G zhtekR2JvN1i@WJs1mlw>n}eNw+m%a6pgdBTO2%H_uxh$)4FDY<{Sanko44w|dLJO1 zYlc_Sra(O)s&a6Sa|8Gs=Y_pIZRW87!&oKpDPQy8@Jv?O&Ll<0sgp-x*s<}Ztne&3)>PTeqID@JQlC40}He{cJ0A!WjcO3oHji|-OvzL2L@*{(-`5g1%O~a5{=d+6g$D-J1aYuy5 zcNAL-ai&|RUV-k`5GO(0Wg$m>xb|G%u3%D@Yo@!YDR~W?^+OqOZG&rKzSQa~*wh*A zE`zBOmnSs-?S1_FLfZoqZs}lM$>i;;zKNvB^mn-xleAhF`~fWQ9?eA|g+aW{nY6vO zQ`-J;zrwON^+DLaN;vPV#fYdMRY2HwlWrVOA$U>QvwyRthlMzh^2cirxe@Ll4m9S2%CNmFRsyZV74J4MvuHQ%8_P;{qt3zXBDyqN z&3=>v#-X5v>43uJz@T2iFGyGv!tn*GkT!NsA276blPrg;wdNfWAQ!u(P2oz4&^j!R z_93TL;po4-Jq>oPWd?5xNHqYsF%gg|hGMGAqhcvWl|lcOH^Ww94PqTc-7*7bz}}c! zn&-?wHXC=NX4sbngis}ep8(l=^xFuED*${vhu%K2`#4!hJi3a|TVYIN?*lhT-Bdl@ z(56T`_zMD*_x{25(k%}GXzDDM!V>|rtrP}ldE8nauJLPx6T_bj4dHi0(X>_Yz%K3> zVIcF(z8DYz?bd;oX&XC|w6_IS2kzTqET+_2pK)eBqq%a}W`K>QJIW_4cLj9Wzt<-p7&!!vxE49?$%gRICPf73d+UnfCg7VOCF!g6pWhJmOzK{~`*2x0sOyMg%k zaKr2e+x^a(x`cb~!1DmVan(WYz~+!?m(RE#kBb{@hO}(bDtgQYzLJC+mhvQO z9DnRw>$q{#43HX2=&?;4EgxJUD3@IxfDp7(uV_E}z}*SCB*J;;h}BRH@pi5wOq6II zAR{xuc~2L3y1Im+70?fESo=(=1%$uf6LIV!%^QYbvxMW;N&C15>i3S_sdF$twz1vk z?I4qcnO$JI!n<>k$lRyxAdwa6o@xu77D)Am%VkjhULICRjszBy#lAqCnvqEQFz||} z>O{E|iK(D?31X?rpcGjmUY@W0Bx^@Cq;alTP-N2y5^?ceEz~a)g0W!hsOjNeLXlJ^ zbo1e1IdZJQ*BNQ(QQRwBc>tHc%+Zy=Z=?3#RVk@6L>nUNyGDCi79#z52ly+Yxe-T7 zXGTMZ4d!uwsSz=b=|IH5t5@$&EEqWRmmdj{HzI<^me?PeHBg=PGq~B_F~Y@k0p%0H z71Tb@LVBIwC$r&1pN>Y7Oi^Q|rD29V)8LL9sis6;=!vGpZ?zE9*+~byeWX%ui-O|{n~N6-?N$=n4OiQr;+#K; z|K%~zC4p+pv8YK)>;E{Q=d3YFA+?-eYP^Q&I!d~AHI1-uV}qD(6k1r_Y!8`#H6^tkrOIC|mj>kJ04b1df$(o&Q` zL?@vi+A=bzvTkrVAmBYx07uA;V@ch~PM`ub0T%iUXyX(}2=I=RU*AZ5?YWhy-RN@ zEfnb?RF$RzQl&^oKtOsEkPaf!n+S+hl_pX}M3mBjbWR>3pZ6S zUBgzi2yo-^AMPdGn_As`M zNvcJqk;@4Z7D4^-YyW8dDRMNtyyTU?jVk_!+eH0!RKfepsN(;!Pt@MgCBSCH|K&l& zpIb)p3PS&NP@#-k16sDQ9j@r|_!SW;O6u$D)1*xvu_wu7&nm&6fPwx9hHHS{Zf^ zx7W^wR41IvO|Zy)P*|#OYd^E|e0^IgpI^Z*TR+LFSl?M;^V^Tx54H1Oy?>5xIh)Y? zN+&y+>xp>2=(t<%=hQ;VwbktLmtJEcIwb?k0)^TFg`OD%56>D~QAJCo%3hu&xNJmX zc3N_?JI!90slzB7{^{H6O<9ArjCjWJYLJ}yYO98QP8f9Y#=wQ-E{#I1TWK32bx}Fo zv^*(q+?Y7e^ysSIKFuHMHr9PItlqwTqCXnvrdm1k)i<3xl^Y2!C{FQr-;X4nm7cOT z%T6g0?T$YGeQ?V9HqYnA_mOm~#jej)-)84%!<5cVKaTH;G;WfZ;ro_-{b7jv2N6HG z0h_0e$#uO?_q`aqt2ynXujX9POsZ77bVo>_xO+{qg~&c(@L~xE;h=%S?Gmjn^}>f% z_NC|^&qw2v1t+b~sF5lUWmko_!m$$2Dc;2qt#F*TnIyT1`<*0bxXbOC_*yAKwYy#a zd{+*RCu@9;1|#=;hes6E&57?3Do=E2GzW5@GsgD~8IKQFz2#pNytfk($>QwODIKm~ zo8Esyg7nEb_n@J~h-PapZ_$d=9<=7%KEmB(#xj|Ap2z2;IaGyWx;1OSNf?7T2=VP} z2jNQy#xfE`PR`2;oYO6t3j$$ysRC_}<6BstHowS9G%--Q<8z`oGkV@Jm1iDrlJlGy z7qcX(VsnXC~reN1EI8m90JmnOqHDQ7g*sPu61ctD}ScmPYmM zq)|i!!SZIY&G+)&hMlJ$ozzMvTs31{F~^kZcq7<`r>?+cZgySijc_^f7|uEyjhMx)GkT;bBF0mL);`FP4!9_esVIo1M--|~$u(Y<3!S>ttyLf6oMZGl z<;-`Vx6}zaOVi%xGh4#au@pdQ=PS52^`?^I9=Gew3}E-%5Ve0o9Z$AtLUxkWhxr<- z;uYz7>7ffgUBPWi^sZ54dYqLeRMzd6RhkW48ad?CDK22}hKqg@Zy>u!5!d$qes0fQ zX?>8S1&%Pdo4b|Kk2QeWM~VIHC)Hqy zmuL=nm=8v`<FX)aVIE*hcc_ zDT`GHiR1;$$vN=No-kg3A)>47+HDmzKH!cm;(_1`LeV_9yhI@)Noy3rC%;RYIuNloKUqpTNq&Z$ zjJuLC&JlJ~rYaU~hx^Ko#>eOB+YcfbH7@vRM0^ z^2M`lISo0yW!W%TS~$46(l|$%>6%zcAQKGj#b9FV>g)aPlK$J=Qz6xyMBtpU?Ac2F zhcmhFSYVb)L>aH%aOggWP;7B!V?@wllq+hp-e-Bj0wKbHbWrYaWDdM z{BoS?lAvjsr25@XN3XkYgPkvj+A4OCMsS=aGo|rO!WOwtY3x2Dck*L_kQBPa#2w7x zA9Yh<9K6Bpv6{rjMRKbyfhsqxxlf>-k1HU& zkC>E>$jrXX|G{~=4vT)-&ubjSk9SNc-g!8Mz+YKKJ2DWfx(CF(E0&Wp$3WIDd)VNR z=UTpV=sA}$D94)wdhAf_&04{55#8Y#LX9km+bs{GQ-#t zI&+re3+fXUtfPFZmG$kb3v4Lpm$M){zP8qe9N`n>cSI+7!LLG}ZdjeTKFb%g{ZX8C zVIVGxoZF{W?B300D^5p!ADy<#;rJUnc8`VLR9iG?uPO1uM}$`2s@mWBepc@6M^VC* zfC3QTr_Av7bE={nX6@1h5==mx*-w5Yab z)LbygbFBE@mgL&9@W8!~k!IFjE(-6Q@z+YJD|OkOi;I0MxvbL!8BV`2no{P<6dd z{61#Pa-@zUX*vZtb8TqunT&ZOzWN-w+YHj-7L(Yc*Ydz&{EM@nKha#*1E)5 zMY)jo%}k?u`Przohp^-4VD0OAlPm0fx3U~0aw><@GKGy^iCD`&d~s{0Q`(j{@~fZq z97aZ4H~4{384=&qlE0eN-3zBJTk}IgF_J#vrtrOrsrf3@`t=9KePu(H0$+|m@vB45 z_pgu%t;+f`#1b)_kcUhZWEd@2n9&gldGv@R3A{nb%hJz8tSr8Nw%U`l(O-nACep#X zT5B&MZ8q(lV6O5gGGVfnyzk|=QWIDG(}FLNA!qY&ie{^f@e#s*d zqDAHTq<2pOw_ZgPf9q(9*!rB!I!AZW4>Zl7(-Z!D{lc`NK{c&!7x-XK4Kx(D%6+M%9TGI}ti8uD^jbZ_MEO?8?A^ zh&d%r#~ZLn)nLBst=seS=TAy1>+7Be=O8o_Elh&MlYx0n&#C(CFB2iEc5O1ItRoQN ziv}8nr$Xs@A7x0PmzB#z7tR#uF9h&WKYL12?1B+1r9rQ^os4!n70oN|CVran=Vr&7 zFUwUNS+4g}$$SwB4#EoTsWsMCW?_{C({HzWoyH&)Csw$AJGPaISs`;})@l%N2aVQ| z8z$qr6xAZg8;4!rjX}khh_4UI&Cl@AwZHDi;xrQ_^sz&kH}X~Q%uM)orkudl4hVVAzepl)d2IY)@tF#_cx@&XQN5Dt^On+9_8j2w-{(=W$t-~Fdu>IXFV_)zajQ5buvP$3i^KNvN0asWM~xEDgD+Wi8DU>$__D59Pq`{_`3 zK`8Vm1}csR1VurBbSV4-8hbeb&@0@M#!hgQq_`yzR>n4{BfG2+`yzruxTWn(?ai$0 zEC5m2N!U4A{e1o@;lsnScISZhRR3S=;*ZMU`S;2=DwJQJQHA{V`7pdI*x#z)Aa1XE zP){hF1L)EFo)A&LD6GAwJ^aH8IG}Mr2Pz2L#YAy+00UAU6*i}&y^Y!bN#dwN?@JsB zx77xLp@6LcDs%Tlw%rQ-nFjy`mbk-_rk30)_6S>Jn_Wa5xRr$^5+uOG!!2WDY~ci) zL40@+8)W}{H%Uo*Hv>+7h#-g)DgdY(&+budU_g2Hk79%I0FKr`1~SIBRyOV|yBV`` zUov)(+b0he42bn4i-Q*c1VyQH;Nw+6lE8HQ=U34s&lKr-H;&Oy^RPot&lcwmYpAHs%S1~a5Mz)YX#h0S$`pT zI48W%)86FnQR-0C->*9GH;Q5vywB=BDgy2T;zemT!1h6o8bD4Sz}F7V0u6*_j^+%6 zOwo`4#2yGap$YxU!{OZRLAHBB019=4tR}#|+ddf(n#_MDd)Q-;G61%6MDeGqrw`l( zfE^?4oNa8baBFbO+H2Y$ietAJd+p?al>_bY``5&@&*p;<0z@|MQ^WV$5 zOJy$uDTCcZ0}q(u6Xg3RrcgQTrP-?^-aVuE2ZwuVy{7?tg4?Sv=w5vtBtvn%uX_Jb zU+REhZGciZ{ZdQ2sej{QPcr*l?5msvi1$~ehV19Ouha+Hp@wD*XoEHIYXRf}LX!o4 z?RKSR1;CF;?66$}68ohVp@60Rhgw8&_FFCP7aibkPmlLxbc8E;AZI6_9AcL2xza;02Y32HhVJsSq<`l7|Z|$+N)b`qvh-YjzIO2tf7O8c4CrI+FUEoJ z^Zu4*;f6bn%g|w-@9qktP`cB-?6%c_DGkA`v_-uy+ z?I{r+vdOG)d7sAynNd?TMFQ>$tZfUn}V7U-PRgO?49p z&IoB5XnEz@WRRH=Gtnp*bu}nVS;0P|%Kc+)zXV-DG!tb{KJPj9TjFc^4@4i!g?u~h z*atxpLgelxdy-GUJYO_JbSVt|zY$kw6+h5#=KADMmS$~dyD-ti6Lq;dfY#LJN{yb( zJe{sd-bxBMbj1da46_JJKGi`R^x;`<@eue^e6!QLGO`|@!61^46Sbq>NFPBY8TUy< zfTo;q@Fg_)b17*S4l2};ifN^e*_(44xU@u4P|;)tb(U9*52ZRwqo2lJh<`~z4|{vP zlb}bdDiNIj0Y*Rg32ZS%Ie?HUxa^-8Zp|A+5pP`z66YP9p8p zX`yr@xIgIWXxenHp`(y&5m9v)c^7G>lN8fr{-|sLOCQX4Oi{VJ#rMkN2(=?yYR z*ngVx{EL8TN0hT)h~h^Q3PLu*`j${s#Hf}C$r{-X~* zP?`p*BBU1~BcfBelwOfa%AXlXhzli?`wA6WC3DQ{i0W11aI%}2piY&!!ViY;L-l8P zR-+J?mz{tjL$n4-VnnniMcUHkKe z_P&A0HO~?e;Sqa&y>zi#A9`oH-sg6W#8!G#RS(@Psp~q~9cy84FOa+1xU;s(`st&c ztKdb&H$h}KWu?5enw#H1@q+2oXj)h*Cs~5q1-lXyY<&J#?%4)5W{^sO$D$uY~XKJb7-me4=S|#eEC@8A$q$fq(EA zC8Rzlmrb7$TADoBisPK#AFeK|K*RdCz+@+u+t27(rQWzlP-%<6Zjz+zp4B&4LK?`& zzXDkg0ZGoywK=1?fwXL%S>IA8{0{?oq%>?on#inKwcgZ6m!$mz{{@ib96-zJnf@(& zLN@S^z;lo19+Eq!>M;K0VnxsKrc1$OIl<%o zYM;tKtxs4=XDR(30Mz*th_!U;AY0D^D%{7Rge^v>)f}Neqk8#88g+;+vjlzA61Gl#~rp<@nmdrPHAs^wdo`VTu zK1|}Y>-qAT)y0AG?a$(E*kDV_IHaYoeQE3%*Dk=|aShkCI=(>_^ zT>ZBMKEG}CqW=+c5IE~^Eoj*Io)T}+p`zLLO+^xAxyB^F&8T0ZKm zyGB&pS+Ca_RW%jX8r#{nIUPaOq63dawHOu(E(1F{=g7Uh(lS>+RE$E<+s@|91-&b< zSSx-wFb(X#R2q2uhZnMN0+j5s$wuTbSih0K14M9B>T<9M2~^A)7Nr!WycsP?F>UaD zzI#CgI(aUwR9?nB-%gTz5%exqNg~b{f&t$N;~nPWUJ|mcpDmSEA0Fkd&$FWhH_cL--Qnz6?O9j3zHMB>J$9`+y9v2Gv(e<@?5VqqpWBjKIA~u&yB4;+ z_TFQ2B4I(}R;}09F~^DRuJ!8enXyh&Dl3mI#ErFvzEfX!-1WbYfAO-sWtJ>vW!_}I z>6)Jn9-VV!36hJF=4hv*gcF86 z36Rr!kEEA@I6ajlwtxbJvT8(mud%oE3kn~(N!>Q_oKq zeyN=~8r^eaKF+=WdZP`y3KVPN6`7+|P{o(G?>~zHD>Ghvg)sbO8jY!2Pr5$}Q~%Y#3ou zo^zdZkTx{_=9BO1oh7AnODe(eY!z2EwqMlRTo#Btx%Kj0($C*=D?nYTCi*lQO(&Uj z^NXGlnzJiC+0il#Fsd-ozkk*W*qJ+*hma1Yopxeos1Y$0i1(OY;l+8Jh@RPJQh~lI z{f}6onv9Rq;JMc=T2GCy(Wz#kz6|fr-U$ISvWbYco()jb9 zVy7GVOh1AXD(M8^^vqgbWyx~8!bX^s=YWvBr1s`o6bYA#AM(Njhf~xwmli0w`So7v zo=l7M$4!*#q6%eXqVbtdC#3U|XVdVW)^t76^J0@avq3M*aW-5`hp|GSDWKw@5>}_ zj04}+`a(kaEUq-abuPi+r91H94+%Db7 zKD#|yxVe}wwpy^gMCQdcJ|?#QV~Nsnb-k~#MS1;uNg7m)yt-x}1ZOkUuf+3~Kt&!m zS0Pn~|BVy}>Sq2@{-^w9{N+zepN4Yct-n!U)&4sE#B0T+x9}mB|A%r99SZM`TY@?T z53r`TH=PCU>&$QZFKLuZ1kUfgpS&=6O(6FzKf98V)14AJtK!iydso}MN5VltK|3A! zUmd(YTS%;{cUqjXRWBGGU$o|xDa^d}NTzJsaGqg+O>325V9sEYVL&q*B40K<*fy&e zRHr0!P5%oVvhnH>cVur2*)15&_DWm;w}r{5v_I4_IKw@50W5a+t~+_HQKgEpFivDu zOM4Wb7*1qO%V!ep8RHwI%}_6F#nqx(?8&4l60#X%EYjyB%_TgdSs;zqTO7goY@bN9 z&5bW4dx$3DhxHJy7TU*qeHl?*pKltVN2A%GRc4A;E{&b#xy{907C8txO9fdXq#6N%KA^_75S~&m^g>h852s)_JM)&ieM{N zCeEhxjM&mBd+W+AEbjLoXkEs%#V(QwjKz$7-}vYVtwYd`xBVCvU3|zNR==KWw_1v9k)S5c3)uX^!=KC=OU{ySFk1Le z;lZL44RF9yGpUR<9&)fr5y|I~G?%j9cYzx(Vkyo#;k>3U%tvA|DhU@IE(0&6 zz=b7q18(4+CtN3~;puL`GQ}Aa8fQcbR}S*vu6`lI6ok$wgukG{2@a9V<6#t+l}bBt zk?8gL6PU*Bz{$v#xZbj7+OQi)LnIt@OAy_?M{B@X_GN`&+1M0MjKCK@5JR0ld6ynt;pH86<5CsHVAln%bHfQ*DxG=gtW*n4M4foG=(KLw{1zA_ z7@7E&i`qXw2`xKm_^DJ5H`ag=Q^K@C@AL=3?r?Wzir9-5T&F$6?ql?yne3z%E`4Kg zFDu%o_5~)7f}ul%;04MD@5mHFPhF&B$fXI9`1H*E1LShqb1EZUS5^+R7wi}xMjccY zOg+#`N=`32T$FKJF{w&HR5*yK*rzrlDI65ZuP7Hj?bs|t)Lh*zYnksduN+>;>@c9l zIeE2ii+AXUD#9yqYujbLWnzi-+x>TBd~QPM<6)EbUQYwQZO;q5y(6b4^u+M3mu~90 z*=sXx5k2?s5<_X_#V{-|Y_Ka>-sN~FoOHn$CHzLDWxECXpIgJ}d(DdIk` zQ-!=blY9qG?(w?V2x*#E=ix2@5_()sNIIlHLKEsjOmri>&K^O?EZ)pP7nAu+2;l& zY+pY&G3YpPr3N^%-tO_T=uYLex1*|JO3P&HBVn5#Gsise`);VtdzM%4ti^6zcP<&r z-)R%sNt-6{tkx3^EchBUme$M7@A}!lO?6xUdl)~>MSj5=-&*-;gsuv z;Q(fx;dT~COVqp_@Y3jy!+ZZ%{i0Is4m&Cx-0cTAW^dom*Lj1yBVEFEtot#auvDpC zA0mC%?(+z3?d`e)k-^h6xyBP!y@m+6?hE+b(wvN!U#Uk@oaZd_lU2=&C{>@(|1`yL zGM7yryEBK0@r_nu$;fni?Svjd#-yGGQ*%j%&C*+qrTbJFkM2`tzA}u~Y=SG7RoP@0 zcfiUf5b{X`VcUS(+P zP%GbAET^+{R3_vX5Zam;?hbYZ5>s@*SN+QE)(2N2YgK5lr0gaN2`W=L{2-1oe&}?r z47N)0r}e1Pa_+n$=ec)VK)avfSup24%J=sj9fVneVykqTQorX$GlX5G!QvKSiSVT* zmf`}nqe-)EvP%^Jr!$6AjVFK*=;4?wuP#s^B12zMykm1GJIU2%a7jWG{V}VqvFvP_ z45eVoxfh1F%?bQ-W--@Z!3MgECDick16yY@G^Dz(3Nd6?ikk))w(UDx31Bhpj;E8n-+e3nzqYy=bI(h zLn7g3h6Jjx;;oizH;N>=o|RR+i}N_yB<~nCFjl)U;KUs;sy6b1pTR!Y=}W^$+Z8|%)y|6 zjkuTJKfhf(K^wQQil%u-K2)uZkP9ZsB>QISbdvC0)yit_6Qa|E$@SwlJ9>t+*qnsa zgxHLdsZuOar|%M_s?m`sOewHQ2g6LL;~x`Z@;y(HA@4tZo6=Z~9(T?Wlj34yxmb)W z?oO?tVcu&U@*5jTVJl;Ii$6B5`DmC`jbHpo&71&k;lyI{djKn%lgcbn4XTf3jv1L| z>Q&_h_Um1nyDn1m{j+;@RSk_N)i|e}eVvUze!>75vevb9ng0WAeN~;|)p48X^}52r za~*4BPSl^d=Y#AY)r*X9@sA{JMLiMYy|l73FrQ2uLSWUO{MJ)n5+~2=yh4`9g73{A zv^R<{OTFk8;eID?kS;cvK#Qi(qKS&~PmJ0^mc|z6`);`y_L^mm3A@+0i6k-enl2A7 zr$Jjc8#biF*MjKg&q=@L4$QkoqF3+fkk4Y$NjTXWLK;FYOCELlHj%MfD70e4(4eL| zqvckV`RM78mpQ2t)TvtZrPuFh0}tUr*|r||v$&!m=HEWyCR6kcK2~}A!{R~e?Sx=% zp|{0luCL3sFCnY4l>`P%9}}-fJY8QFQFVqrNh+;PuudY_76S~+^=)$K-wey)8_xs7 za@Zpg{6F_d5_LwdQ^^x-Kk&WPgB;A@COE0m+PGv+T!J^HcMneQFeRP)Y-ZU>L4_fX zMt*GD=&|`sfA+{nRy~bJ;_(o@7a3}}!PXg;3i0So`VJv40zbsvQ+%!^cgjvr{>fx8 zuMpmH#AIT3^0_Bd-xS*3#)zLu)Te`E7VyB=xh3!Vuq!ZL?9LJrGZ~nu2(EeI^DxCi z?Y=RIIJ%hE;+c;&E*eywdDPC5M7h2S7!#W3a?Yg@@m zz1Qf?E5eCf;_3C46y#e&@qJsBHqNk8tFJ-)_zzsNo?gnQiRY5QPioyxVu>NP(pO$x z=+WtO-*_Y3lGejszOexRaq|+5nHc`-^F>!MuMMlW=>DK_u~STX7L8|P+k&u+;b?K` zf~+ThTQ#t}%J!lBy!H<^(VHyP4#snCXErm&(*nbV_&?9=cN6_jS^SYOp+q+RGTd`ya zkJmkP(a~$wrJX`W&bcHU@-6BHUa!!^->anT>_~DNVeEdd6di5 z;YWxQq}bhHF)WptNC>}8iDRWEd-5cy+|I2J;f6zaQv(S6lgTa?e#@rXiuZC>Rd`|d zbf4fnEG9cAmBg_8s7Lp95Vme$=t+lr)Mr@k(QNu^QR5hEwKNq>HlARw&?_R#B&=9S zGB<2tCPX5TtRo6svI{Xo)9DX1=y;!0Mc^ji*AX`vA}URSLU5z?S5BWAW~?AUf5u2h zu%O?H@fq!$49G>1fTTKui-!WI-Ee^{>h9%B#lt?A@!`{V(Au$sJy@8Z7^taelf;uE z7*45mx7kSyA#`l06`IU=!TM@>2`|rBT7!!2+u^ck_x6*s(t}PtJ(m;mB3PUNq%q^^=G1lPnK%43QC?oZR8ivc3M+|QN}3ykt}eR_BLmi(0hYSv7yllhf9>z;qs zj;76R3`^W7b}Nc!4oyzG;{?TS40iL(vM4Uq92@7WU3h3;F@M*!HXfCB0Y&73X>eF>IzaJ~}?lgy###ZB_dxxjEu5I^Z@miB!xyIh%Xu%1K? zso8?1PSXADS0*`FS-9IS9f6VF9Gw}HqHM3W%s@1CaO=D~C-`~ic8Q+=FdDg{RYjLY$ zWM{h~R}MKfxzu6jHEJk!UUaAWyY*_z*Uk6!lQZSpjmw)@qt6;N3rUvWueU4?n$~Mi z1quuf`rEIQoggZR*luYNV8gK2Q)9RpTNUn6X7$t}dp)Z*`l|GrN~unJZq|6cR7uwO z+E&-V}ACcb@#JJntPivKW6T^c=RM+_#odkxO8G(_>E^NqR|D+!kxjwUbB zppT7W`u!Bk@OO)_tFLSoU%1o~oeOXIDqyidQAnf3$fQM|+{^If4pU@6IAx@iG&=+A zk@M6|@%gs*nPja&m#ErgC34k#;wdn&V3rtiIq*Q-+7~3~Un10$UMhwLgOi0B@qdu8 z=vmQE6N$0Hr4!8W?wBeFJK{_ALi?AQ#?HK&|JtBLyM^^;70UI}2nZU1J56 zAGQ4pzP~ig_gwTsc4am`9$8o#UmUb`a@O_Snf#VhJ4QR)u)1hBwj^|uceZ{gKWq## zk}LA%$2H3Y5)Yq**;pznqFY{?H(cY7(4CZfZGh-XsGiu86Nuao+fVbJM5giAd)S z-|yegeJcn4>v?^aWB%3x4jrIWoNb*90O#FZ*R3mu-hR7)J>Mk_ZmD13C^xe`_`bvA ze#1~RwtzcvD_WU38SwAA{ek#*9iD!rdFa}-@A$>P=d`v@Y2StE7fSn1Q=nZm(5??3 zXxE1hwCgAaOrM~f-T*iBz1yzG7zn)Uy9U}l%Uk`|><`~h{Vn@H%dva*l{#q8aSOEP zCJA_e@8$(KiUB$QO8szp_`t_jS6S)6u0RC^dG{Q&l;KEYGh?Lj;hQaCF*gTeQ)@U9 zWCFLavJ;_OuCAv8S(%B@>F_D@C_7w&TUyC^AmADvDw?Jq)}}BsIx$gfVK*T+TL)W! z8pzGo#?DE|O@z)EIK&(-1cXswZaUB|3esAH4$1}Q6yO2ViDH95AYp`=xsbY)%zirH zNrcW4iF6R+=5}>;<#L5`*&{5t!7vz%8#ovX0^tNOIGx<>kj8GDc24wrL=Na2I3HWt z+ksGYjZN&Gks@?-sEmGo_84^dB_lg0u3cuiOzmyCfe3Ce7nJ)aWgww202ER~*qb?< z!V#hprU-izVPO)J#=4ua!%rFg#ySuIu+H;4>i|&b5^$_M5-tUNMFCfD7$=V)Cxl-U3>Mc{%})uaNy#W1Tki`%0AvoV$){0XqqDb^_i#d&fC}ES#;(;CpIn zWA!`TJ?QV*?Xr86Ghu*RQdBaTo&X}Jd6@ptGUzoOEBSG}#V9Z1pM%-9-q$==q% z*v|bwaq~N|U2cx#{NHSH%E~{h`LB)6*7o;W2HK3WvXGR$sWVCq?s$0bNr8 zQ!{>3D3}uh5#;0Kg+k0Y1);oVoF=?bK|UTnGc%aLPrARP{cpDgK#2j%zi+>P6B8=t zuXfct99mE5fn3ewD_* zhL6SduOj*t;aFV1O5_6#KbRMGRQCK}V1#xwEd;{%Cye(Gp7~L0BmYP%fLccUJ1-Cc*dM$=V8F8cBY04r zKhr{aP+L>{P7nI0?(#z+;6L(!LQzXdkE8|OJp2LULrps#!2{Ob{|Wm;{{S^WO-mk0 z3n=~{Fw_PYNAU!KMb<}QFz6q0;pZ1Tst5c6Fw_zC@B-!g!{!JG0QU6=-d?KT?c_0R zR|0@ckL7YK13(v!_25_rD3frk2gfov)&rCTj`iSJ2D@r-Y!i>$!mc75|2H1n#ABOy zY!mk+aNHJ-+rn{MIBp9E5;(R8$M)dZ9vrlWD{W2gml{*d83)gJXMeAc13haBL5b?ZH87IPM=D+k<0!aBL5b?ZJTrj_tv*Jvg=p z2d&|_e{gIMj_tvJ(;grZ##X>qJ!i3ng}F7XJm9F6hQLNr*uXX#2;|=CLok^CEH)#f Kw5rTm?EeQ`9IlQ4 literal 0 HcmV?d00001 diff --git a/StreckenSim_mitRegler/fixedPointTest/Doku/Library Download.url b/StreckenSim_mitRegler/fixedPointTest/Doku/Library Download.url new file mode 100644 index 0000000..025a543 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/Doku/Library Download.url @@ -0,0 +1,2 @@ +[InternetShortcut] +URL=https://opensource.ieee.org/vasg/Packages/-/tree/586ebeb9c3fcefd1ac9a07ce749d0e01e678503e/ieee diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/project.wpc b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/project.wpc index 9b34209..30d3330 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/project.wpc +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:1 +6d6f64655f636f756e7465727c4755494d6f6465:4 eof: diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/synthesis.wdf b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/synthesis.wdf index b4d1993..1a7431e 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/synthesis.wdf +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/synthesis.wdf @@ -38,7 +38,7 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a333273:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313236312e3035354d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:302e3030304d42:00:00 -eof:738664726 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a343673:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313330332e3733384d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:34312e3735384d42:00:00 +eof:4165940569 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/webtalk_pa.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/webtalk_pa.xml index 7483320..5ecf92b 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/webtalk_pa.xml +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +

- +
diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_10.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_10.xml new file mode 100644 index 0000000..109cb06 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_10.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_11.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_11.xml new file mode 100644 index 0000000..2d23805 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_11.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_12.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_12.xml new file mode 100644 index 0000000..39a3ca2 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_12.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_13.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_13.xml new file mode 100644 index 0000000..39a3ca2 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_13.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_14.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_14.xml new file mode 100644 index 0000000..39a3ca2 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_14.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_15.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_15.xml new file mode 100644 index 0000000..39a3ca2 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_15.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_16.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_16.xml new file mode 100644 index 0000000..39a3ca2 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_16.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_9.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_9.xml new file mode 100644 index 0000000..39a3ca2 --- /dev/null +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/.jobs/vrs_config_9.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.Vivado_Implementation.queue.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.Vivado_Implementation.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.init_design.begin.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.init_design.begin.rst deleted file mode 100644 index 0141774..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.init_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.init_design.end.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.init_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.opt_design.begin.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.opt_design.begin.rst deleted file mode 100644 index 0141774..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.opt_design.end.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.phys_opt_design.begin.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.phys_opt_design.begin.rst deleted file mode 100644 index 0141774..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.phys_opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.phys_opt_design.end.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.phys_opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.place_design.begin.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.place_design.begin.rst deleted file mode 100644 index 0141774..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.place_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.place_design.end.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.place_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.route_design.begin.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.route_design.begin.rst deleted file mode 100644 index 0141774..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.route_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.route_design.end.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.route_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.begin.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.begin.rst deleted file mode 100644 index 2accd32..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.begin.rst +++ /dev/null @@ -1,10 +0,0 @@ - - - - - - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.end.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.error.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.vivado.error.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.write_bitstream.begin.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.write_bitstream.begin.rst deleted file mode 100644 index 6e00f76..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.write_bitstream.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.write_bitstream.error.rst b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/.write_bitstream.error.rst deleted file mode 100644 index e69de29..0000000 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/ISEWrap.js b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/ISEWrap.js deleted file mode 100644 index db0a510..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/ISEWrap.js +++ /dev/null @@ -1,269 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var wbemFlagReturnImmediately = 0x10; - var wbemFlagForwardOnly = 0x20; - var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); - var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); - var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); - var NOC = 0; - var NOLP = 0; - var TPM = 0; - var cpuInfos = new Enumerator(processor); - for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { - var cpuInfo = cpuInfos.item(); - NOC += cpuInfo.NumberOfCores; - NOLP += cpuInfo.NumberOfLogicalProcessors; - } - var csInfos = new Enumerator(computerSystem); - for(;!csInfos.atEnd(); csInfos.moveNext()) { - var csInfo = csInfos.item(); - TPM += csInfo.TotalPhysicalMemory; - } - - var ISEHOSTCORE = NOLP - var ISEMEMTOTAL = TPM - - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/ISEWrap.sh b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/ISEWrap.sh deleted file mode 100644 index c2fbbb6..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/ISEWrap.sh +++ /dev/null @@ -1,84 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -cmd_exists() -{ - command -v "$1" >/dev/null 2>&1 -} - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! - -HostNameFile=/proc/sys/kernel/hostname -if cmd_exists hostname -then -ISE_HOST=$(hostname) -elif cmd_exists uname -then -ISE_HOST=$(uname -n) -elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] -then -ISE_HOST=$(cat $HostNameFile) -elif [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi - -ISE_USER=$USER - -ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) -ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) - -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.tcl b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.tcl deleted file mode 100644 index d609402..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.tcl +++ /dev/null @@ -1,157 +0,0 @@ -# -# Report generation script generated by Vivado -# - -proc create_report { reportName command } { - set status "." - append status $reportName ".fail" - if { [file exists $status] } { - eval file delete [glob $status] - } - send_msg_id runtcl-4 info "Executing : $command" - set retval [eval catch { $command } msg] - if { $retval != 0 } { - set fp [open $status w] - close $fp - send_msg_id runtcl-5 warning "$msg" - } -} -namespace eval ::optrace { - variable script "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.tcl" - variable category "vivado_impl" -} - -# Try to connect to running dispatch if we haven't done so already. -# This code assumes that the Tcl interpreter is not using threads, -# since the ::dispatch::connected variable isn't mutex protected. -if {![info exists ::dispatch::connected]} { - namespace eval ::dispatch { - variable connected false - if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { - set result "true" - if {[catch { - if {[lsearch -exact [package names] DispatchTcl] < 0} { - set result [load librdi_cd_clienttcl[info sharedlibextension]] - } - if {$result eq "false"} { - puts "WARNING: Could not load dispatch client library" - } - set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] - if { $connect_id eq "" } { - puts "WARNING: Could not initialize dispatch client" - } else { - puts "INFO: Dispatch client connection id - $connect_id" - set connected true - } - } catch_res]} { - puts "WARNING: failed to connect to dispatch server - $catch_res" - } - } - } -} -if {$::dispatch::connected} { - # Remove the dummy proc if it exists. - if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { - rename ::OPTRACE "" - } - proc ::OPTRACE { task action {tags {} } } { - ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category - } - # dispatch is generic. We specifically want to attach logging. - ::vitis_log::connect_client -} else { - # Add dummy proc if it doesn't exist. - if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { - proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { - # Do nothing - } - } -} - -proc start_step { step } { - set stopFile ".stop.rst" - if {[file isfile .stop.rst]} { - puts "" - puts "*** Halting run - EA reset detected ***" - puts "" - puts "" - return -code error - } - set beginFile ".$step.begin.rst" - set platform "$::tcl_platform(platform)" - set user "$::tcl_platform(user)" - set pid [pid] - set host "" - if { [string equal $platform unix] } { - if { [info exist ::env(HOSTNAME)] } { - set host $::env(HOSTNAME) - } elseif { [info exist ::env(HOST)] } { - set host $::env(HOST) - } - } else { - if { [info exist ::env(COMPUTERNAME)] } { - set host $::env(COMPUTERNAME) - } - } - set ch [open $beginFile w] - puts $ch "" - puts $ch "" - puts $ch " " - puts $ch " " - puts $ch "" - close $ch -} - -proc end_step { step } { - set endFile ".$step.end.rst" - set ch [open $endFile w] - close $ch -} - -proc step_failed { step } { - set endFile ".$step.error.rst" - set ch [open $endFile w] - close $ch -OPTRACE "impl_1" END { } -} - -set_msg_config -id {Synth 8-256} -limit 10000 -set_msg_config -id {Synth 8-638} -limit 10000 - -OPTRACE "impl_1" START { ROLLUP_1 } -OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } -OPTRACE "write_bitstream setup" START { } -start_step write_bitstream -set ACTIVE_STEP write_bitstream -set rc [catch { - create_msg_db write_bitstream.pb - set_param checkpoint.writeSynthRtdsInDcp 1 - set_param chipscope.maxJobs 3 - open_checkpoint fixedPointTest_routed.dcp - set_property webtalk.parent_dir C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt [current_project] -set_property TOP fixedPointTest [current_fileset] -OPTRACE "read constraints: write_bitstream" START { } -OPTRACE "read constraints: write_bitstream" END { } - catch { write_mem_info -force -no_partial_mmi fixedPointTest.mmi } -OPTRACE "write_bitstream setup" END { } -OPTRACE "write_bitstream" START { } - write_bitstream -force fixedPointTest.bit -OPTRACE "write_bitstream" END { } -OPTRACE "write_bitstream misc" START { } -OPTRACE "read constraints: write_bitstream_post" START { } -OPTRACE "read constraints: write_bitstream_post" END { } - catch {write_debug_probes -quiet -force fixedPointTest} - catch {file copy -force fixedPointTest.ltx debug_nets.ltx} - close_msg_db -file write_bitstream.pb -} RESULT] -if {$rc} { - step_failed write_bitstream - return -code error $RESULT -} else { - end_step write_bitstream - unset ACTIVE_STEP -} - -OPTRACE "write_bitstream misc" END { } -OPTRACE "Phase: Write Bitstream" END { } -OPTRACE "impl_1" END { } diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi deleted file mode 100644 index 9e670ac..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi +++ /dev/null @@ -1,600 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2021.2 (64-bit) -# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 -# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 -# Start of session at: Fri May 13 14:41:22 2022 -# Process ID: 17108 -# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1 -# Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace -# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi -# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou -# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB -#----------------------------------------------------------- -source fixedPointTest.tcl -notrace -create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 10.250 -Command: link_design -top fixedPointTest -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.340 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2021.2 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] -WARNING: [Vivado 12-584] No ports matched 'clk'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.340 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -7 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 0.000 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1261.340 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: d688f8fa - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1387.457 ; gain = 126.117 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: d688f8fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: d688f8fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 5 Shift Register Optimization | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1683.215 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1683.215 ; gain = 421.875 -INFO: [Timing 38-480] Writing timing data to binary archive. -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx -Command: report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: c5371e47 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 170083491 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.141 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.149 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.150 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.152 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.2 Update Timing before SLR Path Opt -Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.3 Post-Processing in Floorplanning -Phase 2.3 Post-Processing in Floorplanning | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.154 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.4 Global Placement Core -WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2.4 Global Placement Core | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.691 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.693 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.694 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.699 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.707 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.708 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.748 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.752 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.771 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.773 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.3 Placer Reporting - -Phase 4.3.1 Print Estimated Congestion -INFO: [Place 30-612] Post-Placement Estimated Congestion - ____________________________________________________ -| | Global Congestion | Short Congestion | -| Direction | Region Size | Region Size | -|___________|___________________|___________________| -| North| 1x1| 1x1| -|___________|___________________|___________________| -| South| 1x1| 1x1| -|___________|___________________|___________________| -| East| 1x1| 1x1| -|___________|___________________|___________________| -| West| 1x1| 1x1| -|___________|___________________|___________________| - -Phase 4.3.1 Print Estimated Congestion | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.774 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 4.3 Placer Reporting | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Ending Placer Task | Checksum: 1c0019b8b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -43 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -place_design completed successfully -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file fixedPointTest_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.067 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file fixedPointTest_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Command: phys_opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Vivado_Tcl 4-235] No timing constraint found. The netlist was not modified. -INFO: [Common 17-83] Releasing license: Implementation -51 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -phys_opt_design completed successfully -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp' has been generated. -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs - -Phase 1 Build RT Design -Checksum: PlaceDB: faca7d44 ConstDB: 0 ShapeSum: c5371e47 RouteDB: 0 -Post Restoration Checksum: NetGraph: 54150718 NumContArr: b3d68f27 Constraints: 0 Timing: 0 -Phase 1 Build RT Design | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1757.188 ; gain = 23.668 - -Phase 2 Router Initialization -INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699 - Number of Nodes with overlaps = 0 - -Router Utilization Summary - Global Vertical Routing Utilization = 0 % - Global Horizontal Routing Utilization = 0 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 46 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 46 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 2 Router Initialization | Checksum: d7f76c92 - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 3 Initial Routing - -Phase 3.1 Global Routing -Phase 3.1 Global Routing | Checksum: d7f76c92 - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 3 Initial Routing | Checksum: aa1bb3ea - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 0 -Phase 4.1 Global Iteration 0 | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 4 Rip-up And Reroute | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 6 Post Hold Fix | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0663007 % - Global Horizontal Routing Utilization = 0.0248162 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Congestion Report -North Dir 1x1 Area, Max Cong = 5.40541%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 17.1171%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 8.82353%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 7.35294%, No Congested Regions. - ------------------------------- -Reporting congestion hotspots ------------------------------- -Direction: North ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: South ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: East ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: West ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 - -Phase 7 Route finalize | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 156fe757f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -61 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1765.430 ; gain = 43.164 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.049 . Memory (MB): peak = 1775.246 ; gain = 9.816 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx -Command: report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx -Command: report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -Command: report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Power 33-232] No user defined clocks were found in the design! Power estimation will be inaccurate until this is corrected. -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -73 Infos, 9 Warnings, 7 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file fixedPointTest_route_status.rpt -pb fixedPointTest_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [runtcl-4] Executing : report_incremental_reuse -file fixedPointTest_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file fixedPointTest_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file fixedPointTest_bus_skew_routed.rpt -pb fixedPointTest_bus_skew_routed.pb -rpx fixedPointTest_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:42:11 2022... -#----------------------------------------------------------- -# Vivado v2021.2 (64-bit) -# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 -# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 -# Start of session at: Fri May 13 14:42:39 2022 -# Process ID: 11092 -# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1 -# Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace -# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi -# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou -# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB -#----------------------------------------------------------- -source fixedPointTest.tcl -notrace -Command: open_checkpoint fixedPointTest_routed.dcp - -Starting open_checkpoint Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1251.590 ; gain = 0.000 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1251.590 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2021.2 -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Timing 38-478] Restoring timing data from binary archive. -INFO: [Timing 38-479] Binary timing data restore complete. -INFO: [Project 1-856] Restoring constraints from binary archive. -INFO: [Project 1-853] Binary constraint restore complete. -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.114 . Memory (MB): peak = 1387.207 ; gain = 17.668 -Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.114 . Memory (MB): peak = 1387.207 ; gain = 17.668 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1387.207 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Project 1-604] Checkpoint was created with Vivado v2021.2 (64-bit) build 3367213 -open_checkpoint: Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1387.207 ; gain = 135.617 -Command: write_bitstream -force fixedPointTest.bit -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command write_bitstream -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. -INFO: [DRC 23-27] Running DRC with 2 threads -ERROR: [DRC NSTD-1] Unspecified I/O Standard: 43 out of 43 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], and c[8:-6]. -ERROR: [DRC UCIO-1] Unconstrained Logical Port: 43 out of 43 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], and c[8:-6]. -WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -INFO: [Vivado 12-3199] DRC finished with 2 Errors, 1 Warnings -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -ERROR: [Vivado 12-1345] Error(s) found during DRC. Bitgen not run. -INFO: [Common 17-83] Releasing license: Implementation -19 Infos, 1 Warnings, 0 Critical Warnings and 3 Errors encountered. -write_bitstream failed -ERROR: [Common 17-39] 'write_bitstream' failed due to earlier errors. - -INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:43:07 2022... diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_11388.backup.vdi b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_11388.backup.vdi deleted file mode 100644 index 3ae2c16..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_11388.backup.vdi +++ /dev/null @@ -1,512 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2021.2 (64-bit) -# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 -# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 -# Start of session at: Fri May 13 14:31:34 2022 -# Process ID: 11388 -# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1 -# Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace -# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi -# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou -# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB -#----------------------------------------------------------- -source fixedPointTest.tcl -notrace -create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 1261.066 ; gain = 9.613 -Command: link_design -top fixedPointTest -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.066 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2021.2 -INFO: [Project 1-570] Preparing netlist for logic optimization -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.066 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.066 ; gain = 0.000 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1261.066 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: d688f8fa - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1385.141 ; gain = 124.074 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: d688f8fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1680.863 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: d688f8fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.041 . Memory (MB): peak = 1680.863 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1680.863 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1680.863 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 5 Shift Register Optimization | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1680.863 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.056 . Memory (MB): peak = 1680.863 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1680.863 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.060 . Memory (MB): peak = 1680.863 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.017 . Memory (MB): peak = 1680.863 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1680.863 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1680.863 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1680.863 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -23 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1680.863 ; gain = 419.797 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx -Command: report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: c5371e47 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 170083491 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.220 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.254 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.257 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.264 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.272 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 2.2 Update Timing before SLR Path Opt -Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.273 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 2.3 Post-Processing in Floorplanning -Phase 2.3 Post-Processing in Floorplanning | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.273 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 2.4 Global Placement Core -WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2.4 Global Placement Core | Checksum: 17701980b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.793 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 17701980b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.797 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 17701980b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.800 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.806 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.812 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.814 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.852 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.855 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.856 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.857 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.873 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.875 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 4.3 Placer Reporting - -Phase 4.3.1 Print Estimated Congestion -INFO: [Place 30-612] Post-Placement Estimated Congestion - ____________________________________________________ -| | Global Congestion | Short Congestion | -| Direction | Region Size | Region Size | -|___________|___________________|___________________| -| North| 1x1| 1x1| -|___________|___________________|___________________| -| South| 1x1| 1x1| -|___________|___________________|___________________| -| East| 1x1| 1x1| -|___________|___________________|___________________| -| West| 1x1| 1x1| -|___________|___________________|___________________| - -Phase 4.3.1 Print Estimated Congestion | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.877 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Phase 4.3 Placer Reporting | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.877 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1718.922 ; gain = 0.000 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.878 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.878 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Ending Placer Task | Checksum: 1c0019b8b - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.879 . Memory (MB): peak = 1718.922 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -41 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.124 . Memory (MB): peak = 1718.922 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file fixedPointTest_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.079 . Memory (MB): peak = 1718.922 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file fixedPointTest_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1718.922 ; gain = 0.000 -Command: phys_opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Vivado_Tcl 4-235] No timing constraint found. The netlist was not modified. -INFO: [Common 17-83] Releasing license: Implementation -48 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -phys_opt_design completed successfully -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1718.922 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp' has been generated. -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs - -Phase 1 Build RT Design -Checksum: PlaceDB: faca7d44 ConstDB: 0 ShapeSum: c5371e47 RouteDB: 0 -Post Restoration Checksum: NetGraph: 54150718 NumContArr: b3d68f27 Constraints: 0 Timing: 0 -Phase 1 Build RT Design | Checksum: 107eb963f - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1755.223 ; gain = 25.043 - -Phase 2 Router Initialization -INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 107eb963f - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1761.215 ; gain = 31.035 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 107eb963f - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1761.215 ; gain = 31.035 - Number of Nodes with overlaps = 0 - -Router Utilization Summary - Global Vertical Routing Utilization = 0 % - Global Horizontal Routing Utilization = 0 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 46 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 46 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 2 Router Initialization | Checksum: d7f76c92 - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 - -Phase 3 Initial Routing - -Phase 3.1 Global Routing -Phase 3.1 Global Routing | Checksum: d7f76c92 - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 -Phase 3 Initial Routing | Checksum: aa1bb3ea - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 0 -Phase 4.1 Global Iteration 0 | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 -Phase 4 Rip-up And Reroute | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 - -Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 -Phase 6 Post Hold Fix | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0663007 % - Global Horizontal Routing Utilization = 0.0248162 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Congestion Report -North Dir 1x1 Area, Max Cong = 5.40541%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 17.1171%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 8.82353%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 7.35294%, No Congested Regions. - ------------------------------- -Reporting congestion hotspots ------------------------------- -Direction: North ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: South ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: East ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: West ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 - -Phase 7 Route finalize | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.297 ; gain = 34.117 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 156fe757f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.297 ; gain = 34.117 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.297 ; gain = 34.117 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1764.297 ; gain = 45.375 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.052 . Memory (MB): peak = 1774.078 ; gain = 9.781 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx -Command: report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx -Command: report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -Command: report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Power 33-232] No user defined clocks were found in the design! Power estimation will be inaccurate until this is corrected. -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -68 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file fixedPointTest_route_status.rpt -pb fixedPointTest_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [runtcl-4] Executing : report_incremental_reuse -file fixedPointTest_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file fixedPointTest_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file fixedPointTest_bus_skew_routed.rpt -pb fixedPointTest_bus_skew_routed.pb -rpx fixedPointTest_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:32:21 2022... diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_17108.backup.vdi b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_17108.backup.vdi deleted file mode 100644 index cd9ddd8..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_17108.backup.vdi +++ /dev/null @@ -1,540 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2021.2 (64-bit) -# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 -# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 -# Start of session at: Fri May 13 14:41:22 2022 -# Process ID: 17108 -# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1 -# Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace -# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi -# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou -# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB -#----------------------------------------------------------- -source fixedPointTest.tcl -notrace -create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 10.250 -Command: link_design -top fixedPointTest -part xc7z010clg400-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.340 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2021.2 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] -WARNING: [Vivado 12-584] No ports matched 'clk'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.340 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -7 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 0.000 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1261.340 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: d688f8fa - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1387.457 ; gain = 126.117 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: d688f8fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: d688f8fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 5 Shift Register Optimization | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1683.215 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1683.215 ; gain = 421.875 -INFO: [Timing 38-480] Writing timing data to binary archive. -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx -Command: report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: c5371e47 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 170083491 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.141 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.149 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.150 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.152 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.2 Update Timing before SLR Path Opt -Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.3 Post-Processing in Floorplanning -Phase 2.3 Post-Processing in Floorplanning | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.154 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.4 Global Placement Core -WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2.4 Global Placement Core | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.691 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.693 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.694 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.699 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.707 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.708 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.748 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.752 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.771 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.773 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.3 Placer Reporting - -Phase 4.3.1 Print Estimated Congestion -INFO: [Place 30-612] Post-Placement Estimated Congestion - ____________________________________________________ -| | Global Congestion | Short Congestion | -| Direction | Region Size | Region Size | -|___________|___________________|___________________| -| North| 1x1| 1x1| -|___________|___________________|___________________| -| South| 1x1| 1x1| -|___________|___________________|___________________| -| East| 1x1| 1x1| -|___________|___________________|___________________| -| West| 1x1| 1x1| -|___________|___________________|___________________| - -Phase 4.3.1 Print Estimated Congestion | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.774 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 4.3 Placer Reporting | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Ending Placer Task | Checksum: 1c0019b8b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -43 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -place_design completed successfully -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file fixedPointTest_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.067 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file fixedPointTest_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Command: phys_opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Vivado_Tcl 4-235] No timing constraint found. The netlist was not modified. -INFO: [Common 17-83] Releasing license: Implementation -51 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -phys_opt_design completed successfully -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp' has been generated. -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs - -Phase 1 Build RT Design -Checksum: PlaceDB: faca7d44 ConstDB: 0 ShapeSum: c5371e47 RouteDB: 0 -Post Restoration Checksum: NetGraph: 54150718 NumContArr: b3d68f27 Constraints: 0 Timing: 0 -Phase 1 Build RT Design | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1757.188 ; gain = 23.668 - -Phase 2 Router Initialization -INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699 - Number of Nodes with overlaps = 0 - -Router Utilization Summary - Global Vertical Routing Utilization = 0 % - Global Horizontal Routing Utilization = 0 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 46 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 46 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 2 Router Initialization | Checksum: d7f76c92 - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 3 Initial Routing - -Phase 3.1 Global Routing -Phase 3.1 Global Routing | Checksum: d7f76c92 - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 3 Initial Routing | Checksum: aa1bb3ea - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 0 -Phase 4.1 Global Iteration 0 | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 4 Rip-up And Reroute | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 6 Post Hold Fix | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0663007 % - Global Horizontal Routing Utilization = 0.0248162 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Congestion Report -North Dir 1x1 Area, Max Cong = 5.40541%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 17.1171%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 8.82353%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 7.35294%, No Congested Regions. - ------------------------------- -Reporting congestion hotspots ------------------------------- -Direction: North ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: South ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: East ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: West ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 - -Phase 7 Route finalize | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 156fe757f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -61 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1765.430 ; gain = 43.164 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.049 . Memory (MB): peak = 1775.246 ; gain = 9.816 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx -Command: report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx -Command: report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -Command: report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Power 33-232] No user defined clocks were found in the design! Power estimation will be inaccurate until this is corrected. -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -73 Infos, 9 Warnings, 7 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file fixedPointTest_route_status.rpt -pb fixedPointTest_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [runtcl-4] Executing : report_incremental_reuse -file fixedPointTest_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file fixedPointTest_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file fixedPointTest_bus_skew_routed.rpt -pb fixedPointTest_bus_skew_routed.pb -rpx fixedPointTest_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:42:11 2022... diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.pb deleted file mode 100644 index 3390588d5da71a6f6866045d7ae5646edfab7b0e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.rpt deleted file mode 100644 index 44e8639..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.rpt +++ /dev/null @@ -1,15 +0,0 @@ -Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -| Date : Fri May 13 14:42:11 2022 -| Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) -| Command : report_bus_skew -warn_on_violation -file fixedPointTest_bus_skew_routed.rpt -pb fixedPointTest_bus_skew_routed.pb -rpx fixedPointTest_bus_skew_routed.rpx -| Design : fixedPointTest -| Device : 7z010-clg400 -| Speed File : -1 PRODUCTION 1.12 2019-11-22 ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------- - -Bus Skew Report - -No bus skew constraints - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.rpx b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_bus_skew_routed.rpx deleted file mode 100644 index 19e17c8f15ed4b2380bdccdaf8a0c64d0260f17c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1110 zcmd6nKS&!<9LImZcbdqfRmqfQC_kLe;ljN$XbcDvLs5zvG}_YTdP$yonA~0OPmDsr zrQj%?>?T6N(b3USIypKzI@(U%+LvGo?c&-S9`}Cl^ZW6>zu%Xq1Hi~gE@hdbm=_bH zW6uda+xP5_>$jZP^*u7S+?J#*w=0`f-}T~}jN(5DcIYRuZ05rt zCL^dng(<=agYHw9LUPQDCbZ?n16?z*bf)naJoR|%e`WA2PgP{(ZhM*0zpu$(^P#Gv zgf3KM$8E^DnFCXpL{+D;>uk%pMr*rZs{MK(WpmCDq*vEgD;o>7rPUP@d0~<2{;VN{ zVOf*B#?zZJj8soDOPg-TY5LT$OiSb~(x(eX-Hj(zsW((k+*XsOrlw~sF-59_wM5ct zBPKCRrd28wOXe&sS8A%bB{=b+iWAFB_EqT8va?SrM-)m0t0Y8^u=rQx=>=bn-E02O z6DyA?7Y%&Zv)5+NmCO(s$fet^XSba${Th6z51UX-&)=sP;Vr(09SEQchX_Xq#|S3~ zrwHeW7YLV#R|wY#H;A{0cZeJT-VJKjhohF7=MDz=@!OB3@1IbuAC6Ev#?%@9#}+?F z=&OT)I_Rr|2gDPGrwq>-Trjv~?25rPgBu374DT4+Gk9S5lfj_|M;h|pO9A7M1p*Ut YYWG~<^;X6)iv+p~6=5D0VWZcU-w(xN{Qv*} diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_clock_utilization_routed.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_clock_utilization_routed.rpt deleted file mode 100644 index e7cca16..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_clock_utilization_routed.rpt +++ /dev/null @@ -1,90 +0,0 @@ -Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -| Date : Fri May 13 14:42:11 2022 -| Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) -| Command : report_clock_utilization -file fixedPointTest_clock_utilization_routed.rpt -| Design : fixedPointTest -| Device : 7z010-clg400 -| Speed File : -1 PRODUCTION 1.12 2019-11-22 -| Design State : Routed --------------------------------------------------------------------------------------------- - -Clock Utilization Report - -Table of Contents ------------------ -1. Clock Primitive Utilization -2. Global Clock Resources -3. Global Clock Source Details -4. Clock Regions: Key Resource Utilization -5. Clock Regions : Global Clock Summary - -1. Clock Primitive Utilization ------------------------------- - -+----------+------+-----------+-----+--------------+--------+ -| Type | Used | Available | LOC | Clock Region | Pblock | -+----------+------+-----------+-----+--------------+--------+ -| BUFGCTRL | 0 | 32 | 0 | 0 | 0 | -| BUFH | 0 | 48 | 0 | 0 | 0 | -| BUFIO | 0 | 8 | 0 | 0 | 0 | -| BUFMR | 0 | 4 | 0 | 0 | 0 | -| BUFR | 0 | 8 | 0 | 0 | 0 | -| MMCM | 0 | 2 | 0 | 0 | 0 | -| PLL | 0 | 2 | 0 | 0 | 0 | -+----------+------+-----------+-----+--------------+--------+ - - -2. Global Clock Resources -------------------------- - -+-----------+-----------+-----------------+------------+------+--------------+-------------------+-------------+-----------------+--------------+-------+------------+-----+ -| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+------+--------------+-------------------+-------------+-----------------+--------------+-------+------------+-----+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -3. Global Clock Source Details ------------------------------- - -+-----------+-----------+-----------------+------------+------+--------------+-------------+-----------------+---------------------+--------------+------------+-----+ -| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+------+--------------+-------------+-----------------+---------------------+--------------+------------+-----+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -4. Clock Regions: Key Resource Utilization ------------------------------------------- - -+-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ -| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | -| X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -* Global Clock column represents track count; while other columns represents cell counts - - -5. Clock Regions : Global Clock Summary ---------------------------------------- - -All Modules -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y1 | 0 | 0 | -| Y0 | 0 | 0 | -+----+----+----+ - - - -# Location of IO Primitives which is load of clock spine - -# Location of clock ports diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_control_sets_placed.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_control_sets_placed.rpt deleted file mode 100644 index 5b5cadb..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_control_sets_placed.rpt +++ /dev/null @@ -1,77 +0,0 @@ -Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -| Date : Fri May 13 14:41:53 2022 -| Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) -| Command : report_control_sets -verbose -file fixedPointTest_control_sets_placed.rpt -| Design : fixedPointTest -| Device : xc7z010 -------------------------------------------------------------------------------------------- - -Control Set Information - -Table of Contents ------------------ -1. Summary -2. Histogram -3. Flip-Flop Distribution -4. Detailed Control Set Information - -1. Summary ----------- - -+----------------------------------------------------------+-------+ -| Status | Count | -+----------------------------------------------------------+-------+ -| Total control sets | 0 | -| Minimum number of control sets | 0 | -| Addition due to synthesis replication | 0 | -| Addition due to physical synthesis replication | 0 | -| Unused register locations in slices containing registers | 0 | -+----------------------------------------------------------+-------+ -* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers -** Run report_qor_suggestions for automated merging and remapping suggestions - - -2. Histogram ------------- - -+--------------------+-------+ -| Fanout | Count | -+--------------------+-------+ -| Total control sets | 0 | -| >= 0 to < 4 | 0 | -| >= 4 to < 6 | 0 | -| >= 6 to < 8 | 0 | -| >= 8 to < 10 | 0 | -| >= 10 to < 12 | 0 | -| >= 12 to < 14 | 0 | -| >= 14 to < 16 | 0 | -| >= 16 | 0 | -+--------------------+-------+ -* Control sets can be remapped at either synth_design or opt_design - - -3. Flip-Flop Distribution -------------------------- - -+--------------+-----------------------+------------------------+-----------------+--------------+ -| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | -+--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 0 | 0 | -| No | No | Yes | 0 | 0 | -| No | Yes | No | 0 | 0 | -| Yes | No | No | 0 | 0 | -| Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 0 | 0 | -+--------------+-----------------------+------------------------+-----------------+--------------+ - - -4. Detailed Control Set Information ------------------------------------ - -+--------------+---------------+------------------+------------------+----------------+--------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | -+--------------+---------------+------------------+------------------+----------------+--------------+ - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.pb deleted file mode 100644 index 0158a2ad826bcd75c8436a6a29252340aee67559..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 37 scmd;LGcqtV(KDRH% - Ruledeck: default - Max violations: - Violations found: 3 -+--------+------------------+----------------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+------------------+----------------------------+------------+ -| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | -| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+------------------+----------------------------+------------+ - -2. REPORT DETAILS ------------------ -NSTD-1#1 Critical Warning -Unspecified I/O Standard -43 out of 43 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], c[8:-6]. -Related violations: - -UCIO-1#1 Critical Warning -Unconstrained Logical Port -43 out of 43 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], c[8:-6]. -Related violations: - -ZPS7-1#1 Warning -PS7 block required -The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -Related violations: - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpx b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpx deleted file mode 100644 index b4c3b77236604fcd4d4102afd1607e418ec0259f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4370 zcmeHLPfsL86rX`)KsH9Q$tDCnysQUc1MLp5%Z3{ZY{G`X1O_(lgiLCxtEVgURP|O> z4-jMG(Zq}KBlr#c7=9BEo=l8K{Z&_kjz*#;Xw;d*bXV1@SHF6H>Q}Gdt<`GN4`v_C zH&!1vpGIpB-)=qco=SZrY>_$p0>d+t&1m)hdx^XhaZ5|(+QPbSYzeKC1&=V+TMP2W#pq5AB^{Oq=oPI8vfD`u2b{fCW%Uivbo?gks_wO4-VftuTBSv_nXgZ&p(@5Un5;O(h1=$)BRFeu8p%)SW(Wj z)l6F4J3MZ09qeo!?JUudWrd)Ho!u8(C;RP%Ws=G|!D6q9`MHLewX(0UeuadGNfEVE zX=%W&h_mZOxK>UmC$%DNpo{B4&YbMYOu8$&kS-;c3hHTWfpUET^^n6XCAgDAFT|3> zzpFmw!X(-ZnBroYJO&sDwibO@>ni9cap{a02tcsHRzPAlV0}SOmutmhD7oZ!EE}<^t;`V%4-`Aac*11n zSLq8S408eo?MY_=m<^~z9UQiIH|bR>6x4dhdPkhfA&WH~0UMl}7zVo(+!aR9qKNtt zWvoy9=Ml=j)7Wk7{Vl`9`m5EcgcZjjwJY{*&#! zLlFP`3AhtfY?wdeX}_ZM7EYhl=^#^5|2K_WsK2BVrGJmcGtI*Rce9eC#r?zWrHae` z$JCxng=?r$K$;f`*&-QPw2IJu9&z8hK(vG<>$^*_PuU*E>-<5c^r zx9E|Wz2jT-IMx2a8}x5e?O#ROKlslAb#VC9O_Bb(b-V$tzK;j>Ko{pIqTUqJ2$bhx ztmNo!8`lRf!v(6sL4j*TPk2&}QTc>Q=Jl0&gG0Zp9nq&vjLH~gjZh3#$4xZ~T44AF T;h7?l{Q^ZLiqh!iT`%wxr?S`h diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.pb deleted file mode 100644 index 0158a2ad826bcd75c8436a6a29252340aee67559..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 37 scmd;LGcqtV(KDRH% - Ruledeck: default - Max violations: - Violations found: 3 -+--------+------------------+----------------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+------------------+----------------------------+------------+ -| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | -| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+------------------+----------------------------+------------+ - -2. REPORT DETAILS ------------------ -NSTD-1#1 Critical Warning -Unspecified I/O Standard -43 out of 43 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], c[8:-6]. -Related violations: - -UCIO-1#1 Critical Warning -Unconstrained Logical Port -43 out of 43 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], c[8:-6]. -Related violations: - -ZPS7-1#1 Warning -PS7 block required -The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -Related violations: - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpx b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpx deleted file mode 100644 index 41a0868337a1b51a26bca1c146db6ae943819499..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4371 zcmeHL-D)I76rM>SiCM(3un;1`VZF#?N!pzxyIFFVO`>E8nUGAfxI-9fs;j4q^i=g$ zRZkK`@KW$bd<5UX$M8+O@JbLb^{cK>8`3%b-p@3_0{ROYqi?UgSiI_ zQ>%}gPouSmZyrAHo=SZrY>_$p0fuKMo6+k1cM^Fi;zKQ!YYXeTvEf~#3n${p)&oR8UW23zX{%EDt%%Qi3}<^g=92 z{JZK?E=;1$fGIAP$zy zC{14cDu_lGveZ!85w@!b1EFFOFD;i*Q|{e&BIGv73fIkzhErhtBm zYk~L|PQaa@V#E9yPx}?6AL8^`oe44}^?x&U3-y;&qV(_4c&2$c;BGWIYV5bRmnts% zA5(iS6|PaiJare|;OTk5lch z-l9ih_Kt7S<5c?xZ_vL@wSN_9|KPt1)WPA?H%0oZ!{ZHb^?f|32f8>%5%s!|MxZ87@#24hmc&dcu=(jLIieGOw=GYaIGz?T9{YVpPT`YlLE`I&P{_&;r9p T2+tIW>=!61QItk6?pnc5ts~fR diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_io_placed.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_io_placed.rpt deleted file mode 100644 index 3159bd4..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_io_placed.rpt +++ /dev/null @@ -1,442 +0,0 @@ -Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -| Date : Fri May 13 14:41:53 2022 -| Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) -| Command : report_io -file fixedPointTest_io_placed.rpt -| Design : fixedPointTest -| Device : xc7z010 -| Speed File : -1 -| Package : clg400 -| Package Version : FINAL 2012-10-23 -| Package Pin Delay Version : VERS. 2.0 2012-10-23 -------------------------------------------------------------------------------------------------- - -IO Information - -Table of Contents ------------------ -1. Summary -2. IO Assignments by Package Pin - -1. Summary ----------- - -+---------------+ -| Total User IO | -+---------------+ -| 43 | -+---------------+ - - -2. IO Assignments by Package Pin --------------------------------- - -+------------+-------------+------------+-------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | -+------------+-------------+------------+-------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| A1 | | | PS_DDR_DM0_502 | PSS IO | | | | | | | | | | | | | | | | -| A2 | | | PS_DDR_DQ2_502 | PSS IO | | | | | | | | | | | | | | | | -| A3 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| A4 | | | PS_DDR_DQ3_502 | PSS IO | | | | | | | | | | | | | | | | -| A5 | | | PS_MIO6_500 | PSS IO | | | | | | | | | | | | | | | | -| A6 | | | PS_MIO5_500 | PSS IO | | | | | | | | | | | | | | | | -| A7 | | | PS_MIO1_500 | PSS IO | | | | | | | | | | | | | | | | -| A8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A9 | | | PS_MIO43_501 | PSS IO | | | | | | | | | | | | | | | | -| A10 | | | PS_MIO37_501 | PSS IO | | | | | | | | | | | | | | | | -| A11 | | | PS_MIO36_501 | PSS IO | | | | | | | | | | | | | | | | -| A12 | | | PS_MIO34_501 | PSS IO | | | | | | | | | | | | | | | | -| A13 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| A14 | | | PS_MIO32_501 | PSS IO | | | | | | | | | | | | | | | | -| A15 | | | PS_MIO26_501 | PSS IO | | | | | | | | | | | | | | | | -| A16 | | | PS_MIO24_501 | PSS IO | | | | | | | | | | | | | | | | -| A17 | | | PS_MIO20_501 | PSS IO | | | | | | | | | | | | | | | | -| A18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A19 | | | PS_MIO16_501 | PSS IO | | | | | | | | | | | | | | | | -| A20 | | High Range | IO_L2N_T0_AD8N_35 | User IO | | 35 | | | | | | | | | | | | | | -| B1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B2 | | | PS_DDR_DQS_N0_502 | PSS IO | | | | | | | | | | | | | | | | -| B3 | | | PS_DDR_DQ1_502 | PSS IO | | | | | | | | | | | | | | | | -| B4 | | | PS_DDR_DRST_B_502 | PSS IO | | | | | | | | | | | | | | | | -| B5 | | | PS_MIO9_500 | PSS IO | | | | | | | | | | | | | | | | -| B6 | | | VCCO_MIO0_500 | VCCO | | | | | | | any** | | | | | | | | | -| B7 | | | PS_MIO4_500 | PSS IO | | | | | | | | | | | | | | | | -| B8 | | | PS_MIO2_500 | PSS IO | | | | | | | | | | | | | | | | -| B9 | | | PS_MIO51_501 | PSS IO | | | | | | | | | | | | | | | | -| B10 | | | PS_SRST_B_501 | PSS IO | | | | | | | | | | | | | | | | -| B11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B12 | | | PS_MIO48_501 | PSS IO | | | | | | | | | | | | | | | | -| B13 | | | PS_MIO50_501 | PSS IO | | | | | | | | | | | | | | | | -| B14 | | | PS_MIO47_501 | PSS IO | | | | | | | | | | | | | | | | -| B15 | | | PS_MIO45_501 | PSS IO | | | | | | | | | | | | | | | | -| B16 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| B17 | | | PS_MIO22_501 | PSS IO | | | | | | | | | | | | | | | | -| B18 | | | PS_MIO18_501 | PSS IO | | | | | | | | | | | | | | | | -| B19 | | High Range | IO_L2P_T0_AD8P_35 | User IO | | 35 | | | | | | | | | | | | | | -| B20 | | High Range | IO_L1N_T0_AD0N_35 | User IO | | 35 | | | | | | | | | | | | | | -| C1 | | | PS_DDR_DQ6_502 | PSS IO | | | | | | | | | | | | | | | | -| C2 | | | PS_DDR_DQS_P0_502 | PSS IO | | | | | | | | | | | | | | | | -| C3 | | | PS_DDR_DQ0_502 | PSS IO | | | | | | | | | | | | | | | | -| C4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C5 | | | PS_MIO14_500 | PSS IO | | | | | | | | | | | | | | | | -| C6 | | | PS_MIO11_500 | PSS IO | | | | | | | | | | | | | | | | -| C7 | | | PS_POR_B_500 | PSS IO | | | | | | | | | | | | | | | | -| C8 | | | PS_MIO15_500 | PSS IO | | | | | | | | | | | | | | | | -| C9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C10 | | | PS_MIO52_501 | PSS IO | | | | | | | | | | | | | | | | -| C11 | | | PS_MIO53_501 | PSS IO | | | | | | | | | | | | | | | | -| C12 | | | PS_MIO49_501 | PSS IO | | | | | | | | | | | | | | | | -| C13 | | | PS_MIO29_501 | PSS IO | | | | | | | | | | | | | | | | -| C14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C15 | | | PS_MIO30_501 | PSS IO | | | | | | | | | | | | | | | | -| C16 | | | PS_MIO28_501 | PSS IO | | | | | | | | | | | | | | | | -| C17 | | | PS_MIO41_501 | PSS IO | | | | | | | | | | | | | | | | -| C18 | | | PS_MIO39_501 | PSS IO | | | | | | | | | | | | | | | | -| C19 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| C20 | | High Range | IO_L1P_T0_AD0P_35 | User IO | | 35 | | | | | | | | | | | | | | -| D1 | | | PS_DDR_DQ5_502 | PSS IO | | | | | | | | | | | | | | | | -| D2 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| D3 | | | PS_DDR_DQ4_502 | PSS IO | | | | | | | | | | | | | | | | -| D4 | | | PS_DDR_A13_502 | PSS IO | | | | | | | | | | | | | | | | -| D5 | | | PS_MIO8_500 | PSS IO | | | | | | | | | | | | | | | | -| D6 | | | PS_MIO3_500 | PSS IO | | | | | | | | | | | | | | | | -| D7 | | | VCCO_MIO0_500 | VCCO | | | | | | | any** | | | | | | | | | -| D8 | | | PS_MIO7_500 | PSS IO | | | | | | | | | | | | | | | | -| D9 | | | PS_MIO12_500 | PSS IO | | | | | | | | | | | | | | | | -| D10 | | | PS_MIO19_501 | PSS IO | | | | | | | | | | | | | | | | -| D11 | | | PS_MIO23_501 | PSS IO | | | | | | | | | | | | | | | | -| D12 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| D13 | | | PS_MIO27_501 | PSS IO | | | | | | | | | | | | | | | | -| D14 | | | PS_MIO40_501 | PSS IO | | | | | | | | | | | | | | | | -| D15 | | | PS_MIO33_501 | PSS IO | | | | | | | | | | | | | | | | -| D16 | | | PS_MIO46_501 | PSS IO | | | | | | | | | | | | | | | | -| D17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D18 | | High Range | IO_L3N_T0_DQS_AD1N_35 | User IO | | 35 | | | | | | | | | | | | | | -| D19 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | -| D20 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | -| E1 | | | PS_DDR_DQ7_502 | PSS IO | | | | | | | | | | | | | | | | -| E2 | | | PS_DDR_DQ8_502 | PSS IO | | | | | | | | | | | | | | | | -| E3 | | | PS_DDR_DQ9_502 | PSS IO | | | | | | | | | | | | | | | | -| E4 | | | PS_DDR_A12_502 | PSS IO | | | | | | | | | | | | | | | | -| E5 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| E6 | | | PS_MIO0_500 | PSS IO | | | | | | | | | | | | | | | | -| E7 | | | PS_CLK_500 | PSS Clock | | | | | | | | | | | | | | | | -| E8 | | | PS_MIO13_500 | PSS IO | | | | | | | | | | | | | | | | -| E9 | | | PS_MIO10_500 | PSS IO | | | | | | | | | | | | | | | | -| E10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E11 | | | PS_MIO_VREF_501 | PSS IO | | | | | | | | | | | | | | | | -| E12 | | | PS_MIO42_501 | PSS IO | | | | | | | | | | | | | | | | -| E13 | | | PS_MIO38_501 | PSS IO | | | | | | | | | | | | | | | | -| E14 | | | PS_MIO17_501 | PSS IO | | | | | | | | | | | | | | | | -| E15 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| E16 | | | PS_MIO31_501 | PSS IO | | | | | | | | | | | | | | | | -| E17 | | High Range | IO_L3P_T0_DQS_AD1P_35 | User IO | | 35 | | | | | | | | | | | | | | -| E18 | | High Range | IO_L5P_T0_AD9P_35 | User IO | | 35 | | | | | | | | | | | | | | -| E19 | | High Range | IO_L5N_T0_AD9N_35 | User IO | | 35 | | | | | | | | | | | | | | -| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F1 | | | PS_DDR_DM1_502 | PSS IO | | | | | | | | | | | | | | | | -| F2 | | | PS_DDR_DQS_N1_502 | PSS IO | | | | | | | | | | | | | | | | -| F3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F4 | | | PS_DDR_A14_502 | PSS IO | | | | | | | | | | | | | | | | -| F5 | | | PS_DDR_A10_502 | PSS IO | | | | | | | | | | | | | | | | -| F6 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | -| F7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F8 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| F9 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | -| F10 | | | RSVDGND | GND | | | | | | | | | | | | | | | | -| F11 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | -| F12 | | | PS_MIO35_501 | PSS IO | | | | | | | | | | | | | | | | -| F13 | | | PS_MIO44_501 | PSS IO | | | | | | | | | | | | | | | | -| F14 | | | PS_MIO21_501 | PSS IO | | | | | | | | | | | | | | | | -| F15 | | | PS_MIO25_501 | PSS IO | | | | | | | | | | | | | | | | -| F16 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | -| F17 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | -| F18 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| F19 | | High Range | IO_L15P_T2_DQS_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | -| F20 | | High Range | IO_L15N_T2_DQS_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | -| G1 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| G2 | | | PS_DDR_DQS_P1_502 | PSS IO | | | | | | | | | | | | | | | | -| G3 | | | PS_DDR_DQ10_502 | PSS IO | | | | | | | | | | | | | | | | -| G4 | | | PS_DDR_A11_502 | PSS IO | | | | | | | | | | | | | | | | -| G5 | | | PS_DDR_VRN_502 | PSS IO | | | | | | | | | | | | | | | | -| G6 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | -| G7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| G8 | | | VCCPLL | PSS VCCPLL | | | | | | | | | | | | | | | | -| G9 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| G14 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | -| G15 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | -| G16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G17 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | -| G18 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | -| G19 | | High Range | IO_L18P_T2_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | -| G20 | | High Range | IO_L18N_T2_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | -| H1 | | | PS_DDR_DQ14_502 | PSS IO | | | | | | | | | | | | | | | | -| H2 | | | PS_DDR_DQ13_502 | PSS IO | | | | | | | | | | | | | | | | -| H3 | | | PS_DDR_DQ11_502 | PSS IO | | | | | | | | | | | | | | | | -| H4 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| H5 | | | PS_DDR_VRP_502 | PSS IO | | | | | | | | | | | | | | | | -| H6 | | | PS_DDR_VREF0_502 | PSS IO | | | | | | | | | | | | | | | | -| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H8 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| H9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H10 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| H13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H14 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| H15 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | -| H16 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| H17 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| H18 | | High Range | IO_L14N_T2_AD4N_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| H19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H20 | | High Range | IO_L17N_T2_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | -| J1 | | | PS_DDR_DQ15_502 | PSS IO | | | | | | | | | | | | | | | | -| J2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J3 | | | PS_DDR_DQ12_502 | PSS IO | | | | | | | | | | | | | | | | -| J4 | | | PS_DDR_A9_502 | PSS IO | | | | | | | | | | | | | | | | -| J5 | | | PS_DDR_BA2_502 | PSS IO | | | | | | | | | | | | | | | | -| J6 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | -| J7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J9 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| J10 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| J11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| J14 | | High Range | IO_L20N_T3_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | -| J15 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | -| J16 | | High Range | IO_L24N_T3_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | -| J17 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| J18 | | High Range | IO_L14P_T2_AD4P_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| J19 | | High Range | IO_L10N_T1_AD11N_35 | User IO | | 35 | | | | | | | | | | | | | | -| J20 | | High Range | IO_L17P_T2_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | -| K1 | | | PS_DDR_A8_502 | PSS IO | | | | | | | | | | | | | | | | -| K2 | | | PS_DDR_A1_502 | PSS IO | | | | | | | | | | | | | | | | -| K3 | | | PS_DDR_A3_502 | PSS IO | | | | | | | | | | | | | | | | -| K4 | | | PS_DDR_A7_502 | PSS IO | | | | | | | | | | | | | | | | -| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K6 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | -| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K8 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| K9 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | -| K10 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | -| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| K13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K14 | | High Range | IO_L20P_T3_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | -| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K16 | | High Range | IO_L24P_T3_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | -| K17 | | High Range | IO_L12P_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| K18 | | High Range | IO_L12N_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| K19 | | High Range | IO_L10P_T1_AD11P_35 | User IO | | 35 | | | | | | | | | | | | | | -| K20 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| L1 | | | PS_DDR_A5_502 | PSS IO | | | | | | | | | | | | | | | | -| L2 | | | PS_DDR_CKP_502 | PSS IO | | | | | | | | | | | | | | | | -| L3 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| L4 | | | PS_DDR_A6_502 | PSS IO | | | | | | | | | | | | | | | | -| L5 | | | PS_DDR_BA0_502 | PSS IO | | | | | | | | | | | | | | | | -| L6 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | -| L7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L9 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | -| L10 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | -| L11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| L12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| L14 | | High Range | IO_L22P_T3_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | -| L15 | | High Range | IO_L22N_T3_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | -| L16 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| L17 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | -| L18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L19 | | High Range | IO_L9P_T1_DQS_AD3P_35 | User IO | | 35 | | | | | | | | | | | | | | -| L20 | | High Range | IO_L9N_T1_DQS_AD3N_35 | User IO | | 35 | | | | | | | | | | | | | | -| M1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M2 | | | PS_DDR_CKN_502 | PSS IO | | | | | | | | | | | | | | | | -| M3 | | | PS_DDR_A2_502 | PSS IO | | | | | | | | | | | | | | | | -| M4 | | | PS_DDR_A4_502 | PSS IO | | | | | | | | | | | | | | | | -| M5 | | | PS_DDR_WE_B_502 | PSS IO | | | | | | | | | | | | | | | | -| M6 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | -| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M8 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| M9 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| M10 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| M13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M14 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | -| M15 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | -| M16 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | -| M17 | | High Range | IO_L8P_T1_AD10P_35 | User IO | | 35 | | | | | | | | | | | | | | -| M18 | | High Range | IO_L8N_T1_AD10N_35 | User IO | | 35 | | | | | | | | | | | | | | -| M19 | | High Range | IO_L7P_T1_AD2P_35 | User IO | | 35 | | | | | | | | | | | | | | -| M20 | | High Range | IO_L7N_T1_AD2N_35 | User IO | | 35 | | | | | | | | | | | | | | -| N1 | | | PS_DDR_CS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| N2 | | | PS_DDR_A0_502 | PSS IO | | | | | | | | | | | | | | | | -| N3 | | | PS_DDR_CKE_502 | PSS IO | | | | | | | | | | | | | | | | -| N4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N5 | | | PS_DDR_ODT_502 | PSS IO | | | | | | | | | | | | | | | | -| N6 | | | RSVDVCC3 | Reserved | | | | | | | | | | | | | | | | -| N7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N9 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| N10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| N12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| N14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N15 | | High Range | IO_L21P_T3_DQS_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | -| N16 | | High Range | IO_L21N_T3_DQS_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | -| N17 | a[-2] | High Range | IO_L23P_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| N18 | b[4] | High Range | IO_L13P_T2_MRCC_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| N19 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| N20 | b[2] | High Range | IO_L14P_T2_SRCC_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| P1 | | | PS_DDR_DQ16_502 | PSS IO | | | | | | | | | | | | | | | | -| P2 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| P3 | | | PS_DDR_DQ17_502 | PSS IO | | | | | | | | | | | | | | | | -| P4 | | | PS_DDR_RAS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| P5 | | | PS_DDR_CAS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| P6 | | | PS_DDR_VREF1_502 | PSS IO | | | | | | | | | | | | | | | | -| P7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P8 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P14 | c[4] | High Range | IO_L6P_T0_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| P15 | a[-4] | High Range | IO_L24P_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| P16 | a[-5] | High Range | IO_L24N_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| P17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P18 | a[-3] | High Range | IO_L23N_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| P19 | b[3] | High Range | IO_L13N_T2_MRCC_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| P20 | b[1] | High Range | IO_L14N_T2_SRCC_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| R1 | | | PS_DDR_DQ19_502 | PSS IO | | | | | | | | | | | | | | | | -| R2 | | | PS_DDR_DQS_P2_502 | PSS IO | | | | | | | | | | | | | | | | -| R3 | | | PS_DDR_DQ18_502 | PSS IO | | | | | | | | | | | | | | | | -| R4 | | | PS_DDR_BA1_502 | PSS IO | | | | | | | | | | | | | | | | -| R5 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| R6 | | | RSVDVCC2 | Reserved | | | | | | | | | | | | | | | | -| R7 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R9 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| R10 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | -| R11 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | -| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| R14 | c[3] | High Range | IO_L6N_T0_VREF_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| R15 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| R16 | a[6] | High Range | IO_L19P_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| R17 | a[5] | High Range | IO_L19N_T3_VREF_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| R18 | a[3] | High Range | IO_L20N_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| R19 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | -| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T1 | | | PS_DDR_DM2_502 | PSS IO | | | | | | | | | | | | | | | | -| T2 | | | PS_DDR_DQS_N2_502 | PSS IO | | | | | | | | | | | | | | | | -| T3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T4 | | | PS_DDR_DQ20_502 | PSS IO | | | | | | | | | | | | | | | | -| T5 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| T6 | | | RSVDVCC1 | Reserved | | | | | | | | | | | | | | | | -| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T8 | | Dedicated | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| T9 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| T10 | | High Range | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| T11 | | High Range | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| T12 | | High Range | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| T13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T14 | c[6] | High Range | IO_L5P_T0_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| T15 | c[5] | High Range | IO_L5N_T0_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| T16 | c[-2] | High Range | IO_L9P_T1_DQS_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| T17 | a[4] | High Range | IO_L20P_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| T18 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| T19 | a[-6] | High Range | IO_25_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| T20 | b[0] | High Range | IO_L15P_T2_DQS_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| U1 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| U2 | | | PS_DDR_DQ22_502 | PSS IO | | | | | | | | | | | | | | | | -| U3 | | | PS_DDR_DQ23_502 | PSS IO | | | | | | | | | | | | | | | | -| U4 | | | PS_DDR_DQ21_502 | PSS IO | | | | | | | | | | | | | | | | -| U5 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| U6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U7 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| U8 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| U9 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| U10 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| U11 | | Dedicated | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| U12 | | High Range | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| U13 | | High Range | IO_L3P_T0_DQS_PUDC_B_34 | User IO | | 34 | | | | | | | | | | | | | | -| U14 | c[-6] | High Range | IO_L11P_T1_SRCC_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| U15 | b[7] | High Range | IO_L11N_T1_SRCC_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| U16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U17 | c[-3] | High Range | IO_L9N_T1_DQS_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| U18 | b[6] | High Range | IO_L12P_T1_MRCC_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| U19 | b[5] | High Range | IO_L12N_T1_MRCC_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| U20 | b[-1] | High Range | IO_L15N_T2_DQS_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| V1 | | | PS_DDR_DQ24_502 | PSS IO | | | | | | | | | | | | | | | | -| V2 | | | PS_DDR_DQ30_502 | PSS IO | | | | | | | | | | | | | | | | -| V3 | | | PS_DDR_DQ31_502 | PSS IO | | | | | | | | | | | | | | | | -| V4 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| V5 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| V6 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| V7 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| V8 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| V9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V10 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| V11 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| V12 | c[8] | High Range | IO_L4P_T0_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| V13 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| V14 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| V15 | c[-4] | High Range | IO_L10P_T1_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| V16 | b[-6] | High Range | IO_L18P_T2_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| V17 | a[2] | High Range | IO_L21P_T3_DQS_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| V18 | a[1] | High Range | IO_L21N_T3_DQS_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| V19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V20 | b[-2] | High Range | IO_L16P_T2_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| W1 | | | PS_DDR_DQ26_502 | PSS IO | | | | | | | | | | | | | | | | -| W2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W3 | | | PS_DDR_DQ29_502 | PSS IO | | | | | | | | | | | | | | | | -| W4 | | | PS_DDR_DQS_N3_502 | PSS IO | | | | | | | | | | | | | | | | -| W5 | | | PS_DDR_DQS_P3_502 | PSS IO | | | | | | | | | | | | | | | | -| W6 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| W7 | | Dedicated | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| W8 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| W9 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| W10 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| W11 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| W12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W13 | c[7] | High Range | IO_L4N_T0_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| W14 | c[0] | High Range | IO_L8P_T1_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| W15 | c[-5] | High Range | IO_L10N_T1_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| W16 | a[7] | High Range | IO_L18N_T2_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| W17 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| W18 | a[0] | High Range | IO_L22P_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| W19 | a[-1] | High Range | IO_L22N_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| W20 | b[-3] | High Range | IO_L16N_T2_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| Y1 | | | PS_DDR_DM3_502 | PSS IO | | | | | | | | | | | | | | | | -| Y2 | | | PS_DDR_DQ28_502 | PSS IO | | | | | | | | | | | | | | | | -| Y3 | | | PS_DDR_DQ25_502 | PSS IO | | | | | | | | | | | | | | | | -| Y4 | | | PS_DDR_DQ27_502 | PSS IO | | | | | | | | | | | | | | | | -| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y6 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| Y7 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| Y8 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| Y9 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| Y10 | | Dedicated | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| Y11 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| Y12 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| Y13 | | | NC | Not Connected | | | | | | | | | | | | | | | | -| Y14 | c[-1] | High Range | IO_L8N_T1_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y16 | c[2] | High Range | IO_L7P_T1_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| Y17 | c[1] | High Range | IO_L7N_T1_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| Y18 | b[-4] | High Range | IO_L17P_T2_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| Y19 | b[-5] | High Range | IO_L17N_T2_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| Y20 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -+------------+-------------+------------+-------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -* Default value -** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.pb deleted file mode 100644 index 210b56b23e16be77884838fd52d0284a8cacbb41..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso - Max violations: - Violations found: 0 -+------+----------+-------------+------------+ -| Rule | Severity | Description | Violations | -+------+----------+-------------+------------+ -+------+----------+-------------+------------+ - -2. REPORT DETAILS ------------------ - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpx b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpx deleted file mode 100644 index 12021354e965d1c4df2369d14da6e8ed121b86c2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 133 zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DNX`Pb1@t083}2oWmcr71mtJt zm4u`gm&E6$mSp6oz^2f2k(M4s;&2HnA(Z&RGH|Uvg~bopNCpDb18N0Tj1J6WD3}3Mg}IpzU~X(8Dil`fWcnU#H=;To2j(b?41Od{ zQ+e>Oj)4#H-CJof?_D$f1Epf1&SQlb&tbCED&Cih;7JBbk4eeQSxin`N4tyMpsK$fwVA>-L3Hba*Z0z>$lBZ963 zMlD!OSgBFSvF2dFBL15e4CGBArxgjuc=^Ixk|6md?uWB5X!@50d~S064+r^Ew*F7^ zsl_v{!ha3zmsACy6)XS%b1VP=((llkS$de7DA-%txu}>ryU>}MnC~gT_U#Nt4DPEqHjL)FC0*{V{w68RU=%c*1n) zBJVv;o-=pwBL2te_}5pyqCKeLFi(D>-z9ze1dUb6%0I?D7Vly|BC-en;60Q{1AsHC}nMWFHy@%88eI zC_lMH40;;0aA3VTPA&;X8$w4IG(oIG{tJuCiZw$Qwv5S1#Y-0obF57tK(L=87pR&O z;JlC%Zh2lX9anFje2v-X@rwTu@NIZ5La=pY-tCT@8lXlm_?ejqaDhKK;=?ef*nVs#iVV z27Hx9{1xyoL)0%r+Xi>41@2ZsJ=BTM3u9Hh>*6?7$C`LHwbDkuDGk)I&kG|}wCmz1 zanuv>?)aVYiAtIy*w*`4&Q~D}=NndqF&uBgUj)m-IIb6A9LF1Wg>hVO;uit?%rK@0 zVH(#14kg)u-j^s?A7Dp1Q4Oq#=Tkdvit5j!`wNiKkODZRD%ccoz%m z0qbLQX#OgVu^+)+@geS8{hV$@AIdORR~*9~)RQod(-p;bhwjGin&bYvITnlah`sko zGnSm`&B=$G2Mb>Q%r^3zA3Rv%5-yl5oIYOIoe11_T|E!5e>|7?#kbrF#zv|=3!{$v_M5?CeBjUz{u%_ir-AU0Zp82tnSFv9oVa}k9a|K-MuTI z$IA5bzzE_=nTzzyI$4$iC|i~?ICrK5m0hL;qsB5%h9;(Pn_wqoix~+u2E|ieogdnJ&Oe2E1@C!FKXhjW{zu?XWt+>JR3*6bE z6gF9*6gRoELaU^rzG^6$?$d`` zz7F>q17urshQ3L)zRhQtvH&SI_45FitkEKVj=-u>)W$($v+w*z^MBF+|29GqbC}nK z=B0}}GL+0T--WPf|D_8oft{4kY}?JCM7C%*oym367L$3m{aw5M;gEhYrY$$|iH_f+ zoS&MV7b|}|ew3DVsVn81!7Vq?%#7OnnKZoZMb!Rz>&riUCNuU?i30 zR>>X=M?;YXDr{Fk*A>BE>TBAFJrQjpI6aoldPwqlL1}L z%d70LN!HWU$&jk%Cyr-$09F}kJrlsHYm~?tw-~9MCo-6?k`^iY)-i8$cei1$86+m z2=76PxK60TD-MQ`n?YDiNEb?`TY<;svMYqMTI50i@c zXN7}(rfZs!KJHcVQ1Y~m9i?1t)2V_`Xz(Hp8me$(j#Y|+;RDk$o#-W#f;Y{pnN~f; z3z$@VaMI1sEF|o=?UJp!a;83wXD=Hm`?`ktqXEB9eFg+_N=iZ7qG)-!Q znq)U*Z-kYy@Ssug5fJ6~8*a^x|Hw1KXOo4&1vJbP+eJF5m{>k~G}dz>2jVAFq|pU5 z+Vyq;y+$>}#S@59Fy9nLNFR+o!!u}UhV24+N+8Iyr>1l;pVaX$Idj@rUqv#dF@22z z^u$w4D454dEu?2gf0B4VuSaj^sVA4q^Td_WJ@YVCyqDLtHsjpW*7aFQ&7lWWwAI{VHb4I--YQ;g=2Cl{>_Ixy#qR&AcAv+`TgQ&IPMY z9^veLrsZgNeu*J$e|IiQs)Xrm>6kXZGdEN0ie*NpTQg|@4JNZCXC3u~77pd|&uH`f zy5`-dXXEMgy2lWc*7F6--DPi zX%3H9qv`cKAQB#!py4y!EQiLSq4oR95D1PlybDTM$Pjen|CU*uwE2R%f`~bFzKlB`VR`26y9&1S3(Qwz0^qDQ35=f zi$jWrTlKa=jlFK)a*JnjG0=c?xspp4rVD9<=b`~&FC43adHwylkm2BupV) zD%Ye6p=J62xa3P&3yNDr3#60L@w@CwQ}ni=IGX@LI#*yO60T=m@}d>Q<8)BL=vvj7 zcC?mr%qLe2ng7}D?rJIT)U{_q+Hv%|RtJxU+8Qt?8C6hpmG&MDu{B^KvadMocIh}8 zVsFT7vCL$3iMIX^z1ZkN*@fEZKk~#+5r)#ZB`|GkB!`^xpc{f`l#Bgos23ZP0Nj%T zc0|+`&e8+dr$w@MDZw}TB+H~Bu|@=M5kMwAmeayzy-?5%@GvK63IQ?Yz&s{f)Qte! zJpzC3K_)zgrheI2&<)YhCtz{`G3k{a6D{f{fNqw7I|aYvsl*SS={8F%Kt_}BNzX@< z7k^Z+MArdY(mul_-B{lOZ3mdCYnCkSXlIGO1B4W7HduiXy#?AHP?4VLL1~p9xMSSP zj_fU3%bwtsgFBm4Y-Goh@G+AMqGUWIKFW-NqXLHHAESVaT}Wgn88#)b*SxIk9MX5i zNka2vK~ymBRL^pvq>>G9ya;Pr_G!ex_Krd^1_7yA0x3Zex~1_9Gr=Z7@IgdkLPSPT zSYl+nc#N}65Xb~fNH`4-gd_zi^nSc&n;;;Wo{&(g2MAfZLmdOTXl#OolaNb@V^StI zAUL@SAv}}^{1}-LG(+=|?AN%No4u1X&EiQg>i0MPdqUM$OP2UI32{&CmzhAL{<*kQ)H~4ucL0(#08m zeNP9}en_1ozXT^|c8Pd#G8#=h{UueO;G;PT4+0(P=gH{S4_j9@{4jy5tM7-a!`Q>E zd#=3xFXyQaAx|gv=T9D7CfL8^*B7j@>sL&jkosFk5c%VK%r^R7SD@op61{%`@R8_W z3^F^-lOO3e%eptEzKeYF?1{hz+}r8?&h-boM7F%L4rjWX&-&!pBJN$ zpzl~X|GJM|f<|@gmp>%-^tZOGZ~wvncV?zI&RVSen~}x+0`mW#nOWKyJ3&v*%+5&1 zyGznY#<)+@N4?ER(@D+B&QeRxI8IN}(@WDbtuV7QDN)GLPRYzn)=1Gz&dfJANzq8n zP0&cIGO(yKC@=sU5e$LFDtcfnStLLM{C6L2m7=-f!2kfxvH#cX(8T<=XvsQa&I%U`JDTF=H|w36Dds-GGmhpo!ZX9LqNk$RUDcK zLYa<}!0z#yM{BQG_=pAgDq43)^bxH~v-I09(_!mrR(8GVt$Q%x{-mEv>rCEvv0fp4 zg*M*dFJTt5iw>QwMSP|7zrxo9JPo;m(wCOTtTmjDKDPyTomggV?Dv&s8#IgTTBBK; zyOs88ZL?YpD^|5a_&qQhV03*D>-L=(z+LbHmc1?#h04XsJG<5yt746~z*_(fuyj2H zn|2nU)LxdAHQ?rz3fAb_4mDJk^tA_YbYVoX-2!*CS`E5(?(A`i4zLs_k1wMfMyb?X zsbL!Ts8yv_#V-6}S9l9dk0+xJ$(ACKoGyyQm{v=Pi9c77&b9zv2jevRHkJs?sP?(>nORyM;ETuU5s3+g~_9-QG(Hzk8>FN2imZRJqx00CQLHb|S3(4c+MNMLk1;N!Mp z!hkj+Avlf9_)|@&x$cTYu}|r^dp-TWJy7M)%W8+t(UJ6Y?{^>-IfMRW05!vq$6BEs zE)}dkN@mXi7Oc_GLoH;`e1u;Gw&@CnYFXQ&n=8g?=ZLo0S#ewlvU%KmOxh*qLlp{om+OH7>yCmL${i3hHX(`*V7_HV4AGPSwz=rLMgNk5m&13j-`sd_R^p*V1-zc;;MOk41ms8(lu zf3^dJk(zeDQNzYiiOj4%E|TzR1du-~fgk82C$2x!@sa$|8x4oqsBhIPnAv|o^sD%r+HyN;n0Bp0YA5TEzzeXkk|5lg)WEIT&Y!(98 z?V?RGf1`jamhX!)9ts^=_!I9hWca3Q_1WM>cce+t5S8_|1`zqO#aY_*E3g@)5k>kQ zDnM&q3v&Bn>r=Po5LFEN;*dCWrF_jA^QC#^Nz;~%)O|*_;KR6nbdvrI@#w`>+?P~f z;}(&RID6(JM12jqu!raiE$f5fy^x7w^~EDQe6osRWnej+9EoFc3p~03NAuH*TCjp! z#r%?kajXs_<6~of1Ty$Y**6Nuyb`sO@bnc;S|#ejQ4uQEmZ$uyFuU&Fn!0)n%D-4$ za}h?HBr{`ApH+1dsY8Q|mD}a6m3+|DeW(4hzUR0-A!s;IV-WY4{V9K);C3U_ZM;MT z7C8HY_Z}(2PsjftmtSz)3brF6A+Q_7R}g>V%-3Q(q84N0tkoEfJ_ZLW*tRdmehyr} z$2?cWB-TLUVUX67bQx;rtN<%wcG&S!hzC^|))UWUPU9;s+9S$|sM;BWM81&4PPE0(4-AV14&)Lfhgu4X1Nlf*vUfSFvbR?Ews|z4mMmM z^n{dD)V)DM0{;CQug51OND=4EL<}@V{Rl1xu?|*}qjq91Z}0 z|5TIzpW>pkg`tCKsV*ZJ)X&ycDgO%nINUcY~`Z#ula1K!Pz8`}Cu;c_|s4&Qn3&ogv={pD_U zhkK)W524b~=4fRM-i zAExNP=-2ACK+lzKG;MdAK&(;LVwH@Sqz7l&`aPL?!2B@Z9z5)NIn-<8)ym`3%`c6Q zi8Lb~O%x7#xIpq9-dtuO{iI( zP^Ku(2J_y5nA5`0^QyOXRIP$(=D@Tfj|)~dmR~qdJ*%OQ12un^nBi=dXfLwDIzkp43Rb~9^HvcE zs|#}7)1q3H9D8``hF3jS40)lt;_y8$QgC_IQtV@9I zYtPCgt}w4Eae{AKj9F$1+Z&5nP{41y!o<(yQo*Z9IgeiQ+#GNc8`(51loJ)A#IL@# z4a?2R@Q;I28NK!bVgd8onP!%^I`p^HBS zFZCD~&w3%4>6n{KW0Lo8-NtED3_aaZUj5YPQ$!T3Bu*6pPggG z5D7pbNeuDfr4RfSLEjmBs$x{nr(8RmQnf8cesz}fujAbhY8w;` zMuFfQX?|?3(-ZGy>(~{A^5m!yvuV=HqM4gZE5CNic~oGzR(4Mr)-Yyd%b_e}erc@i z+a-(ow19-NsWgsN~vvT8jGopiyQf`cSf|7 zSUi=O+(x|1Fw1RIQ#fl7W==Lf`)C!anOButGpk~@nq8}KSUIWXsKY1nkbyKF9q1uW zQ018$UR9J=sXmQddRbhN6rL5l$j4OP2FrEq>RXG8?aA6UDI2?os*T0C`SeYhAc4&u} zpUKb2m=z*wbt^l>UD;X>x;L6_wR*{tb4*)$>B%~cnInFDWr34jhjOlUK<=5$gTZ`n z2Zuy^!>L$ddLSg6p!iiOfRQ5q2QtKvvWFZ&tki)XN;uf!pchsUtAidPB``X$07eHY zWKj#sFN<6uV{+h&h0fOe;2*^err0pP1;o<*zd!~G{U1yp-}!qPEWfmN1!op${%}u0 zLkE?aoMOP&CF|Na6JbPj+XgzTJCy#cO{G^%ozSI!?7Y}DuAlrLu zCQGbjy7d;5H`jSy1z&T<)g({K#`T^z zw4zz?9Y)oR%ESI-$ivbrsKKiI&rw^P`cFWURpEb*mN+##s1#M;)a(#+mJ&H}D*4UM zC-EXC9KD$j`9i}{jH|H1jd&qb1^3~X@xHpCPj|d*gLqGx`Mp81%?7A*eJ`5;-%VrH zGzH?na%!5E6xfQXbl&`D3R9A)0qFcMqNWz+&@}!>W6SmUas$Wtsb$L!x0C&zXD`D` zDd}OS{=NdOrY*<|M8_K^Z(fhLbt_ZV8|Hf8#PKHLT3-JE?OEJ5@?%;DPOJ4j|H2A4 z^_WQ1_1H=DRFLG!I-M@VO`=AhT=iool~s_)vP~|T<0Mu0n@ZI6n@JogN*=LIzRYuy ztn*n*(ET(MJ`^Xt&t>r3CeZL2N!0mnCy*2+k=P_z{~X0llG7qtA7mFNv2f@8eHqSg z89%8Kee@4ADJHS}W|XjqPbIs`kJ3dVE{s`iLXk zL`*damvuS@4L^BA4l}ug6<$JgmEp=6eMb#H8MDVu!cHUKtRT@eXVi1&{UDxB_Gc!s zRg~PaNvi%ih?~6ABH3pp`KTcAG|%-}CDi=nrPleelpvsjOx4PA>$4IKH zAkk%;eB|#kezdekZ+oqiRV=?J^M=y*n3ECD*jTkn>j?^-F(fwmZ9Oje)cQT#@qQCCH>gb3f*rb;Z>aU zyhXCE?+X6SUJJWKw272z5-r>GS2le_i(Hx=M$&wR@$xrJW7c*ZdTnDT{VXIuO!Db$@g8$@6T&oAIk_YBh!u7#m;fR8*2unxXyY z2|Hi8*XaJr3MWNNB{{ntvhOlBKGv1qVJ59AN~&>p-)q}-POI@50N-_GP_R zH$(I#gfsf#k$5iSZzn3qEC`*iz z#c#tIqtx-+@Wm`4geYRgFh~s}iCG2=QNXHVlnO!@v-lXMfHB1^C4?zbRT4^#vNc6t zkBXL}s1*Yt7pZs=f!}wX74f|rvQ{+{N}+OyR381JETO9v1R`?=l@XuDUAqFl7I4*y z53q_<(}Yr{9Oy5EcxuI7=%PT>h9fbl^uM@cG?tnqV(BMkkqW)5BEHs;l}jhN`TbTr zh3=EC3hIThl}kagB+peOX1v?4xMy^hrbddqvOj~rn`Mq2a#jc>vO1O+hY?OF}l4hg=4RL1${AL3dX-tR_RU}1e9Nm?$wMMKRzzTj3 zHUje*$1hG-BZdiGm@$nI)fpZAIWT0UGA)!+rE>zK*7~cftpN-7J3>iIfQ%8=K@s0W zBNj?0Lg_L(qY>kxY%zisc^eb&Da)~E9r?JfZ%Z967 zjEyD=bZ5L`+w1-9i|Vi29!bm|q@@9w>DU$U)x%aPDTGp&SeYzeZon^wvKp~XHcXKp z?3p7z+oHZ2*lNYoV3{M<5Sc8}O@W^cf6!QaoD(H`ViV`jMl1^WYT&CC+oCB>2Ul!o zymeQ?^R!oH6clw46|0E5D&Vn)te#`Rik@}BIfM6YJAMhjy$=*kv1&G1!ubQc5-w}V zN`*=!B`V^z38VUJ-dQ8I${9thW}8sTl?(mFP+B9l&!AA^K%L%XiQ(7#wy@QUDABot z(_eROe%;;v4Rz;lu(DS0mv6zYgzYtAuRJ1@LgRtVGJd_=wpSd!^QMS-mqh@b!EyOD zi2G|$i$LlWMo1QK?KeKqfKbts{gCgk(C?!T`p7&|8R3-r$YuJDGND=ww30?q31i1x z(cNxBD++9xbDW+&hc5kBD9fG9Kr7H%_ED|@UZI}JS1$}xDT9=m9F)%D zz+LKK1?(2hs(yb;ISx20O^ga`R5VqXmMdwoHiY#Im;&t*(Nmg>!9t_R;;)`5rdW_u zWl~atD4}c-=RI2_3=4ws0ZS8?G4s8G!Qz|rNU7-QB9%T8iDtDdN42D6HE}(l zV9o}(+a#tcIjiY+h*0wuW2a15y3I6$F5hH)MX@Daq>*z-x@@C(+JZ8RE!KSnY35uk z++@5*%gqWXrJD^bYd~DFlGYY!GbglEg&T!!HfYGsU%-*9S%Ashk7~^dEOB|o|FJac zZHttQzF6!XQ&F{9=pBPmuvvj2v0Z}EI7i5yBwH04L7NTejM=F29Z=S@S@t1$Sz_iI z8Ffp#P(kCCD0-55P812R+mJ-kofGYEi<~UmDD@qGYBFA^KvMm)I|ifMW!Y) zO7N1EOu;BJR}n@WevYYvGZK+{sNsVd#jA~MMQTiEx$HnH{>04VH^P@xSwv`0{EuzF zE6m))BLGXK7ZDs1*($pT;S$LgG{^2gn3CbGWMmLU6*cd`dO&&J3V6CzHZLpiP2kF) z|GIHXLz~Zq`ui9;8jkAiUEY6l^xDtO8jzm*cXYpmQQ(JqJ3qZvxJVTKXnt;L_oUAc zZ#uoI{CfCiv<D)vf@3Uut#;pMfJId2y<8!A@|5EQIjVD@-Ba2GJPIVd6AHgn!hG zaSbIGtTDn{GEz6G1#5UK23j-Ib{0e50J9P8uH}zft@_vrY0xy(UNv^$b1hNAXjR=4 zPDgIb(F2%dVYEWKmTP2XG{A!Kog5a0fp&T~?KB`Jw?<>$Ib@D;wZIzAcp${X0cWXk+DY+fWdLLNXXQ``;~0bwAFl=hT0-#)t-T#v_~P0 z`5>Oz6q{6lThx1Yg@Wbm(cLpKu{nB$cPs}CBtAuE0|0;I9Cxz#0{#CT1zf5!h;)l= z1_Und8u^r-su}4b4$^b}=GmlU{tFCFdtd!uXeaHbmbiCn1PNdebXW-9gj zFtv#AL@J{XB-zQ4BE2tPH`m}vm(u6(FKh8Q1lml9zvo8i^cJqtl!f-Rm1M2PKR0u< zf?<+pQ<2wL{sI2)VwRJ0{bec;007YM4#@vyr<}8kp=;`(HL@VasJS(a{&q&0EmD&- zd~`XU%to$_Ra1opk`WavBrB|7ZKEZXSP-5~DLA62U54@oFh(FHe6(Hs)?YTWVWuj30;oYOY|Le`n{)pO&U= zXz1KmC&zy;tMxnSVh62`s_s~jTj6k_ctnkX#+TW?FCfvvMAEG=p{k{H6KCx>G1 zW~e8JYVTs8rvPfl#W~5K*8=%tFomsjSSKvrRhj*nr&CC^lTIy(iZ_8O9V+fbiW)e+ zk*-lpo)Pu$FN$(we!k(dMB^>~S(8^|9#)b5$M0B8T_^-O_?BWwfXaWJqznC_6?c`!jtzi%VoskLIEYdLZX^vUNizcIFIxXuJ zgJdqES$gD=Sj4-_p7B_P=fPC|tW_p0M7*c0sQYxo?cco!5JGJqLTrl?jg*#Ugc0Aw zXSIUA7;n(85!c`|KiwKX3r~S>s1IJrcgOI4CcVOI?(;sjy*KFJ*f#drKifVS%)f8j z`PW1291Zp{b_@p3D@Lqu1`q|7h(|MMR9XOn^ee(@Df^0W#51fIcsH@9;1hB)TLTn+ z-9t1u0y!M-AT%JM=Ycn1G3wG^lIj<%$5;maqiLafH$9M(9~}YnW=M=_Jj?MqSB5#E z@j>Dg*!<#ZjwsBCq!8I)UI=hDbAhg&<%F)1`=bfsvLL!fTbGI95YJ176tzv3N8{x4 zq$L74`oy%+`0yIF-xHcqZM`V+xGrua@iPAYXR<=qsZVRh_O{#+8l zkS~BiN-wsh&0!|+qs-qlo(I(G?K-#c#h3jF@f`1j$Vb}(ZH16a&sp2fGP=3S;qrJ~ z@zpy0zQugg3AFSp^f%3Qf0^@kHRLo2aC700|7THd8Qp8D{auX%g8tW!5Zo(Zb(ufmC&Ulk(7EQQR=}ZCYz3(D3FpZluCpYnr+87 zt-H2u-j}akyUX$m%YE0JzPoR}%k&HL3-Swd1^`B3IHAl9W0Mb&H~fKv@bfTv=ls9{ zUI~-D8akR$v+v_Pc=My}2S0TA@Swtk2sxlu7!}M0VxY{>?Bi=#;HzF=$BgfVT&Pih zul>K%-ACMyX!n1-<~_-Kei*C%epM8@Q+wyW=Ivebfs4|rNtQ81N>QcH1gE#wiYe>I z(8{I5_8QBOznC36aP2Q0=Z*#P<iT+N zO09u?vV6{btBWqTXFJ>(wHL4FUDX;Hw>NEHXkDotE7YTJ#j3TJVDI+%%VFkbG3r{k z$JmWmR4m8t_PUi@%dBH>Bebu=;;dN*>cXH~yH^EV3PLnSKztsX7VG#j3<>jgeNa_K!pUQ`TIb!bGy|+pDA^= zDgku&YA?|`R&Vf8NHE-o$sHh>H^0LwoED4N4Fv5K=gigZP1+XnlA zwyuK3pxIkOchzgUBV4gcT(eY$9YTOt?N+vDv)Ve(8nfb3?1Hs0u;C5s_N&-6wu`LT zwL!gN(}OfQ1zOChbu;OOWPxO3w%~U0F|eI560g=aW43>k6?TI7!1KskGS3gV3blj9 zo8y9CZrk1kmG~F30XwiTU{qrV_^pTPQ^S*5;`MGXQn0qbK&xK3AT!BKdDsd*T7irJfERJtw_Fi2puq(9HC_e7 zd0U|L!3JPi&3l!B=>UHK^?1K+kh-jV29#|KLX-YYZ6j!C>j7no>_oj|T1B@c3E6VV z@haG_bRC!yVo8gqBc}>cfu|MT$)84-TF~LG9uYL7O`k;{GKg-hT*o_p9osZKrTAzm z5^OB_wQskH4}n?*^OlaD0Fh|$pnwE~f_)aXZ`$%g@reO~Bv<;7GACE31f|~7P^`KA zIf7>#5k*J10^$#m5<>YTP=P+ubpxSSeDplUWkGX4ywF^%cJ&~w5qoe$UUAc>zCAo| zC=`32JCsa~D&~TpCscu)&p5v?(b~Mu9r3oK;2?&ioDisb;>;R?JT-mz-cdO3pcDcD zg$k7lB{C80xdSuG!zPjuI}#CuBy^q(v(}LTpp0>k>S~ z$7)82!c5>xQG-9tdZ*AukC?&4i@&mAF4bt76SZId*~m2`}N1%zO_7O9Z7O_tzlA0d>gnt~N1!$n<3AVPY5 z`3}T}m~(<6oG2+ivXT-R3VT=ZF{pPe-ZIKxw6==K4w7L_YbFpf)IW9~AzWK!U$65GvEC>-Abk1(vD_jP9>b{X`-6ptbwLz4)~#)V)vfJ2 ztuwc*Eko_aaOZNxpz}k%ikriVdQ>Vw=B@BmuUa5epPPdBM&_Q(gMy`|xZL9S-Jx-{ z%?D`3N8VHDl~wN8UX~oYv$w^Txu`-_W1s@70HT~t))#>yRr7HU8P?BS^^a0?w(RM2 zq-Y1Hn&C;BvN~05gXJuZAv4&W8ap=a(OI?`v%zLDcW|7R?AHU&*|QyJOwa)y1FQFP^^1UufUQ>D&RQ3U&7wQg&< zPWJ8liiT*Ig*_k-&;BioL4UP}ku8Suu~^-IV0P|lR&Uy;*@yqK4_ec~SN{pG;^|*h z!DUyH`t@r>ju}2Qn$fqGr&>XmVR~b+lE zDlE7RExzvvc-R~_P|P(`jR}X4qpD86lz9a{m9;u>8DP%UOtSXNcAUuJDnQ$j|C zTBrVgfk{Oe6r$rmq;Pwciz+tpa3dGMo|BS=_^OguMCjEVq9R>x4pFIgRbkrLT3TeLh4qMK zGJ~|NTGaPW)pwjlx-x0TX$Enn@>roUq(e|$EiQ+|gt~t(gVcQ3K|q##6|DNy0OQ!G z=3V7t~ ztUd^mB;iYlgh9x{=a6y9@RE7cea@7~AxZ&m8}iRdI_A2%|&yl8t~>Vd*xDr3(Hs694KVn5{aOE+hVqgb-Rmt zXMP~4f7s(^h!+9b)LbuCxcLwb6}qJG9#)hRawH_f@ZgOfYN!vu?;JenB@T>3A!C?{ zP{4TYDWcLtTn91Jj%8zQWJoUu^BT)jw&^lF)UjfX7m=Zgkc1mGlBcygQjaG?L2s&~ z&7kpclN*}RWN>{Lun-bXqp&@Z0-R*BZ@f4PoP=~m(%f0l4MuoD0SjwHK1<_}QG*Dh zuzBy}t+A9_9A|(=n_&YTZe`I&>nfTo3R9EMz*KKl{u9R`r$xbLVpyD)P8eLgW!Wxx z=pZYrHtH}Diraz^mj-h<-$#l%Vsd^!enhB+cFjlxsjSW!YJ7fFe)G8hH}5f?9IEEA zU;1|RG>kP6lvv}e0>;eDt!rU(vsyIKC3VgriRlg)CL=^bf5UK*!0pN^8h)@T0TL3i zbGq|TlVaRBwUm#HJNP!zeK~6Z0VZ(|XIJ2w*V`m<vi$RmY?TTsStAYefxPd9{y2 zQZOcjC2nHrEqSBvK_G{Oe2u%rRwUh(Kk^ncKQcYJ1_LtD>7hAhA}*o*5+N?v9e&i2 z$%x}53gS|n0*CvH4}Dw{akwz2xD$%;LVU>W$d^zLMr{O)A=6{g)?qpRM1!zN`Cj^= zu!m-ZMVL$CdAm@zZL#1cAb}hcACIqn4C2hnUiU6GoV@;jV}a!OXUo z-~PNMn6=J_Z-0TSmqDozW6x@SH-w4c35=C&5&uK;?;m+X@{D8p9YY8g@_#L=V;9wd z4$Co))5S*~)my&voGhJ~wi&FHdjpQvZ))g1q`jQ$STazh_(nDl(DjQP%?q*Y0~PzY zk7E2}uh&zn9b5|TN(k&1p7mT59_0K*@1CLSAC>R!nWyjRyzhpG)vsV%79>m7^5(-T zTg_1-WEr>YEm-QTGEl*=eXb$Ld=a3sb^GtySytqGrrL)qoqB)^-8rseL3->2>Z%a7 z4Quv02dN;874Ikvz6M5y*f#FIdZ}p+`AYP(2xQgp(OyUV_Vv3vGFT0%j!47wMv3`G zDEu85C!~k|iSsO1uI2hOe`d*76{z zT#+{M;DqFzV$T?@6;XA8$Nfd+2%ipS=2>_85Sd zY;4r5yqYZohV4#ApVrowd+)ftZg>l0-s9k@CIMZaASlkEQy5ayv#*HCxNxUSlBGwL z`V^EWGoo83Lo5!CrxSd=|>+s zlhWoOfQUd5y$<8+# z9l=VS`bK9xa}RvV$1`OKrkoVXisQ2%e1jI{8K!WP%R4^o5PZ%F_1ijXNR1*_w21U3 zgyb?)px8}+NaYmCq&zjQO@sPoVLy8hLkgHE<(-xN1QhgwQZiNOY)xk{sFo2_ukN3n zlYxRa+XLV-MJ0bl8qCb4?I7BgHOow*dm;}azXiqQhY{f$GF5| zU-F14t+i_OB~3+uImt1>YQ2U)O8Fgb=q~EWhG9rUS?UVQLWRi z>o_ZQ@;S`1(x+Yvuj?RI>fm>tX(dd#W@ldmoq2VqtDlnn<^D5xV)i$_bMU69c9azT zR=y+gX0UX0Uu5xng*APL4yNNQNxNk>y;|3Cl6GskuJZ)#w!oUMQ*_(sc^Wl+rwG?+ zmbBd>T-W)R&HO)XO*^`7w%5n1oe=(WJQ=$&c7J3r^bGvqT!}Ph%uEqPJECndlBQ6k zW5(cPVXXtPrf_eT2;kZ8W7>vdDe88Y14;H{SVuy=`hh(4w?Ob27a%k?;JAg zn>vn?7(tynj&^i_m;E{oAm0p_YGQ%YT;R!uVYm#YcMSds%h(O5{pyTO|JWizPd^DI z1-DGazLb>-z^QBbb=QvQI7_-Nd*avpHJQ_|n_ZAk)@Z*maYY7Q*Kyh%@%=qVw~fuR zHsBGW*IfMi?MTxJo~(`gf3>guNBcS#d-@J4efR|+d*TTyJ-B5s_T(R)Olm#2bz!?Y z_NJrL0Nx|8=KNzLo)iCg;PZ;`@`XFLF$(>E{Qq68O_2B)rH26kK(qf}tF;~`#=W`v z^2h(DuCD-!Yg?ivK+qsTg1fs17$CU2yAKS(b9ePVtrL&d>uw{L8;FuE}V?eAFB&+7*$oE-t*lX&E1eZzL( z{?JS*?0;uPK#CUmXGS;4^5T~xLGxtkx?_^msOiJR=qY=N^2BD;vCUzgyJ@_4UR>pe z&$|>C+C^o)Jw}WxnA11aGb>FWugicL>AyeX`=D*;R-J088nt+lH3xo^#p=Eh96C)i z#;D}(`y+}4@=W%uA}yJgO$J>^p0^beZC8JnRME#xAfhfXzBA5~O4Cza5(md*`|ll> zjXZujZ_es|OS3x&ho>)4kR%xmPN6~z8Z2`HkKZ?5| zYH3U!pN!64q|3>h>m^Ps>ivj0Kq#K-y@<1F`)gfkCxofOk48+FC^pVN+@9!R7J+x>{JHfuW!I(?y*MCbcV7SH__1V4Ucv%EpN_$z- zWq+7t)GkK%__}nRQVK|)HYLH#IWM6>o4k*y8r`ShRL&Xx)vdyeYMJhmG*>0#P6t9Z zdzGN_@ZC+LMCD znx?6C$ZfCtkJ8&^C};M{TEpJ_FT+oiy6%kw(Z0chP#byhcDyxqe}z0M5mPr34#Q01 z{z$==LBQMRrf&s7I8^a{iTle|`dI>+U{C4!1|d>vjUToQhb&*R=~<6tIkA{)6!p!# z#fj!+DrOV0#TaBV*?A5=mJ}&c+t~4c{B9PA^#EUBM<{nQa^(>_2Y$Ow}C(IFifQme*q;^lf8GAqm7N*2QiL+b4<8fKTJDN%ih@C6j~j! z_2>J#g+P+g?%rI~2$MwhfTV#*(R}^g1M7wzm^vo}vWK$e;5})MyD7Hmd(QHScO@OI zrU~4W5d(*AKT}w}E;EdF_H^1Wrg72Du8)4qkZ~FtZ6yYjrjv2;zOo$BuK`-;r-ajA z4^T)PBMYmZMreNUkj%8m1y1?UAsH)28q0T;3hy6uqo>l;yusB7P~_TEE0Zm^37zGr zX|99VPM_<#D~-<0J1-JO%EVm+A2hf5srM$b3_pgq%lg^yQJVIwUQz~k{Mhy2S*$;# zRtu+Rp>g$@?%uVsVFRxQR@hllFrIt}@Nho9#wl)F_(mEA+7T{1ah(a|PseII3NTz*_-6)^crIV2j;{}X85&hAtLUri_qlNU3 zFzZv%ZJRu{p{U&AB>MJiVlynqdW~3k#{H0G+wZ7V$RzsjO~V~|Xi&RmToz|^&DHI~ zGh@NFbAh2yq3vpW*?{0COY#sAx7{R9xaR@ux6C+8ANeHLet0DQSP=5j&(prdytq7} zzWS?yc*G*1kn1C3?KJd);gh>_i8=FftuR~tba~DMT-PuDFmskTu92S7TU5cK=eA$H z_(}VkgG1q!1cU_msY-jZ6T9k$aFhlf;>ZNb`8-C!k3ocdC@A2WM3W5481paAJ04ng zsQCz(2vs?Yy)y8Pa^T#37egLbL(HM))v}mAw}d8zx$TDMga>x6lWA0P_$2e1Ke)Jd&bwS9h&<62bC_IZ732nB^##9InMS z_=a;ir6s_>Cjd~B+RKl_JBQ7*vJwv`EqWzNqJO!;g+RxqbIPLR!v=d-U)j)$EXpQE zqHnqR#wI0}NYz!u4^9!;ZO8ywCj=rstGLi?ZX{0PZ3b;s7__bUxz+KxK#D-q`In0| zV?ETZbxPAwR?SGEPLo-qr+|f^HOSHiO}4i-WteWJ)6cz0Jfx7nI%kV_tZX70+rUTv zXltQ?29NRgWMcd%ByvkmZRCz%DFeMOKl<|~g`z+N)(_0O*k~6D4^*@SCu{khZTw{F zjo-8`38=UZ*78kx(L!r%4)uW^MF#oy>Re0Etz;7eXMo>!CAhxL0G3BkuQ=Hzyjq+; zr48}Xkd-|_L@|o1XtSZKj`~iO_#PJk1MbKM)RfW#%fV}!S z62z)vqN?6i^5XGO1c3^7+eAAeH+dNX*7_p$g7SsnjRu zZly=BhEGBo_s)>Cy#_;w` zj`y507VTUfg+WAMkEXQO5sqOgW*Bz{Q@Jd&V3#)yccJE3=fI-HLLNp54Ru>RwDTA9G=+;=i;1 zetg?poO6i%GnBbAuDuYsw_(Xq-R<{&n^}pX5lzA}BBkDQLWwHBLRnIDOK3=LZ86E$ z_kIfn&UKloDzl;zT!ul2xtdf;rV_hl?ZXNp4M@%e){ZnY#P(^YF#!{adxm1%zbp>O z>-dT54-?DA=i$UF4W`k98iT}V)Wzt$mh>Ud4MB80n%K*2ff_gRus&Y3{M8!17(E~_ zJwuummXW-BT|dr*+QHykykC`vj5i}|Q0auu$Zxo&zWMDfU;W%C@JU?|-xJ18DvW==ZR*Ecq7qYl5sKY9o+3w5Ha%*Y%3^b3(?qdF zPE#2bE@VzMh6ju;hxXaHlFK23c|w=NDa{};;ZnhrDa@E$L>DFF!`(|`L9-kThu-ep zF0IIUWVt_7BQrIlTJ~kC*P7l;6kydmTcvP>nVw*DXB11tekO{mahDnz%}n3)4r}Fa zpI|FGQk|uKdWQcQ8PN8@g4KZSSBfnafjKW@;NXmLZXCNc_aQch5MBNNnsuftVFYD2 zWHpb=t2m?d;r46#MRovXz6>wAK`kH;ZQ8;y4h$H1sb#1nPd&ov;-7szL)FagV51_= z*m!CQ&KDCT+0mZ>BUFchHQ^0!wlUmwt+`dFuJdU$Fcn&ejOy^?f9flom&T)IC5>2y z=vu#0g6w=yhMpwQ)$MQ)P4ENnLJlHG(;tZfq|@vI{pFwM3<0J(*tz4&yk8TgE(E$$ zs)YbG3A|L^vx7RC*c(a@7?ou$ato7>?|hE>?G61J>Jqu$fU*oeHxNoi$==t7)Q*=i z!N&!1SmnyXPP*r5m#Owo!%jWSov+YQLkrGn($7po^zMx|CMq!<;s>D7G`D>f@p*zK ztM8F?3?uksY2R&w+vP}*&@dYTYr=dElm_dLJ4y7r3jIHLzg;O7LvbqvR_JX-C-Rsd zJVO?LvlKfb-$_ujz4enPrjE999RF6ieQM-V7MKsGF|oComH;d!3*D8|KmS~`(nX@n zf_D&em~fh^>o3~}=M5hi^+Vii`GUi#6K8FiUfg;(Xw?j@X$&^)`v$+QnWbU%O}wr2 zSVtp*7(Ad{$TRe&B8{83!zsa2XS}g784p5mjIw&t$J5O`VCo@XrzS)bN__rm@mI_w8V6*&k=_AJ=b+d<#_%c z@BGz(r)m2!__KJFgN(Ffm!(U`aY+IQozqCs z9eOWfn~VeDm;{L(Q$1YtpKc5MNBykc<<^SfqL81^I|46(5$=pnW@!;_h;T3z*xXq; zpUJAkxqBh9edi>X!3Oz2u&4mmE3RFmoYw1lNY_XYtixCeZ0pqtJ{56*bhJ`KeO*zh zm66~Lbla%A(JC^q0@lG76pnLJN6beKn+3J6IL(N_O!hpVQfI8RO0MQN0a#)*gO~Du#&WBdEdS5 z`l)O+!}xx5&{0|$oadlOS1KOf?qqCuvDDXejl&S@x-N73-sls8S=7YqCy5{apNnBt zBKsP#Dw1J?@4PR$|2iC?hTne9-eArcw2tiTxGN9QZ2 zQ^jT+rpf0`0IC%BT&ekDqo}S)Y;XTQ$0QyLt09{3>MkOS>)_LKHxV5Xj0xJ*ahGq? z8cM=fJjTpw4mC2#Xy3Gs9w}?cQ?chRq!y$U&#d6ZTnWE;@~n(QXLC?ynpS(;oo1Tw zNj}=DiX*d{&kUg>M22;=cSuy4OqX)|ViME=vPNW$lP0t`=bQEM=LokCtJ&Pdr>fGq z1MOI+K7fCu2-kD*3DYKCOF&{#N;OcaNxK{WI4M<~Y9~n#yA5a~RW=$STAGZqmT#uBW9+dpPw<$h*+KeJ z(ip+oL#N8$=FCt~0SQ2=eK#^Ab6HWW^8I;d1i&=}U;UxZvFJU5MnzRkg^)bEPt9IL z=0o8*I`>zRS3*L>w$p$rJ;BLk0_A9UWQlsgi(1yWu)3M)0|hG4vZmcgF7ZZ^0u-;# z&VdJLWBF3|4pJGNw81%fV*;RXC`0XSr$guu0+f#JJ^|D2*Y@|B2-Y}b#j-Bj1y%me z!WGN;J+L5_NV%X-^RTgu^93OV)u=ZK27wbWFZI;ys0;h{>7=&;e=g)Cg zaNjwCx>3St91N{eHkp4j!jbfI^Cc}Dg z!Yp2^opf^t;e6iei2m}kYG2IWux8bX(x{1TPsroVT;2{9xyz;Ru~6!3eItTH^Qy|5 z-R+{TI{~#zE8Z3v8TCJ;p2M?WNegxB3x7 zCN+-l6x}R&p$4ytKf3H~J&x8%r4nKgPdh;{^l}D`3X}IsYMj3_?3}_EoPijf@8=1@ z47ieQHD8G1cF;a4@w2+|(dSOceF)<$r5Sd4WGAY;m#k+sKd~`dXJFe?5+FWlszdq3 ztE#%Pug5V(en*fi=Yv|~0I^cdYKZ-cfmIrl)z1k#e=VSr+)$1F-Sb{w?3mGtu;jB* z%-dTDlZvjhz?`;H{VET<{FT91x2y%@X5W8v7iJrMQ5DmXH~qy+q5cV^HJvO^o)1#c zeVsIQr`Y-F@L)t7H>dnmfxMtV%-g$ubc>Cd=7-DCm`Ay+nZ@9N`$A|$Hzh7Dh=j1h zBCHV#w=8Yy+joP&dO30z3{Yj`KKr<%Fo1*RJ^7g(!NzJ$ z)vs}08&X6hTpZzSwxL*g7oPN!O7NbdT_ciwWj`=nSZsQU>UD$%3%1sn#A%|GTN_59 zl!C4KS$|X)yWCmIDCSgWP?a-s*QKX&q9RWGVmuS*&*p@k@2tn>t9@)pb3lKpSUThQ z*w#QWOZ_z)0gNOTVm!w875~^D*2CWhHZ>=aWy=pSP_Ov877V!eoF6(E04t$|cT|9V zowj^+5?Dd#q9JMr_Yjdc^bv$^*tSzZRr2fV)K_y#Z;rc2I`HSRjyn^p1kn;ioI`n+t{ zgtOBS2TnF+Rx2!BWlL0Q78hYYZQPB#zpcvDoN(}=N@LW+X;5yDi=!avU!Pm)Lys0n zSMk8Vp1;O^URS4YE%mY2{cQCqbuDAvCLZs@+>&Vts??`d84?Wt+MfUdatAnP^q6lH zMtSCm0U@v0@Xh-y?tImlF^X`CfPR~1Cq7K_0?RdTFRtK90R+d>uxwO6xz@AbuOal zdpim$+adOaZ-)m#qXxzwcYTr72%;$b5WERHg!?3P;eK;h>Nxa7t3x=nFI}^%1sF>a zfi}N#yZSR;ZEzOu#HEz7U6~s67p7=ANw|!YWq!4N3VQGHzFR>|P>)JkXrs{UhATo{ z6Z*D#sb-o;!@B%*B+cPt#~Zk9A#hs{$pL?)FaE6t%(@DzF5W68UYpUlPlT<)!L&*x z4K`0(jo;bz@~F!YwOhU zcn8%9G=@QNI=x-=s-csg2K3N2WLd7r485Ioe`#l5BgHwnkSQvP0KO$LhdFH14Z%&L zxb!1~4D{`kxN-$h2VFf%BC^mLQ1?BTxl`gE!;X=jQfoyEY-CEL-OvK|I=R5!GE+Ah z0@hwOUh-feRk3HWSao3&&Z9iMT6j5LBdz>wp`-5(*Z8X=YNqYzo8ucLi026C{G)_n zsi{5ZFp#+3{r5qcbFVS$@%BGpm?Ut@yti-TeroIyFloS|O+ny1B|`d1Xg|*9`ifb5 zuzh0vsKKY03COLYEaOF(bhZr+Nh}@6d-}(I~iq=q-6QD#O(_hK!ip zxHyc;5iw)Mt}U9VB%%mJ@Q)Irreu%6?&hA{iI8{wYBHBmPqtsT$26tG{^ibnQO^0t zpjSn!;@-CV%!L^tsXou6g8P-yoCP71BsM#&G0w1W;m3RTvT6LoR7Tw0ww&xabWb9T zfFLq4o-*I?4<0Ul5?!Pp3(bVi7!tAq3ntnYYl5m>^>q(_ub+8Qe7p|3wjbq}GTfn` zr~hmmP4w%r+v0a|r05-9WubrDoZu&QyE)3Elq^@uSH?}8VoyQxwz!d`qG6(+M%D7< z#3c$6gP9)WdFDjdtVC>wjbF(F#{@rr1O$%(s)o*h6v35iIhf@7h^Xt)Yrkzu{nCn2 zQe(+q)yIq{`_LBUX*kLmtEcMjs0m&Yv~lvLX}JcS8AJ_5o}<&9hsx^KUzbpDO0V}<@f zVTZqsdwt%WMx7({a(L-&85n+%f}GJs6M(6Nn?XS?1znQH6zry8qPen|T^k;4*Pwl< zx^|xdp~7a#8L?|-ZFcBb0XUD77Iv&NapdtL=EqS**m+t3J{|mHwUu>9u4nGwn`a^T z&A_(hr~yiv+=>qd_ma})?R$bFX-T+zBbgDu-Q4miNkuIoiXY^H5oIaRn$pr>Qlw$$ z<^>`irxam_zutJepGL3|Oc{FqNTwOEoq(8|O}F{g^zlb{^{#fip6uFC28g&{4;{WCYoU=XzPU)!pQE%zF+~Z7T1uHe{IrquVY>W! zG@ckqh8wLPYdkhCp3H1%C!bN6k%h$z+_xh=KUQq&xv@oOh*6xPRt7kN-W{jpA!mD6{zx z?0Wuj;iXP7S-(#pKko5wNWpFY zp}PdE{DHHDGNm+F^ICMny_SUiO)={S2k^4jXldSgx_kzDn@<8#o|yIZfWz0J&|H6Q zy<-ilughu;HL80d@NhA}{IOiU0QgKF19Uh%~r33q_s(*C#AMj}%C zDq)UZntFY%ODu9yqtIpK4(Rxi7QaxeKY%3w7rzKu3ohx~Q`gS_@T=wMv(xuYzQoCr`b)DXdo0tVkqX^q} z`h@ba+dF9~6NDn8)}=+<9UNSZ<}6OGQG&Ui$?DC}<%!ppaH91}D!XYpHeNpkla+M| zJ)r#y`rTXbbbc$2V8D(=Rzd4V^gwvsrM><~T#^cwg`ir31+qbADY_`%)u)dzMU0mJF zSiy#o9Lk;Sm|w5%!+8DUX{*E;T*VZYein+!gshnv6Qa&U`k+%kkqR8Z4$40ItaxkB zcwBT657kHM2p`lG4R=~bxSL0$$PuR&9-Fa5dWt#%*N%r97{eNR3V2~#-Z=Gi?~QZ% zD(rJyKOY&^MZt-1-%+?#<3>RRg3Cd&X6MUW$QuB3@~Xs1JUwB^*{k5(0g?y{tR4|8 zg8qAKTZ0K?2jcJxPzYJTx{aY6c?q7mXWSP9DcTgE!=R>9V-pwlUQb>ZwtQ0-mL0NN zD_gW)5Xbt)iD&gg_EY9?Ta*(;qrzm1NnUArH`{-^r-K(F^ZMB}OV2m{|8kEN&^94z z*t$am=j+uO4oDY*+!I#SFK0{dpP3DD#j}i6WkciQborHBdz2(e^eyEO{mM5rgT7-R z-Ag){iptZ=K%#P&xm}E{p|oM14bVmVyHjf2@vjOM|4vbR+ zw^?eJ#3=MO`Yej?#eA2iWZzFQ?8^pJQ@P-)&qz_Y$tXKbg$T~N0kGxB`V44+>qIK@ zubv~`|1Nv->_3=iKf=`b{qyVp6+w9kdRb88pTGVGwDA0Rp@8>XUgIVHrR?j!csGo{ z@c)Rwz9e|5+4>K`KUa<4&EEviar`CzrD)%O(Es51-u-33|CR82$?!5$`d^0E41Y7Y z{$;_-B-MZL|8d~}(_i@Kl+~C0zf21JxBq0;zwrO02)-nEdG6|813X0kCiolwa%1IR z17;Ne#@oN_{^h&(-|o+hU&8xGMFDYIIkAEo|%>M_)b0|@f zfBmc&3o#fw=&}z_7wda`S13^Lv4Kq{T!f9XY&Y996AVz@l<;qT04>+Uy#t SY-*emAK7iyBve5TLjMO=PkqP$ diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp deleted file mode 100644 index 86d1fe7ec1aae4aca2ead165ea400a31196d7486..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 236869 zcmZs?Q;=v)tOh!^ZQHhO+qP}nwr$&cY}>Z?Xb za{s&Q(n&rMAGDAY9NxaE0f?Q|>{KRTy8FSx+LjheWZumvgU|60IGysxfMvBc(8;x~ zzO4}I*9wH{o48w(SzzJa$qyrLslQ!Vp#Dh7o-5^+~Kv=h%A@8o}hUq#6+$)9= zc+6RM<Kg9q3O0i z<|``)#t!_Vn5`;FT*3W)ZwM?-AIk*i!U8}wlZi8)tWmsRR6x4(fVpaY&p3QTp#Q!B_Vm;qd@gv=^3hG)t?N7GdX6o7!L#Tc zGe{UFwMY(-t>|9U3La^{UC*e)&QCkCERxNZ z+ZBh#L90!X#vskVy`#_}CEWfncn2BakPQ5T;o3RcU2r^O?%>EYO?TpB@u>Y|zdu-! z=bvr>dpKL&n} z7JRa0c#Di61B(#Tub1~HDtRE2IL<)yo<3IseeOuB0N1ap`#%2?*_Ne`>t&%b!tj)a z06S8_8D;+%%e{+5J8wnNP@PT46R7_(P;NgS`(*6GnK>R)ZODlcI5HU)FLnu{w2(>7 zf)2a;^jiIj3@&H6;KDXI8{@!^LsWKl%gh3JIe*+jQ$!CyA<~J|M0I^BA9t-p_Z0ZB zWzylSm3lAoY7k0S0dGQ5#Ix{V4Ce=VWoIOavdauYOnK(CP<_u$RIc(mTU@PE_ z&T-jv_ez?)VU-%x#X;_5HRxQ_yLm=4I69+~e#KRneIp$VVcYTV+(#U{i4Ii{3%gBr z?ex{(bA2}!bV&-*yFIE`8~^%4_4?!a&u+ER-3qvOe^jqN{x!JjHF)cv-AaMGWiU@w zf~(?qRnMAuF13+HzD@sLH5&iXv68o zH33ZL>rj@{b?bsy&KDsZ=WAAlF&uBgc+MBBbK|&PgmD~iSd=6KdLQCIJ>Wfw1a;6R zzITn(vG)pNRh+BhSXIlKcqX;bM!qhM)RFfJqXTTnN36HeftlNMranY_g}rgH2FSMN41SYpeVb1+WdTxb z=;r}0TBAk$9D-G&sEvWfX5aabVoUb_&tD}%6l+mmlKW+oCilq|8Eb6`Y^iDB@G;dB$lS&W&* z8DsX{n#B!HwChHF5Jg#plaBaeivAJVp&QAIAlfvPY$PvU|6-R&5-xk8C8topwj4~Zj+JPTfko{CH>aZ8Dp5y6FAK7gpHtCkldPwqlPO)v%d6~IUox&z zCiRjDm6D?$%dGPRJtA1a^n+(Sulbi698YOF@o!>4GFZM@UFQ5hT5n@187$wxO>6!y zfW1UA)RO$ zE`{EU3$D;Av#^+*qjchhe2|T0Si;RO3e8Q~bnWW-1i@;e8&hK)7Q+)oDEzVkprO|E zw<&xtHUP1k3RtbGp&D?CYIPUZi#L^x=8+~JW~GpiYFpbZw{%?{{JrA-m?>L3O1avG zGezORfF)XVM1iIpi&RCUXSzjNu?uDyFS<8#m0GGdaPjEaA`M$rxtOm?IOK930=1Kz zUf8tY{#;!)6k1UKxkloaB5H46T2?ZS{doaMnzTlB(N2iIXmeSiVZEY5AnL~#oca}? zkvF7|1`FdIXs8GF-83?B;cTP`%$Gziq)(;@<2`8Po$VZYl?v#cCvdqSo(ZgwehM4L zSCG;S`w7&P0MJ)&b+I6Rk)x1a`t)%fT@}gnx|CH0&@(S_o*+I4m5~0g`r~*zc|H0o zkA1luo~Q1#u9?S)qP@H>^{Jc-I{4V2r#*ADeJIjq!38AU*qK;7d0O~{fo~(E_)R*X}#V*xVi4m z6YLaxA0D`;Q|SgkCOr`cgiCaiZyu4yQ|jVCCOoJ|zqIt5$MfM7I^8cmJt$nJn|1#% zIGA2f2{P`nkryn}{dR4;IEMYFc%UikS-Oh(z#V!y51HPmy#xsZdI$&IZ!fvz^t+*>^X%k;`!q7 zNO+w#WodewP@D~bARQ|()3FzG?itZ?BC&dCpmc32O#3R!nI@C#x{SZJd;2O%`<1O( z5caJ7&ZU8)A@+Jq2?kY^on?JT1FVhMFf6N1JDs}DIv86r8*Fo#onq~O^P?3Q?}Gmf}#){T>!vmzDUswu+b-Q^8hmCHT(yU0$m>hbp|36 z9GOz#G2NhQ2Iy)Luv`4z-}0PbnXWT*0wi?FcQkzTSusaN3$z{J1#R=J(lzx>khXwX z`o^(Rj`k*Kdw@u>CIi)Ik=q|yf=g2}y{Ju7f>({3nPEM}E7%e|bMfZmiVSR66Fz71 zz~zj4#RnKL@YKLzd_om*v5E-oq(Y{I*XyTcoWgo9ILIlUEQs^wZR*$#l$FyV4Hx0e z%07%3SU%7wMj;_IOCThvLN+vB;3ilki9QI(%m_#cipq73Cyp^TiGpbXiSftafDol1 zgzpY_Y!ZbgX3|TSd$tVVl?IU~~uXg$4V|)YE6I>45FPUC+SN?qNoLPkyg$T7y-eAc;X*==|AA5t#&; zRx@ye{{ujWX5a(u2Yq*Yzy)}Ak4c9G;ouCncAyJlH>A#yUy_?Wy+}Mi5uGlU_L{1P z|F0(J-g4_i-G{7Cxs@%`}XKI&lSf-Ar4_AJLe^#0iD)BV+CnB{+5eg?gE z`2!*!+jwFRr0}^0--B9YjCS&eOK=~Fp7n6U!#ug!K9lTQBl?@@7tO9n9DuEjzRzrL z&^t8CD@!iep#RtDpMK?C6sMP?7+BlC-@`3Orn&XXofUt)U0l_(zVrXzQQ6a;I_~Ii zG{*BAkR$*9qcTf7V<%hrKXOA1Fui|K`{ZMB%uBZFRFJ#pEn}QbUCT5|DpO2_53ei( zoiN*Mq~-5;dGTA<)>%=_?b?K`+!|#UrKfS)4BtAWn#xFmnn_Ly@^f4xvSG_#Wb1+2 zKtTB6<~!`RzVtrA6T-g=>-6yAy5Avd8bLzbbTzc+k01KC1#?@L#t%3hKHz3)64j2= zcBckw%W#+v93jf_k+5>4(IOn+&!%&?_nqYlAq$h#`$Br~c}Z~T7_I3QvKHlmids?L zzGipO|F_yq#H5QkkN^O@bpK~C>SSVgqor+6EQzWYo@l1rIc+mT%AD8BvgqXPux&fm z$ZP~S7T0X(^e{XzOQg}tbsMG2?OR-xGgb5j+;b280)8JO0I@C#-3_6KAat0R|A)K0 z%D8*SZYx<(f{lpYQ9YOIIrnd_^SjE1s2YcQO361_FB5#HNOAn} zXE3?y)bPyr%Hf{rn(h{ur(T*ldChB1o7k@Nd9AnP(wfcMjuh9dnE1OrHFlY?xj(kL zwNLdroje+#m^`puFgre(y5g#yBZ|liD8k$8Dl42Edi+~lEpG8rsu4}O3uxl0=_{`* zkd#~9Y*n@8XD0vX_4;5utHRElxP10LR6!KS?O`pe)u~~RW$Vg%z!RX}zj*KpCs=W} z0ylfH8 zJ=DrCp@v*@;2gl9y>;LAQ_Q12HeEg=7yB})fdzHLA3%HPK1wAGl%+#?!%bmwuuS3F zHDN&r5DUo?b~*K4v;jTaqDUPYxuUD*-?&vf(6LlP#j})Y>Dcct9hma`Oh=*MJ`H)W ziRE}A^4?FRDmEwSHg^=%Xq)|npK!jvfh-)XS!thgsu-bJBi*pY=t3gUSo{~0i8!M`=!SB0N;Y*{5endy>H!VJ!=iodr7-+?Y);7+V0AL#oyZ*p~8`9iOBPtca8bapH7J6&PxgIi0 zBWUY-toXRSwIupQ*r{Q=B3Ci!iBaOtS@Sn)OqJkUUzoOd5cQ9(KheAODD!_cV_Pt8 z@2KrL8N{Sf>^)?~bPOY>1si@5b$PBo6tELMUa+=!EQf)#cCH=_k5=M*1MCFAa`4US z>{tb~DPJPOerw{2^Z0!V&!LmSOC;wh8Tl8O9;4H57SOlI7%&!EqR$+PcNMbdu4dJl z!@%wdLdQ^~xDIB$h4ocYE2I`Ifj&3brzd63d8EC{UT*9SN?Yuf3B&;8Jy2iBpU&@8 zjFd@(fN+i37qjb57Jjm94byG86+1g2AUM>`6JVq@%%)Qn`XPx}REgZ!w z>i)oeT{kWfHN}w3-XEZFEM3?s8=2^%x56FUc&#oJUTy-1a6Y{^S80KVBsWrLYp^;+ z%K|5eTMDpb1sH9kH1uu-u6%-R8QfyH$p;>B^3)7~B*zs@VYu#;4_WLc$HgInMWrpw zCT{_q8Wi70A`*?{BP0PhDeixIM0f;Vh)oWmz<^0suQxhGZE!xY>Jc26`zz+RqCtNy zj58YHg}t+0+!LuUJ{9OMw=PIvvhB_x*7T<$pE)4;+X!yQTm)~v+ea(!M8|3JVeV{x zrp#J+Pw1Z9c|u6*bc~PLbIg_2tVaE>F>4?-qVrRsqn4$rpqeaB^X>v zoT|lqT~~9ABFmFfS=Wk(lZ(RK@{}n@c6yT=^Sm*ZjG1=zV%yW+oG5P0{6TJr=X@dL3w^XjK$qMN#LUYG7eZ`uazWy|hIZGB2-a9Z`t zF<@v|%I+h_KU}huIuC|N47qoK&zq@OM%Dw!)78BQS={c+YGF-ATMzOy;>x4rT2!@R2sn5yAk3lovwBkj{k!Xx)`4@PwR}tW?cw{_Kca7)KQMiH-!!3i zW6lO;FbR@6q=QAtNgh?TZ%mgxDmt z3vC75d6dE?dc#9jzl&v-3-JO=plhSRVliFCdrHeU6a484wxWQV`-#7)Xu`xAYyZ&E z-r&R`U?rDLtct4pE0qA^K64;)$k+&KTd-{wRMVg3Tc_V2Ghr!CmXeHN094YX-C)byQpU*NcW?$87jbU|Bn~$!O&tV`Q_l`V< zTRY%H4c<`G0sKrAI)kGPSe(xVE}(`8YeK`NszvKiE}V!^;N>SQtOV~cBX1Xp729>j z>nYboS-){fjqRjbd}p8kDF_aLs^l#xqR_=&SlZhNXx<90I64F{;JWO7V+m0WX;SPa zFT5IC8pWVhq`VhRT27$v3&bM@b4)TY!hnbD3&k5%VlX3s7)H7m1u5!G>JVi)(-Wsg zygK6H5qb@`xdp|Mf!o-~2C-8)junFY5F;Y*vtPWd&`jtE{wv%U8iZip8V>td-rvOz z)mc>`Y=?gw-u9W`vxU&@q;#aj(;I>Ym(MnU-g5-;ZU4W0xq`(NtL)#5 z0uBcNz<*h$|4(AU*}~AlG*#QuL1)Zy_ccKGNpJlRO&p;7Js4!r@F9WV0|I(9C?N8H zQa8ZJ&?8uhK{6xp6p^uZrwn;h@j55Pm4k{LX@t{cr-gP~an!X@DhjD;qVZ^4jYbmc zuu{%?Bx#uu`d@NKv#zt7X`Vqyt~5&a_|u#S*!t_q3>1CC46~x}g=1WkX)5t~h+p^AucOwG{i98TpvCxM|tEaRGGj;kao@ zL5GC==O_>im%lN7OrB#sKxz*$cEw`YHED(Oz3FJ>RGJz?$yVbER>7^0owqT~rvWcTOsi1XC&tp-CFU@Fe+-+k>KflGCnldbtRUA1w=OQ0 zheMtT;I(ID5|^1*lsLh+EJiIeh3$>SEGXc&Tw&s;bE)9fq?|`Cc&_(3iH&TU=F5o+ zQQ}u#+J@w2W%$Rysg23wT?P5)xS1pG<<>_!i+LCc5A4=wxCbxaN}$0!c0JVK@8Kx! z+0e!R1~2v)7tje08A`l!QSS_IC}vg5xeqd&9X)13pBaD@vnZFFbFK$F$xgw%ObWu~ zsh^%<#1IKUAxRAK;iV6JilA?g{;gtE&!=2Hom90gMt*UY^RVsm=&3ni;~+3k$?E~O zGHUxH7>okJIo$l%T&E}A%hs_Y3gyXBBWBa2mqjx>n^u11l=G;-a;5B^GNfV5$d*G{ z$o$+`*}3_oQaNpqV-6%JJLyK@ybADSUCTg7e!G0_cs;CxjpK-$811v@Dng`OwMA|# zzI#fvm{>fSnA}FZ!!W~bQ&Tu&5N1v`HuGo|s+m`nTQj3#wvt_|a8Nm+<*36a@{oZv z79HpzPEh5U8(vkESE)XQTzXMlkrbX4yuim)-UiEweHy*q4>LVQD?VetQ4fPdF6hbx z?mn4W;!Q1_5RA-2s~rez$uXf_M)iz5Ms{N87*$?{TvlJ6YVrR5BB0_c@kf`35Y`ZV z?;ua>WaEKk+0)0%&(4Sll0UF^0}~9IC%D$jwvJ0RDVK9-snn=7Dlj)oc2ECkF3+Wv8eTw~s~{HA}Ju)ub5~-{AuYEdX5qbtWoDWm}T!eF6EaPOn~- z6K49AbMoWg@sa@jhvQk)9Q>u!MMYO6^-->aVmy0dENDG&{J$RUD)~v%?R}{s`p+KD zszA2))=ZXI$#iSaCX#>Zy?OY^3up} z>vzqtHL&-(2Ka~@n{6WJWt)9zF6VJ$_g*!YetSiY=RImX<3*Gn2!{8C+yjef%!qjQ z5F7%(Z$ykP&oW|!|53M{D{d3>iMR=}rYHW3?`4CHZ3M0vnrmFX-o3HL^CI|?Go~ha zTsEfnw5}D+g6}Y*W>g+_n;{QNub>92@;|M%IQ2_FlU3n=T1%Xo9aM@caB6l4I!lS1 zIF`&RnruRKjqXkEh(@SQ|Y|9!}9BwB1 zJx`y97E{v0PW*iZT1{J!=ZTKik6*nWZ|asOtJlr-z=`8c#I?M>0PR`a*7IXp2TrQ> zJwIWEn|e$n>U!)XdMZfrWSvfy;3iO`k1zYNlgcVcWZ5Pb&2f^d`%NY4`pqN`6(tYZ zCZ6XwN!IwRCFp*d2_K4+-exm+ZW3sCjU?)Pw-QK-l1OZltbY#UCdg@#toO5vlUTU( zKA(s3TgHy7L?8XbOo~Y?zZoSg;!{bmy|ZgJo_mCyY&Woy)nO-AD@e3$ldAo%ydGay zx&FlwZXl+bgv&Y|frcMHB8Qn=zzQ!Sy2^0njJ%?au~n4Zv`MP|*^isJ(<0epCHYrD;%T1ivqGr(&P%QHV<|yE1cW&CJqjo$WBC#zU~Pv#A!?>9l}xvmwn$3${bkynyS`6&-K@u;ss z!VWtTR*`4Zz^nNl#@+orjD>9N)++v7vXePT-`#x0yqclmy>|M`(Z<{P5+(iE(+b^h zBjHt?^t4H`rtb>=&0Y(;NVI{JY7#Bm^eLM@tVJ%(4kKy4%y{u@rcrCV4!yR~<9?Wl zm)||yM~`9M(c9WmsaM;QA}=LJHOco}%kR%>Tp!B_FXE&YZ)~1_4(LY5Y8V?|>Qq#c zQktRt=LkDrxL4^uWrdTXrIMW84%l}X8z1XR?=X{A6eZQTyYIE_I`W#pzG;PtijpAA zq4pdk>NK#AU8j;&e{s&{tNtC=$FWteMl*G5l_WKDH{U(jwck5xa&AfoB z?)KZm^0>Ne;G>%GGQWGr4?pfoMWWRvH)gWxNRsRLUc-GWudUtHBEKr~YMR~X_B;17 zvVC5w)y)un4&jV`cqE?9`0PZbyE4(iUP*49&F~o~?6fNtnOarrr=^-;%RYVthMP#` zZ*Vh=4iSe%cSk!4*Olk9_k8pfUPN9=#@k9Rjprbl%5QQryqfJGt0K3~sr_yx*Kc({ z;9nwf?8KwF$P;FRLI` zJeyjVt9)q{Z_E|Tt~cTi_AOW@h`#d!-l~10yEs<(A+=#1&L45Z-t+<8(ix^y+DOrL z9eYzSlpi%WuV)Rokd@0{0`q&hAc`G9o#k*Djo2vh2qn!(1sdYc3iyo%EYg?|BdSP>(m1+HVQY<8 zJAh^U9&7~WQ;uJnu0{+Kx-er3A*wSv`h8%~N@YqYrAp@*My>UCueJs(-0uh_Edeq{ zSO-OX4~`Pmb_M*+Upi7G#VTbuhE)>%xsbR< ztldTA;#-bB+u|4I`h}r{Q=&{lvO*UGe9xh(7fzyN4qKrWyUczMO7zQZDsq7*JFBt8 z{0qZXFT_R@1-dg{vhDW%o<;RnZI2{o57N?r%yjGu`0636loUd#3#?3*4>#avLs^a3 zCL5;6f9#pVK3k%`8rW*ZQ(&3H))1L2(oKQy4Yz2lJVq71V%^}Z}rzc(11|U6aA2HFVJrz4*JMEQW@ct`p9MajxwQI478F) zQ3<0*T+!m@aPf_7JW7upz3%&JTcKNe>~@0Hj@%m2($2he$*>%z><3R9LBgG|LtVJK z*Hah&2D9h#@OrAprY-^%9w8=E`tDCdmxL*n>SnU%EPS(=r1JBYj#ZrmCuQ!qdHh~n z_yJqe2?}T!e0Tr8WeNnd!7MAVWzKSX`W(3QU!p8^G6O9`YuQJ+26%;fCSN`?Os4#y z%;cbS76#s@4-T*A!v3I>aB(j%p&cYc3UluO{tHI*Xs<-$mn z5iH=5>y_s%QdZ|Bhd=*RXA(_pqQ6EM$xI>wX%Ph~iC+fZWJ#&XWA5EMT1OV}<^Vco zxtW5XK-TniMxEhp)T30yqdio5Y<|ZgLLynnq|uTn;;sPjinkjfl0+(fBofVPS&C{& z$!g+yK*5{|aJNZJRdQC-?+~HpEyhk6w{)9s23@+&_=sXlI!`0#kaXEX@w5eH7F($M z2-3_spTEv{iL*sR}m=+i1{`ojZpkS+xL@xgXJ*5m@B% ziodlq>TQdZj6PrJ9aT}aneQEiQLtHtA+cSA(KtiMo*-Kh8b+H5=!{vf@*Pmtvsv;X zd0u4Z8Xj>=I#)sCmMHow^^_KXfk(gc zHM7CJS2{+bm@pnQp`4~lVEc}d*T^|cld4g6DZYj#Z5-Ah!Lapk1>mcdLoJ5Z!Xtt< zc^lUAK=1P*+mW+Du{cUCABf=|fmy5-LS*b=1YmHSEfO;Ii(!T>nzot`(_mY~jM@{> zU+ob{V?Kx{HpM0t;1>0s9id=3dvy0qOl*!`;cd$S1BrK$nE=2aImhiRzCi!4!+;A_ z29a)&jex*~9V4I86IBCXqu`Y-fnEZ+-wLB~Fwy){yeDIc934sD2-s-c(?1oa<{~ID z$%4l(5tmZgLl9fkZdf-4PBBr&V9RYAe2SWp4iP(KVCqe}1|K70#$*_^z=v_EE#Mo1 zjozdmpl%X2S{Jc|Zr$sxi`YXlcsgMm7(GQ9QzZp%-;0OaU$1mc9?n#wK9TeF+bQ6h z%1otx|4c0+Jdw)i14*`Xq)6|}*UU9|(xvn{{L5NA4uCci;_tZ;I=zLfG-aVZZ6#T& z@z2a0tzeks*;M2;mVSW$_s1-z_SRb!XaIn9jQ=w+>Fi<{s{!eOx`OKGY<7A=Lc=UD zkR0(QFsu+Sn9PYukM14P+7sf;4!!Sfv_W(6Lq}>IkS~ zeO0GBT=i7l_H{OU%oJJp?E7!--<`kNE$?fN=WA~|XS#fLvgb)nv{Z~9FL9#8p5H~~ z#RajFc)SEQs`S+Ow8Rt+FBK=3_r)e?v^rcQQ3e|m?@63^KJy~@tX4A#oA1J<#^$<) zm6zFQ#`JPyBQ@Dvlqb5W*cz=)v&+U|9XB~anW0{IxVAfV) z9)1!qPtr$FLPiPdMDmbff@+aG45Z)^Bu^&^7$>P?N;?#F)_YNLai8(me=swH0yzbyg+eCYb}Mxh4by-O)n2e){m$##}G=W*)Z!&#(8dx5Vp1 zjsFd2JK{`5pZGmFtu`HDB1VZR5>_TMCPA#OyVIdlYc$%uE(?>{>NK64YVPk3Zx`=4AczD@O1}m5Ljt6>&^sujZNv(}vU}5Ps84ZNdpR_t3fLfTW^xl?_PCo-=!2b4o$ zO=`29bU~!!v0rvp=lTuX$-no+BAPYwtE-RsAU$Mmb&cQ zLqg{?f;~VUBo6P8%8|+u%aP-WW(n|Qedr!Mhm9k~kj#QVd=9T7&=KfK^hA0xJRl#Qhxv*60zD`nVu$(3^u&5{JU}0$4znZt zg?yMFsD2k(N30?33H8LisU7Ac{lvVn9p-Cuq&9xj%g!>%mP2bvXtt^?VCY6Y?xV9(pF z@BZT)JI2Mh0dWKD4&)uU8NeOL9nc-956}m82Yv;31?mU91-=Ex1Mq{s2E_w;0sIII z4+swg9v~hl9xxs_8bBUM9#9^*3n&lV1D+#b&hb~z{=^aU2Y>^b1EvQE4?qu04@eJG z4;UIi+^4vwy2rZbx(B-_yGOeh-?!bDAIJ}M4{#5B4R{TN4}cGh4~P$x59kN*2mKC< zambos{ihrg2bd4|1HcE~2hcZ+THZN!6bX))FNZ^nC&Lr+26I?AY>os^%ooiO`et)@ zhmbEE;|_|LJ&e{Ui$fYmY-S{rB(NRaLGDO@xH}BjD7_utLGQ?aD028c3>+a(h;~SF zXmY3=30}mW65u?6#C-AxcG^zGCck0$ABo_h@1nBTTS@!VVK8X~6K1{+l zA0p=n`9#uja-dU{JlKRKU*yCEA0|<-FO$mb{R9K&5^HOLjIhg>PhbYg7>F}(XzBCWxO!Iw#OWQA$>mnpOc;X36aP#Sm| z$;|J3xm2{NRG@8lxn!HI6y5rTQV1rPH03pBQZVXlijUJ96#G^J4e?73m2ObBwM5*( zl8^8skd}pnpg=S%C6q-aAt@j&dkIZJYM2U0%Vt7Vz$%s!^89nyGA1Rx9oUY=cvt`% zhElx+eEUj*7;)*e#BV(#8*!*oV>#}9Tet3pn`t{`xA?}+pj1^O{WhB8VrVUdW zvSlT~`g{nzW0OP^#fy{9H0;$=7&rz zNd=@OtAskQm6R1wM_#GSvTIAWA#m=LvnAv=vN>KYS>2?ax>IxwXWCA^Rd>ynItG_C zW3?`$C;VlJu{2(0KYpOOuH9X!%+TYqcCvgDOWwOZ*K#H67k5rOA*aHZZi21@IAPae zl*H>`TEXO{BA5iOE}8_d9;`LAl8EB9Gpu)y!je=oW+F?{>X6Mac#|#3hSlqllx-`O zhUq;xg=;K1V|q(6l+RgV zvirW8_ENN2s|Hf7Yuw6I2U#+d?A{L}c5S4ZYS)nc+G&?*q@BM57Mhk)L71qOhLL0= zR+?6oc3O(2U^`7EgheZ?Y}nl03mJGu{5*oY-4F^9X>v- zT09?~-CCF7DBOIzZq+yu+xAUFACNVUB!lkOxTlsKE^)7|TQ6~)t(|=d{jHsIiK3fT zZl(b@Y#hYp(L|FiTPG8OJP~oQjaxHuBZ@#`iGq>DLXRLE%&?`UM4KK+ZQO}aYiAOC zyKLf6hnqN*AzSwn6uWTZ69;bG-*@*!f_2+Y+$wfA*Z(5%HG7a*pxr-rKRe=`3RO|R z1?D-|N+#$%)G^OLJ_#A=o^>;kW4q@5+&>*V_*CyHQ)l;l7 zoW)zFxt!Hos`-p{t9%1-2F}8*-wfXRrNB(y;w8!~-s+{pOy0^(qq#T>clp|M=EeG@ z$n1BONHaQ%w`8+AtG8@3`(e+dE2Zl*N5-Tho}9>R{|>clr1}PM*H&bPBZ~4YYmT$=hc~)=rMtGKQ%?9I4 zoYhO9*`38prdj@fr|y|}OLQ|#CoeL=m0958v2M?a5CIqDVZk%&HAkslz211B829+O z+($W|K~(>`lW4lXyvU_4Ed23@hCUNA04ICem&lL%(SN%6BbM*`0gvx{)n+~_O=9F( zo;31zI}VyC@dxOC`{1Z~PPu z$hFAytGy|t4P7WKjsmJnKHmi_+Sk~J!aMm_%D3N9x~fCp zV|){w=(z7`{?qP9mL9(k8dR71hq)&_dY6(?)rP0t?m~8sAC>y{`Q3?-{}K^IO3LIc z%VeF8+{D++$!(S5H*ZQI!J2DNeCe4=m)y*n?Gv*)RSG^&aGA>%-0S5g&SA3@Ed>(-^m8b^1^h`Ts=H1-TrMQ1aM%$N@+Ty8ymI5al_NGJ z#C-?eOm|F7C|L0w>BO^_e~3Hxs*#CMXSGt)}b7Xdb}7gA!hauptPE{l7^!{A@_;I&Q0#u^9U3>oRadH>;Z>$ zzbe$r*fgZR$!zB03 zlM?h8I=UWu6=Rh}ysYEjwlZeF@p2LMw4?om92JrX;k?we3Tql}+&NkIYx&Rue?4Ti zIx?B50z_9V9DzI6chcu$ z699`3Uso6V0);-XwSdl03#UO%jyxl&({w@qZ#6otug1M95Ejh zD>XV|>I!Zr#Dg7I(k3|S>MAbC_TboR*Rj-uSTzfbd75DQl$Ou8Zuim(%f{AlMK{C7 z@uxiixpLblLs{f_H|*<~t)oJp^wbgGL>8GR+~bH?c=fX7@H;8>;79_~_tUp~r_a{` zOSpZ=?}cr=!E1ti#}iH(Qm0|Mt&!%UOj&n(6T^%r&l-P~bSeIk-Y;T_ajgi$_jCzObde#IzBHM65VHVFX3}J+` zeT+qr)ahS{=d#)iAk-g4b+XliQ!IP%#)R?JhbJO>=+4J;S+55m>MdDI1;UZRz&pD$ zhoG+|*LKf}lnwr5uBWgvB9{W@PK#_}ebwYnqF9MptMK}~4Al6&EtM_4Ha0hEMsJVp z#F9lpzRsu-@+!#8wB0Oa^v*Am?}ciI_Rs=3z9!T9H+^-&^MP2z&T%RgZ4zQ*llO`2 zQ6T=SY>sb-yp0pXd~r;O&f?904+^98+ArtbPm#4J$sbM^ydY-(tpgs1ANqvn!wLB} zzKZw9#Q)9j_ycer>+B8U&M<>l$S!qGUoMvoy5=^t+62q6NuQ8-nPL z+#DIK7lWL7Aur^mxs$fqIj1Ikr%i8YY!0{`=XGwpRUG(<0dieJP{@GzNU0+=wBXZ$Sy=Blo@g!z$mrHUTg?0tNnRq zr{=QF9uGPegEQqi^`GwI)h(R8=@p!R0`2!0WKH{obL8aJovj4I_v1Rh=JZ{+*t(%K z_u3D|Tbi%?k)huNQY4<|i;`HhcOy?>kJJB4%UqZnJ!0eCo(Ao3&4Z;IK$7W&W{~u> zpUSvl;68lfjHSSSHS`ocw=OYyMF~QQ$r~ydKBa8oqQMh7is8?kGooTZn_fM*a^6TM zT>J_|&pbVwTr__~?9X{h``!Lw;j6N_yN#We9_KieM4G3YoKiDZOU3p%vud>8@-=tn zh+)1g5s*dkkU7_SSF4TgoiqFf*wl;Jjin)(-Sh{v$ z++Bi8aMutVf@=sC+$9j)-GjTkJ3$6_cb5>{-I>7$zjMBKz32Y-uKTZ5O;^?4{p?*& zNv~;kbsyRXe=5k}WMhsbqHZWlFZ#=WrU8`R!q{Z1ICP^+p>wr3D;a zA1oT(C2Yl1=8+C6FH9o%?0}vgpw%Imwqom@ViO}`Uo5uupZfg=upWZ)q- z^}CE`qqHuCv}yO92>9m~gtY zVxr>BF0*l|JY;BXL#0>)jRL(_T7Kn=MM)?vKf36Pb)4S~-Zq8aV=2i1J*EjB=Wg1p z@3BLq*4iFSJ~4?)##XmFSw);MFoF;1w*LJ_;VGC;jvk|_N6>ulZO;|8o`i|q-z5u1 zwXx#{H)&Wa!-p~A7$?Z)W=-pYDS5(Z(Y(-7XDzQZ`%S{SzHWiS301k>wY;nrF(#Hw z&#@u~7s6}y)V!9_-q<#og|*2bqNX3CT-iw#u-CoR)bcRoy=pik6JI5pj=A5Bk`ML?az*FDf` z#96Z#FLsD4|9j@J8u=bI{4YMG5mzw`bPhps?Lb*lRsSL}cX?)k zoV<{79qxNDIp}8!Q8ZjScdr+6!siECMK?Ukf}0==$b(U2UF*qw;+o)s-kAK#KmrWc z!yamrFd*<)%h)rG*M>^CMMW4Ny-Atph3Ci4>tCm|nMd+x8bkRJ(lJp-1Fj$Wmde4c zVQ_IUSpYk!?|&<57xqTYY92vr!6hWoX#Ti1{-p62^*~VDZCgTHnt28qnf#1OO_X*P z_&8aVR~(=Sf6w)p@5|I#_qk33e5iU|e>D7)o^IzIXGS<5*Tah(LxoZZC!eA~B*iYZ zHyF^eQt~aQKOhk`R{Ef_m{!f6Ec4rZJ{z*IX?wk4LYeNlsJ|qe1_-ZcrpbSSNz}8A z$)~I(F4Xy!-h7O#NtEGZW~Cq?5>$0KyNy<@jPU}HgV82w^3^JcYk*X8>rd73priHmxvjPL?HAv38t9w^16%8R6 z#=MNJ2@*YXS4_}WOzYrMYfl#(_y_I*tE-EM_(Vj*iwRr-ke z_AdRXm_^&hUG2eb^`4Hor^zIf?|I6f9*9h8ncP6fduLX1pPnv znt7O*nGWSxyRS)mjmV8@SPnLlt;FJwq}3hJxZ%jdG0G?7(3g57FkJnJPi7HhOQZT2 z@mY!qj&{N=yQ{fl%8h-)pvC(M*=yBZxD4>zZT|%SMD&EbN`&@hGQP+GVUdqtB;l2w z1H6;bck^NarHskdhKM>5bw%`^KC;&a{_wJOa8qE!02hRv9~vkC`$HWId5cunnpFMl zB5q_m>{OlM3Gn`CxQV?L(c<%dCVZ3wdK)Rf1{Q@v_B6S!dV7^Ulj1db(56h&FrVl# zVHzwp6Myyn*v+B;`8Jj_=TBD5z=@B-Hy2{WCzoa`m%C|~f_c9}(F+a1EUzM6Is8-; z+0{#RclWy!S_W;BZU^imWSiVt zga8#4Kfy<>Yj%GL)MxqE&l-PsJoQ(@p#7w(?ovtu^cJo9mBI2>|8*^@+wiLLAb-En zJ_wrO_WK<_MkA4;Wn#OF2HJcBT8vBOx$BMn*wYq&yU=Hdt36O@_A$|uqfg9OmhLPz zSA2BOV?6SuAMp+G5YR^cuCDfy$6mlUjI(7DA(HA5Nz24?Wt-}eN&BR|OO)!7N}FpM z0jwQ#O!dg6H86@G(BAD}xx!J%Hi`h&4NkCJy^38`0ms1+>QuR(LPWEJ`@hrR)q+Nn z99pdRy43|zG>haq*Lpr)2`Ip^Tp=iQj^WU2@3N+Xp%q@@Y5fLq>>CCJS*}b|!N>|P z$+QSsyLbxOKO)3Z%j*ZR6wn$5iBbuTBN|v7V`-(ecZC%6j3V;t250IBbDIW5W5ll5 z6kdX8{l;)0&JZf-nMF9JrW-}brKX!iD6lwY(3WcNqA2K@M-Zi^n@8}crdve7r+Vbk zTCvoP;wZ4xP2wzR?FuOP^y2Vp?MkGAEtQ4#o~>R6P54VP`q#^WhMi@;qvkPCGq&Gm zxpzr)l?#)S@*C$lpsp#P-l~FZyGq=De>L(7t9CR(;b6TPfC`8r(5fT`4c`arr78wC5fX!KTexmzpS5t}D9<&7W_gk1W@ zjN?7Qe4Sr14ROEjFPa~|sEN*ILA8+(qW%3HsD$Kem4kE0C+8T=K+Y1Uat&`zx{J@1 z4<_|0*T=GNw*Gb%Dq=MNNDfPCiZ!@6O(9-Ifct$XfRs*eF2tJ;ZiF#~f|(`bU3JCE zK|v7P*1r1^CftN-fY68b$)ZaQmK}N>w$tlng~nw898k90i$eRH((&B;}GfB0+ReEkIsS%#b<(o>-_nmj%mqJ=T`mm2s- zuTfb1$|>8QkA&&_@X)c*ER4gZOmfc1N=HE1hf_ca#qw#EplizROa;V=LYc03#GH|# zSAtg+Or5W_))pl?h()0PrTpdviwRlf_?I$kxcdrrr{vgCiu-ashvfnXT@cc$1=QlT(qS|QV1NYuJHz#RwJR`0kq@wQWh5PwTa20U&`UxsS(ah@xcb~daOZ5Z0%LYz_jgfcZoiVc>YK#8MQ_) zynq}xJ2VBs)QDC_k?|#C;~l|>uS^$`6$-XVqXJ30SR^o5W{VN3X{&SzE_M+T$?7R2 zL5c!QH6JBu&d*+9d9kt&@WkWgWQG=!!-LVU3c$SvdrCc7E`P*+^)tdS;N45TCGZWk zL&2xm&z4g%W~)2vc1kS%#o~p}uwtW+izRD{DDu&GJK|dNXEAMpR$HB{DSEd#o+h5O#)1m%_P#NL$-?HOA#^8(DF8ZpQLzo8=?ek}ck9n;7m+v8X` zb~iXkGg!7^Gn8lR9jn8jZ%A*~unqibZGxP|1Zvfk3t7DD$sQ|vti1I@l?6w}s=O~Sx4u|oK-05$|-9(Y>HG`BJUWyYX z&I+iMa|qRLOW98L%{wZ_1Vs5=@StnIrn#W^s@;etW2G39jwkeWyI`va-Gi*1*R1`f zG{l8hi3M`Q`$H_G87Yx?>H0}BmEH~DhVel(K7L)6mOOZZ|~b_^dSrjS00SU8YBvjjp6>b)2W_| zIih`V_Z7(^HCl_aV?QoRW-j41+Th6HWAv_PNhj%a!?^Xw zNocYHm|zq>7*%Rm?D~trqg_enAW5@uWu6g9SkC&0%l2dLO?XmC$&gVU&v0453kRKaAtl!qzmScZ?OUJ{aNU=i?4&b0-0Qj^PpY86+qu)668l?$9uIN}!R+(t6?2 ze#O6|(|7i!rIo95sJ^a>l%$ocjYn02i_Ga#+hriy)QiBtqf?%Z)~m*QRyn6>qsdKn zqGI5doR+@1uC-Ds5@>+RFpF`D)$NkOfu>$Vu)qq-2#Z(_3OSELI{Kjc-SJQHP#B@^pW+%q zyn|ASzVW|BQlT;Kt-duWO=3FjvP7A%m~GUp+t<3ofB9fx5?`j}SJg>8owz%d@dF!8 zB~VQ5SG=!E=rO3=t)HpY2qyy5j0!gR5D^2HtdxA-m%x_}r;Onsp!y281QxGJ>l5TI zDC<+0{LnP~Eg2(vO0`(aa^hN8`}a(Ja=Aw4c+yf63x{BVscwb^BE_?}qGATjHW%mm z2{i4q_T_#pol<`eDb^UNyOma%Y`2O58vTwEdN>VJCINXX6b|xKr={w0en4wBzPl<1 zI{l7s*nV)>F2g#1pXA3$V0RuQ1HkYY>f~$WWLgHF%>*)ttLVZ=`L%QO{ey#L z%x7$q@vi%5ouZ8nsy9WUQR~hJ%t>l&F}KA#20U*+l>%jf@lA*1L}k5jWCVkmB93$B zZ5cZb51?#5bqF#VHx*u}FrYkAbC4%+MWg8JSC+|xioo2uBfKm-Ext6JDQfH_6q7`W zx@EPfOz=Vx@=!>X^$i_rZcY+M!v{ruA&$)1cv^XqHq|fnliDop9!5^$Ig%fEU6v>% zg+l_3&x6}&F_Q3uKBACx+hba1s@-xwAN(-s6tS>KE!2L1&{UW)8I<|oc zkEFgXObD*1%Ze15)ADBCV?!6%pT0F9t1Ud(=8 zYl$W3+B6hqq&*rO9KL?A4q{2hy&5;6NXqHEAME(~)enp1vy*2zn zoyVVBPh^~`uvQqsC17O(9FxqCWHe4sA2ZE{r+c=PY4qGZxM8BG;)65%O_5JOuv671 zftVx9En>S%_MOLx`bqIoe|FBrRm99rh)c};(9a*e{2cvR+iYsyQ5Eiq&=Kq_TCml# zn|*tuTd>hQN9pu^YZvwj&-o?!aNDciRhyDhddlZyG&1Y}-Mh@eDE&6JL+T@X0$NyW~C;kju!lnv?FIU!X8fh=IUHqEFlPI(l zyEdRG_PXE(<(QUUhAlEj>7LTNv+?hI0<-R_@w}E^>;mN;Wj%%NPa&F*74F~+bC0yH zhn*efI|Q9$8dd8Vi-EtZ+$e*kgcrP@h&6d8QGTI!-@)yPAcaiTY(3}_pVAu~tnH}t zZwAf^lHN(f81PbReh06I1+$eYzmWP(N@BJ+8sY?Lvu>I={pHyO~^&)=tHg+#WbXO<*@jXXthQP zkh zxbveC41E7pxmwR86t8|t%hj13OnyKzxw}YJx%Chy^LJL1yx@Hv`zbH|iD&D5<=u0J z-#>@X+#c*;w(hJ!v=CWxRi`M@ovEnkF_S3hN#7|)@w5ZIxwe_ld)juSeUB%EDI|J* z?s4DAE+l&6)qS(c0sF_sM#IXh;b*{r-NDe!;?jH2mN>wUAHd9slA*#fufHKQ=5M5W`L3&xbZAHnnS%tOEu~T+B&A_-AcU`sx&#*21 zuTi7;9&lJqK}Wh0Y%WH8yYV-L>_WxV>)OQ$INvOiTkip6F>}@2!_t?4{7yJV z?vwT3DV%%diW2G-1M@RqQIP&P-dxKaG_`t$OiZU)#3HKN)eCvE#E)hFy%ZTi=TDzY zGtE$~(i;5{Bvla&bl^6iCY+gz{;fXfXg631x2ug?mp-`zN0S12vBpXr*I~<(KhP|} zG1#WdrbNO|au9B2;$=114}FGaKXh;V=-(Rkh{L;w>BjlZff~br$^Ov956FYGH96#y zkp)6*P2V~SRZE`pkS2N9q!?@)33NU{BE8k`Cp?Hmk{45u|H7!npxLG}y&V#obf%*^ zkKkxfRy3;_UI=I%l3i*|m^P&`*N-}yX!}#AE0}rKZe6o?KlF*fVG2KS+`N1EB$AmW z<*d}YhNwa>siuuewau>WFf(QRF3`G$ze10q{V-Dg;Axa+sZ+gXZC#ImV`;6clZkg{ z%Mp`~ch7$k{Re?9>&G@r|Na;=Og7dly2$&onzns6<6fS$^+MZjy^BZ%ySZGqDcTlG zM1AKm*44uz!kEE>BcC;l5RQbF>j{y?iCqGx9@o zqxwE=W`-lx7GXn@F!@0~dIT*N^Jgx}82M$L;%7saF^rT)YsuEubCr;`V#LXpRYNw8 zu`}Ld&0eG8nYM(@L7{=2_Ona{=}7n1Zigw_A{qfb!}h~O4VZV2??x&N~!C9U`1jm^i^UA3_-FTx@IG zukqlQ#B7bA0eJAslB~)Cvm>5k^FrQpNU-PE za?%X%_F#z@^6ATTqg)DH=-jc-LsQP|Dyz(uDM*%@^ONnlp~2eB-crq2|GIA3n1JF9 z(CjmrM_Ex6uKg#GE-KNWXGC!XCuYYE)IjXih|=HOtFA_(|x4zNg)It)XJj?M2ZQ z93_V{^e8lWonwgE@M-i^49CJV)3cGhdQmRZ;!f(e_czH=Q<{{rE`ACLO@-mJVVQJ} zj>?9FTS9Tr8K`#p&G)veXb7A3WI`b3^}EOI1G0DVz}&5H%S+M=xljqCcV!Dw!|}(r zYXv&QnC~l5yU)QdqdkCuqIWbzNsvl?w8?TbC}Q&iE3oIh9^ z84=O!jnI5oDfsnvOoA}1qK78vT0~CnjS$Dcn2JfUbm!(Hf9UT;jv5{DTrLejwj^ie zYGz9XrDza}`&U#zLK&Z|)>*9c6&iSh3y0=BWJLW9k$DprtZLyrc4oIYJ_S>uiS|Gjo-kLwh@QU`JG+iK0acu=n&U>6Y5R+ zd-77{yl1TfisgqC<<~S<^=jqU9cJ4&H?4Bw+%>#{r-6q>hpI_4HAK0FJ5HLmtGQ_{ zss60I0!3@erltg992`uX??Hc|A_Nx01y&_%6hCQ1n52&?rvHwp3C#VQoTV=Tcj6>K z$dKS9#wNk}cl!@ei8Vwa*eZ-!x=l~F-WzqWlGTyNqf?Jo{VUd3>g6U(!6}2RDL!Lp zf?p7*or1b^8E>Pj3DJeyzmE6OoC#H=ZXEm=-oTD*n{rO z=G)_J5{(>?koQ?3=7yq>%xw;VKeorYIoe<2J*VrE6gpo7a7w!SR_^-`yfUh6xy$u|&aTXmFVN3y@2}%?tfw zQn%U6syr*20X+Uu5`-+Oavk$s#6v3Iywq&LhaSoBTdbkNAVBo|VhAIfwiyjy{nuCS zGu2wTI_rpK&gQPx0-MEKZFi-al~t#8;#k?FyReI{-axgHRMx4t=svkXYd$LD;q7~> zu>On_51#e5OB&T^23A@ZpT(gQOKWzw?cjP_%a2S~BELMGKw!M8-nA(5NQ-09-&Zb6 z!Th;6oleG(n435thrIWp7)oEBOOEIL{wx%9_MI zJq&n46{>{P&5sbKpMRg2jBX$zCL+vMI$Qp8qNWc^rRO1mO!Tds#~|!2l$Z|%#ce6o zD32<^G{EWDL&Fxe903cVv1ENj7Oq3yt@PYkpT|WXYckd9Az{=trBiX`s2%d+5DxCE zMO=-B3gacMvn?JTv&3;R`8FSxFOg;MMZ71SzQ&0;F zb2kK}7?-bgWXx!?GT)+C1{L&cgaV1vK{644SyDbt{n(_SJvLh5#ygd-C)t<^DqK|^ zSg*Nc)-&p^wNR)(QXVW0Hm>^(;0XL>I+JQJ)8vkJZPkI36rR!#cZlrWJotn#dL|u_ z$bhHN=Z%gviG6Q#avO8mjiX}AD92#_1)qcC`*H*AoEn2kJ;^07?+PyS)>bmCjM#%1neP1_Hv&Do)-|h= z4?E0rTSNN@vKYHKneLB$H0!KH5*3#(fv{hZT_^RBwZaP|mcOobnHortd7ISqC{Eba z7asQeS4b0mcba#$Vrr{#?OFKlAg5}e*!rDCeYk>^s3-J?HM-nLOV$+q(mJ=c3J|agTJZCGX=4S@~YF%ki9V&t>y9l`yamw#^7XNwI;?p zfAl~_PjR$T7(OD*q}e;5b523Ux3^O0EQ=T3VYhD!_Nde=w^QTZs5#6u(sL3BJZf-j z>(ztd5z?v3w2p3);LqwseA8#+Ncr+Lj=OTdeXy10S%vg-AHDr`s2N6>7nXHdnZA+3 zHB6R}x7xNcy~zb-6J#YwRKY@3d2Zk(;Q1J8@%yA#Y#`i59L&o%cy9Zm0ag|?@ha4% z-64`(PUc1xT3S$U%Pc3TbF*BBubm!l-MpoGEx2Zdnhqnz8Nl7|6-V3Xb2AahiteSd zMSfs4de&4)9c?y*vtxF6;gU61Xg=y?aY->tpji{FRDNMXGFNC2g)SI}ag?+ps^BuM zJ<%reIAw2g+g)Yk5S*xIRc$NqRtUB=D-AuW4h$h2FHY{YLW5wREW#nH*Cq?7UoDK= z#+hh}(dlOLhzY1WB26;S$F^8#ik0RSsFjB0lj%uoJGA}`e);Yn;l`mG@gVa&Bj#x|Z?o8Z0Zv~h7>I3%HGL`4hSNT}a zp{W-&FJ{@Fr7#Q0X&eV{*j-g6m$-!yECWe>zmZ4UHyqSl56*i{s=pf0rfeco=|Eo0 zqQb9On;zdC5nkF-MHccoaIM0rH9uQvw!4qJHX=+kboPM5uC2XdvfOoTlV%r{&zJx=e`$fOL!M&TR#mG^ar z1iJqrB!|2y%;b=&SA5cilx0v+&i_&L|NMEu8CI}?ObQF768GW%^`=%2GxHzkU2@UY zW3F`f1`#aXOd9wUjEPJiD$8l4RB48&fTHUW#SELIo0VnGd!Ie0vjZ<$sx@=zi|#@prz(^aFVVU*3Rk$A@ziFZ;mm zoB8go=K+e>{S9}&mrGHfqcY%IAJBh~1o*O#*z<5n@oEXsy_~ua?Xp|e#qhT8e3k*e zfH3dh%Jc3vmY%xUS%)=y-Y>uPyqq6oc|ZHVZ&18D*b{!3*gSaswYAmp^enn6_}=&J zq5W>N>V3HNY1pRA-OHm%#yoH1>|TRe^J>$_{t?q+!^Xbu;TOgGC12LX+sG;j%^YHfihqK4_wjFT6u8Z*L`w}~hbL+>_tt`x%goE{zbl`;{xy`f(lEhMs zzNqenD{9=P&x6$s`0)1Syxg5=xJ&cdugd^^!V`$|yc9hU6Q1hJTj5>DQY`);ajlwJ(GP{9HC4Ref7pNS8KD z<^UCCgJ`HTddrN+ zGqV^69xLYgcGHw1X}X7e7M@Yq#!+NVHSBuNLU0=afzE@(dQBTnA}mYJ^gJ~?)rAO} z;}xlATRw}=*OOt_uoZs_vjAa!ZN+k4K6huaqN1&<4nOJJ7Hs6Knu#Ms+*J8HJ3W1d z&W7anzqe$szqI+wipAy7;V7YwRZLcq zq0#biF8AwBLx+6YoTTW3G&cC!@h@!_ye^+c?$G`g%&`N8Y|ek8I|LeUXMV7=(m=Hc z53MLP-j1~V&Wb>PTi{-4M-zGj&@&%!YIeeTU^p^J;&CgYN|Ko}u)!b`Dw`89(Q~_@ zwa9a5W~Q#_(8X3-iDQpz4x7e{H##cLzv&wbs#uEK?B?g=U!Bd&#;kb_nJ;K+0NOQw z`-Z8s5p0XGMfvBX--q9jZ9RxK%iXK#J^ZYw4q&0AY6_g3v;qqK?8|O6;&lF{H?>jh z8o|YYjMFm)J#JiyFi&^ zWy)Fr#cI`DbjMzB4Cz5&rR4(L+%@u0m0ZDY;#ynP8y4O|% zmPG|F2Lgbto;B}CBUMhdkLyC*%}|i$dHkqcevP|EXw;G{3o3nTA7j-T`48Bsk>ozt zEG?b*O1owkx-#mCyyaOaB%6i$+A(Sl4zZ zKer~~vV)%UoQmA)qv?Epbhlrk{1M~3FQ_eIf}9<@3N2{tN<^-uBSdm7GKo24$R*m^ z)6O14ps53rPouPq$}(LJ-r;Km;cM<1c?>N<>u#rto8ydQL4-ZaX-XW^$5rbl`_)&w ziR-zvD`*zg*^Nhy)vfaPW#|@C9VdU}DzebcLc%lMvhk~FG>uN^OuqgeHEX6#Xv=fG z*{m*$^>XywZA>a|aH0!lIr7rtC*i^VYhT~f;HY$HB4yBju<*m%_3NVB!Tz>lP=j*Dx`^onknY>P! z_vhoG9-zO_-S+0y&BNvESf1~BmVF&&oBP$CyC0}1@0<*B>u~RWpQd;_uJlIq2R*#q z<#j@CG7oAkQi3@vFW?dH?3aPAyqD3(6#v^I3crh#GQIEK54oO)XL=`H-T)y{H2-T0 zidSIn%~%?FYeuW5_5J+|>Vt35H{sUz+h6Y|aqrLX&yQu~KBKgJuRU+vX?~z>SWIBg zv6c5z-}_tHq<_4p=04-Y9xUc%&%WQA-qR!W!wnJB?Vs1ZE^m?NWRbE)W>@>)f*L2* zUqFZ)FJfq-F*}&X%W+SJZ~SF>o}lf%filCF?afz2%-kJ{2XY~g&o5vH@YVVN=A+Pz zu+MhF5$v_k7Xwg6o*!uBecwO-x9BDO_;#^vVUmNkEcnVMZdl$O zRzxPj&d~lMfA3-TD3Hg(vR@e9UkTq|J>Q-kKMeZ6Y&o@DioTyCZav0mc5Hcpp)N8^1o}?|$=YIKg~(j?B}!t2Ud>#J*KO#GM~4dP z84-w*0vZ2H@N9w|0hy-*SL9+=f5Tr?4mwloF>g?|M z1PCUon2d<(=_u+qX_*ftu`heykjb>q3#n%|rDbyQ|8*Qq;Cc??Q@0_R=j94!=M71N zs?=N7H&lG>%R(xO?wCj)t#YI?p!)u2rt7+VDpAAVqa(TDk>R6|?;%N*k6IDndtO3B z*~-{TTNR_W>ic_QQX(o4r96mI@5B3(Q~}kQzWV;-TKVXWxD=mn8C8o)!Z!r`#}HL1 zkw-~&n!&ETE2it+BRYIfy1`F^{8jAh(lQ2E|Mjqw&}Cn(B(@VQ=>kzriuF0WQI&B+ z@ezeE`WQY7F?%u!X?ICJbsn4RehGac^~cK`mwoqc23NB(*v7Dyxr8ur8HinKNG|PH zY$t@1iEolJ;_HGZqdj-D71V-cgZ{Ul1+G>8rOqNK_Qj-vI?t0zpq|BbzvnITZ$ggG zV%&zbj0oL-dtn7t;Ce+>=6(|pD+C!PJ$Y%KKhmW1ow%2S|SIZM8n(y8cGCP;B?F%9M4l`|7@G|H3iy6i3F$`x+t*u2@6G?) zLn6nc%BQmLk}i_LJ$Wy&5R~l2G{{_IMbz{F*EW}b)X-tbL1ItlNuRJr zkarcd$dL?RTNWhb_Z-nbzvklY%Dn_dU0j!DOdMXsx}w*j0ENS=45ltk48B|ccQ0Ut z33Rbm9uThgeifk}IF3x1XjTA|*Py=btPD@sr53n6kq@)Q_}$2~ve(_N2!@K|^2fd&;HOsfFzhx{9=$ejtxn@HWLM?DZ$7yjNJqIda1PaqEeG@fH5n;3%Pv#A<5 zBY|&ps|0Vt{tJaPmC3=ZvUkT;{Y!Ald!NSdF9#y*|9IGKRMAV2|F4JSDNLYcjX;?e z6=-apw=hj7>hf%5D!C6#ASng-Zlv!4bAtHcU1&@ooeG$dW|YqRP4(WPVA1#`iT5Jj zVg&VRiUdkjbg;PstRImT#7km`m!GyfN)S5jtb5(<3!tfJUdrs{(95&gsKh=HgJ>0C zyWw~ssOS(>Vh2^L-im5y`j%NJZ0tAs9yXqQC%14oZOh&?R&+4eUnptdC(3&+%U7f(~giETqW@ddb8fVO>}@JBU&8ml;1@tKR1b zM1P2qVIXnuaSp)>)!hh#FYJg?=SAb2+_bJ<>Sg!1RwnW?f>t;0oJ;( zHUA(Hzp!_+^K8FnqxD$*?5$LWCG46HfOHwOJ;YpTHMIS@IP4&P|NCi}D(vRWK>@1U)Tk=1FK-+z!*LV?%M=67-)Xzl5eIf^=k@!g6oATH^Bz=Y8Nrg@9^2(&MVZ_(wycDU)CyefF{^%;~am z*+t}=Cg0LtA6J^h;K!$XRosI1nZtO%g){#?^K%Jlq-#M2m?cy+Y{#%4bj0}rkLHg( z&=bAGZVa9vd_xKKhaWH;0#y>e5r+cn^be=bj>un%o^RLJ!VF&+Y(;xOpf=FnBWN!K zv`4)&BJsW_rFnYuHsZW9ar1)vfMqG9h`v3C2-lp=nRm*cH(4u(0;m-x7^7lKz0){RV>ACU}k02C=q-iZDe(L8gkLxmTixnEw1P z;KcVjq){r$i2xSZdX!Nn%Cp%#*YZaa%pOud#hmxU0f5JT7N-BuJ9u#b;B~5tDGE$5 z^acNx@#CS~@&oh4`3O?>3`=x>6Q$c1#OxvWo9vHcdpRD6OMfid8QDHt3Es_8_Q8#` zZw-4fW$H#A;?-M!UH0b-rBJ(iRrV46+xhN5?x%Qn9_Do~!HeN>{%|~^J|k9sMETbl z>y#hqjx^W{L);&Of~`NUB6mP}#<=_lw8Qn1e#(z_M;iJ@TDK2`gaqXlDbJ{sA2IxO z#yjOlxg!mE6Y^?B5iRv15BX1W6h^rd`X{}5lB&NtmLL6JsSfzfrO1B-*!qIW@7Y{B|S6KPnwS-1YJB2!&wwY9V0U|8y3JGRM97p={7~r^b85u>rl_E&p++O zeaL?)dk1=p)hn;#X)3@c%3o;r85wwU0kd^T@$BQh;@cf_ET}gY`hIz{1^ebbO62zo zDC!{UmvrVR>KDZ>(?QWQrAh8z$Er z{c)mbqJF3TgB~owM(+nb(BidsTl-ixK7hA(hF5<~7dVgiynqdf+DFLpp!c7pU|ASW zw{r=D#SBp>-ZkJK;Q>Ub`oD#JSd$B9;c2-7+w+AU;XD0!LFQomTA>#dEpk727R(+b z+?^%3gMSZ#CH8(mz`>4Y?=^_o$P+yDHThrJ65K|Jq5BTOJNVreJS2MA59k0*ytktE zK#CVwe!k4XhJX;zSw}VK%pFptgw)eb(GQ?#><8GV?JTvNgU*_;{5BjQ zc3y}6#||vS4g@$ZWcjQ^bggd5;$5H2`>vs9tPb+1P2kP?1niwp-7EM8cTVo@yVvEm zO;Qg_J}U5~_p)w@cgq^FoAE#E=0D;yh*uQbQ`d5~j-?4ngcE3yEUPtiW8r22)@YVYs+x^o- zOqU3`2|zo%OJp;$ON7V-ATnr>XES8L9=dGJVhYI0F$GYBE}so)oZqR2cYT1gk#W#~ z{^Pv>YTc4lcvo}v@|g^TRb9xjf#v-Q`S-p6qbYz}@V*_XZV59_^8D@!%iEW0@E^dl z5-e|9|7KGF;6)9~+m-SDsdp=W8MV(XKX_ZeFw-7LsLsd!zQ&jhx(Y!QfRWArSWd|& z%~Mfg=nd{GEGfH4)myA8@!p&i8mI-dhDUWb>|vSo^ic0nVS>KAU?D9Fv#n}5CQvKu zN!CV3L70+)p4cl4IB>)^recFI_3r^;N)NAAhz-IN0feb22vh&cAWXF$vkS02_3G=n z5D>gd4M{z08tM&-BJDUF%MD9CT<#X0jOgn%>gwG(g-2<8Wb;}HkGgbLq9FFA4wnA* z*i}SKuyLc&6&>!^>MVKd{E@2NFed!c5pWrzb?g@&QX|fCD;*xPiBdN^Q=xS%^8AXJ zeY-Qeg|RdHiiPo-gM}fyfD%?S?r@AQNa_`}m*oA8>Vp^mpg1t}f3ClDW^n;pe8Td2 z>gxd?y;HwFrNoIouRo4G?(M<8V{LA}vTx*VF!ve<_HqV|V4tT!ogX6UQ9FDwI_k^w zzaP++fYJ>#h*jIEKdX=+3SYgnfI6QY?Vu?_liZLTkTaPONTx{+WU#<=F3p%6{#9BS zM*S^lqFp4S_4xU(N8nc+CXQlB(?t74=T8a3Fo;^Dcbzix^FqyiRk{)WZ|okls=Faa@3-IH_h&?|Zo9l67)X60sRn-*Y{n670Q~O&{Q!vC zD{d;g(EE{pZpu2zg8;p3S?}}O)XCJ<3jV8iqi-WWUpxQG*^gM=3*>Y`cRycOPv>1} zy(8U&CD%>Ys%dxhZotiS^4~{|N9kv~>zulVN7o#Kg%k4}gTE)9Ipk+93J#{f@_4~g zIqr+)t6kkn?yg?VN0SnAH&3nI?VGt9q#Z!bn;RNSxEsxd8k>GtT2IX`W)@WQ zNa(iv{x{ieU6jD=pI!a%Um2gRGTt2LXy!tzM)PHsSQ_WBHrHz6YGus&&fUsdky2!R zc$~!_`Xjb3!*p}9&hk*}vvXA@eA?z6>L#>(|`{S(QD|sL@DAdzHGdWNrMCnj!#@=oYb9ViyZSTYw%-X8#BJpXH^lsl zqwVp-OoD*=C}M?#?2U?UX*ZrfL}6m_D^$zZT=@(&IX{n|NYd2ac=)+SSR2pEc16_?jcFU`C# z6jt8caf?PCp8CAjW>B>WY{eVy)n=Hq3fN0I+^GV2{E~PN<{ZCJP!r;wa?=%+9YxpFg)GrhVZ6%xK)IfrW+@6r8pt}vw43Q za)me<9c$9zR;qu`5($K~;|hmi*xF)MY8UUQiTi@e(c+#!5% zz1@lEbPZ)PdZze_5u-~mgI!FQLP))P#Vo$$k{LPvYTd$Lj^{$+OHLspLJUx5j$w$n zqk+W#q`k#_K0v|$C)M!*{!L@r=}MF+1V^Q$;2++y@BEBIq_e7%(5(MC4OOR@U|2F9 z2BiM?6kEhFM7pCoiOu$(Q>d5Z?%#}`N|b1osW)WC%eITOtk|kT0pcgfAs7tV_;t!K zC>FXby#eB^IH-d8(-)^gI!{r&!gNn0MUwvW+QHfjM%dJJ2$ffTRz9`Fz$%=ah2hE5 zg-PO(Nh?!nTPWN=D!5n_Xq~(u7g?R%){VBg%XLe4yGB9Y)f|$GSm}-7<;wrKE|#cl)yT!*R=6@8U-9{6{h#Luhl7H_lXY$&$TvL30gxw zmtua*LOrkjqoToVLAw;tfcC|LC}5fkD#skE6*=4#K7$CQA6;Ud@Do2ff^oF1ZH%pL zQ(gV4mNt%|4sEIiAl2==w0oL{JAuX?YUNeP!f?OUFLZXg=+DcyCjFM#G3->!PbM(d zBxf*#b5L*eP&YpNNd+ z`k=}!rxFSY6gU~vpLX0e>f#J zDyV_}@D)MpQv|yHKf4%arT)o6zPA0ng3%8^jN4^C@`>9;}rjj@HYCx%?LJH?i1HHT}uK>TBfLwtA}y(8?xH+Tro z)Kf;wWpD$tubY6#zE7D(@nd06B@we#+0SK8WsHz3a;CDSVz zD8y;G{$Gr}1ymeO*Di{?ySqzpmq2g^cbDMqE`eYHg1fs8?k+=uySol9A-E;EllT3; z^WU@9Id|Q)cJHTlb#+yDQ*=!~y=zy+P$iDfWjw{>{Mb9n{7Dug-MtqS0j&-L(<6Lm z^}Td_XYIWw3cs*hpA|#Prr#l+#l)ft!ed5V0z;)NWe7PWPWH~4s5u7fi{s_X>fAYXBq!!+Tb;>^+DuZ(a*+s`|1ga7(0+Z9=XM2$e7SJ z4i2L>X`qM?N3cVdCVE+(?v+GnakNpE1{DVnR==x~7av2vYk(R+C}?>KqkGQSXuUQUl@r$ zdY^3jn>HE(Y5L%2-8^(4k+RnAtTa5vzfiYK$g3U-@cA^ykP811ik)_n4Gq?oxYJrv zFVdQeNMd5S2??#x%0d4vt!QnJ?5}O{J|w-?3NeN_o17S`#gSd)E0pb;f%i*N(V9B) z-vUxZA?c0sqV^mIxkwq%%HeT}JftU?i&B6LgpNWko57yDO!op?))s5SeR`u=N@GY-<8f&exY6C<48kqp5_e#s}V8F zR`&7ufKhA1RPskPEIW1YO{uF!nb53gDFhx|Ax@qo*na`Ak$L2pWr>N6l@{Ni9jX97 z%ZrGjS*w4B%e|sbUT%YBXvOHAPa< z659T@GbEk80M$9>?pU8JbP}bHb#p;^6lZsO6*hOl&?!e5Dw%Bk4Is;BD?)~eAAFqp z!Hl2B;v?)URXgG7ownJ6+~3vX9+9G{J1|B`+hMpxmj8n?-v;vm zuOX?sMg(+Q9EE1;xY^GG`va=a1gtw-N09~1O6?*$#v3dAY3Cb^k(KCE+PG^Ifbz!NkhGr^L!;d&J6mTCQJ# z@s;pe`*wqAz6OQ#`2?QPjIe6^V`fTEbPbk>w0$!a%;5{uIs|JRtmb7 zw*fI0{CJQJhr$yN$ zPsREe$I>XIo}ABFWQjLDvXlXMU1nHkiwsqy-zLmx_pBO{uDMs`JA|h-CV=0Ts%FE1 znx&kaumuW@r@QP^SppA%nVa8_CM~3wC-8MSko@ctaF;Nj9fxojRDV9X2KFK1E%-+(J z7I={R-GPJ{x$X}Yzj2wQqp4LliwMw5$aoNz4!^dcVQm!jPL2RWph-EVPWTX~r`vaV>&vbCM>*R;uaTVCK+$q1s{YomN*e$Q2T!1-@nT@T4K?hM_lmEk{f zY36w8LjIFh@f0Q8atV)z3Zpr4Ii95%4H#x(16p*yj^=*n$gwb3Y01ogt**>bH>!_0 zBGyiNUvicjGUqv_$ssM}GQ=I>PrBk77w%6gU)dfCfl+&xqHP2mgF6XOFID2HEFMjs z7II$tP#2S)J7^fWDK(!8aEjbyU53_7x@Phc8=N#xvJ}qxJysV^b|h!Wg4_VRT8j09 zm#s{txEz&M0WDVb3^vlzF;e)M2i%8yA()~UvQW9A8Em5E3O=;+1(L{nJM^KKmPm6> zP!JQOTmDy)xz!#EXi;1vqt_n5+0`t zP*aku*uxmL(o{uhNOUbYp=?f$RQ2%*CL+OaB+ec*<~gse$)Vx5f_8|xf6V4t$a4Qg zhuyw+bN$VTG5zP;;B$eX;2+$I`0p4A9Gl|^D-6Eq=b9do_f)ATfUEtp?G- z70^tHHwcP;uw^}=8ru@gF7o61mT6*-=zrqi-C2Y-h^zBnJ}+7oYMLfCeDyv<2B-vqk~IrBTh&o2#O0O{*m7UUzTdM5$O+yHRd43*F23oQ79*SD7b7DoaPz`7W$Y?4UAcSh5togcHnRZ)rXF?`vqtOYycymM zQ)T4J9<4v=vy?z$!XA@zYpugu`JSFS+lX1$4&Ys7Cri07O~i6p-bt^e>gw-NPok`e ztxs45Met1ol=~jt63hEifjUI;C%AId0}IuoAY1mP>lT(KPK>V?Og}^;$lXkO0kFLW~Qe~NvIz4L@5-q^-G

}A!;dt5EB@{u@)!W4&ttp{b3%I7imt9 zhY76n)$`gMvd^T@`S7y=XOEXcXc9O96lg!bD_fo?Qx>d(Vo06A{bI6>eAc6|F3Yz{ zjYU8SB`;hisl|Ajf{?b`0|P{UPlH-i0ul0FduMy7XnPiDKl zp{(RtuJptSVPz7WdMI%J0oE~Jy|K9=#WM;W58gP$A^Sgv5ktqLhhmg&vHkp6xLa`S zA7;NrW)I-T)>#LTsj_t$T)Bxho=~F>|NQnD@C)rA8vm#b5a0B9ZDKIZjBhq_XGjnI zuADoLVrX2Mecv1Zqf%%$3Kzp0$r1tWO9~6>hCB3o$BDfae~Hooo~p8G6V3UwWOy=< zkb+W?!dFkKn$mM~K0*o|o?~;q848`@!6C&1(VDx3p%)Y6{<LU%lwrA;sMV-{J}WLH$e*jlI-m&aQg4%&1oJV@7j>0uP<@=J!ksFBfhZ zrk>QM(Tt`2#f3T7mwQ4%7v%fnlPVqSE{sNQJg0-9C zlV_7(V4zMerT>1WxB%MhjU`Xv;h43=M9Nf1I%5t6e2ReIvEmopx^VG5`(y?q<+E@f ziGsGMa`7Y#n$Bitk`8-+5P)ZSZg4EvZK*H>a86)eg5!#vwX2bhrkYX6X@W6!@G2V& zN&=i<`lJR;Vqkvl9;Jyr&h8z$nY?_u=$1p&{n=+z!pa!)vz?2wpsG8QR&yICudDFL z8?^LX`oqBY1&2_>=J(eyQrw5z2^k@St1h?oB^i{+B`a9jEuGxn9~Olxu18Rx;_IMJ z&J~0>=WXg@gipu|WUyaEGnh1%xn>cUq*;qGUY<175rZ&Pp{$aU`t%v0(n@N=`VSac z*w1(CgYbLf#E<|Y`z9*idMp&hMKtH9ghr!Zh)V58{7LgAkN(G-vefASL zVV|=yxchMSd$frIx`;x9s3aG|f6gi2dWvKIBhejnE7|T3opkzex)WR{8DwVB-@DMczSito^J`?;LV1&6w{C3pVvokS$YdVEh-VWzxKeqofPfxtiZGSejR z1|A<3JiB5*S0-XZv*Tt{_LIAdekc(SJAGzestUp1eYigV^0=P{vJ}+Z4=z1~PnjjD zw5?QF{nk&KZYL{vH*tHzKHn@zc6{l6>*vmtqJWpnHtxRQ*Tgh|eyq?~Se8d#3jDSM z#{s2{D56Pizm?0}3@{~qhax{>zWYN&!fEs%mL@D)wMvx*!pqKTWO;>U=t$qp$H)g$ zqj$M#rji{lqS^OnsJs=PsTmgLZs+GcIc_8pBXlNQGk%ICd$T(}TP=%74FvYIBqr;U z<|Ni`CI>tiH>(2%@86beE1SzdjG<{1(Q)7_-axs&5Z?n0R5}+s$we9f z>PLhsbXQk~_A1(~Lh}KieWNHFcjLrO2MMW|rpajk}lE(Oq zhx+O>LQS3J?5^BZ8@@UyXr;{nq+<Hnl!h;Uun`Ex|4f+u* zNHPX%C`b@~8g@v!%R>(9l%H9W$mx2Q)0}sDXb8fk3Q3@dpo1!7RCz_#2=|b>+ zNXM*7SR?l-8=(+B_7fi7F5aCPSvx=yZ`iFHFPu8}?bFu-?`I99ZxC~zD)+N9#5~QU zgfu1`C?S|9X-4KCvXf3 zf}D;XL)eeK5V(RD3lI?%JU&8bT2xMmppL=sWUgrEQ!KlH0*!vC8=Wy>aWP5GD3giy1V5bAcV zf*l(|+?X=QL3o@`Wu627O|^_rLdUu4EBs}9g5^3cd2nLJCE^u3r2$ZcUi>CH2 zSpP}x6v;q1+Moq4I9;>~0_bc|ADObbX?%GDhg`(F@WXeW)B_&~Vb~Tq2CQP&ZlIW^ z4IiAp^~Socbt7d!fcf`-=~o=mu78rG|B$i&T0dJ7{zJL`hc@6}HAnyIx@HzW!R4(7`hbb{3H3%tD6 zA%*aq+xCC+0Hs3!y1xXiG|m6P0sy2%ZKT@mlnUrv(R09ktBTEe2!(lQ>WXh=Gj6u}{M%U9wiA`Yo$EX=>8YzX3PQ!87~#O%cr3dCO9!~e^_ zmH^SFFnpj5+S1`Oq1X*4Koe+F#el!0Z6m$J1Sb>4aYmz7_=Fw{NS5A;jc;En;_nxO zq7Q#5ST*x+Q7C`=+J7=ZUsRRyt0gDuW5)`{OhcfNW(Nhq^;Ox^^ zOS7BnAqL3uB|i%IqjNU{AGN0K+x)W0+})dN7r<(+DNeZzQ6DoMH+Y5chAeX!vg!L) zX4tfloqai46?ns*RqRu25pILDy!ba{=a8PGrOeinStTkrP@B61-4`5F>0{0ra3<)J z<=-VqyGPR{1L%^BVD#r4S@VzO=h?K>{oIAsB98LR16i~5bKGFeWD?)_nY!CZboF)c zkPC;Oj_jJ%ob+t<54-s6D2&_PoyPj0_&uJXa`;eJCo^)l(c|^z&q%~1gjXCTjuD_| zJI{25O$haFPO&$ZNb3ll<kF@KSBG!AR1l=BX8`EfhSXmJ7MoI@tE-S%9KPH z^3J{6tc)9~=vc#_*`PSUpQ%O<@;Oey#t*MX`BWv2eFne0oN>lz)NAGgT0-?>6cyXm z+Aj&kMA#_ zD4OnfZ2Ub)AF-4?Bh0uy19$Ld1j`Tgl%S)L#G+~C8n;wE$|YB&u>T1atxGjpZFNyX6JiN ztN;4w^dUu4eVlHr=Ud7opvP#g$h+tb`(1`}_(TN7-aMPMpt8przC(7(j^_TDD$7rI z=3#4q2#B*gxA4<8p2bj>lBXlm z)WfZT`8!w+ zJR_ZnxmCOLO+uO_d2^r#vH&=~YqA@31u?Ukl?o_>!|bXQ4nFt7D!exsn)mc$JVWo) zoTZ@$FXa2r_aG>eh5`pbJj2xZ99ZLs2}gX;-c*C3?%RS@B+g8rvLutKCTZt84-jWv z7jm2$C`}V7&Iq*RjS*)H0+i&q(zhZ14;c*psN&#u&WNr5`7<{=wAz1o;L!2Df5bUk zq&1dhrTSYMu+#{Mqney|tq_=%hMSC@G@aW2#goBt-h0Vf4?ZF3(HJ5!-B@GQF&jnR~cdlO5@?)GxMN! zupO|2_}B6ier~N>sGv*nNGw?o$E}_cEAnr}5>C)vXW$j-UvWrMEJY3Ng1hQAISsc5 zN>sK{g;%E_y_D|cbbli5Wuhk8odK5vn{czy6-P!iGbVA?@~onB>M9fYN3jVg)5p8h z>XOc6LrUK&Dka2Pg9+-@d$@Q27-OvnpFXJ3 zzLFrz;m8Z>;&#TvLqcIXz+0ZFs#KeQPIk>Sf49d8iyTEPPi~rC&L?-jmLPD0v}E&s zI}x$y47(h@u(QRCF9R}L)Gi3_M&+f_LAkxyu1@%unhS$ng-AGW2FW7XraJ>h3`3op zc_dh_p0;PEU=(4f`b5FJ!$Yf}C6)9;Jj~@@ZPk35N+iRYZbVtk-EJDgk#j;cAnoW1!V(kvkXidE}@b) zB*vTy!EgB}=BqR0=}HFn*#QBSDPT8ex$s$%Z*=FfE9dqqxw{hlnEY&C!NlI3vx2h=v-(znbe&{H1d%h{V=L|0-t3RTnF1^;B@+m=SgHP{-$q(A08@XNaN+AVWz!e2$?iQpTWb84C^P7fPIEK*U)GOX!RsJGn#h z<3EJ(3pLF$$PuiAM=@?*@KEYKSRz)4tB1}Z?01Z>ZhDrHoEk%?L!r`Dx-w_C6$v0z z8KhyB!s+#e`tw&Z?I>F6Q7EQ5!ZUD-*uEE*d88n7D#6vT2Y>Q>1AGqL+HAMcT@i;~uXV@PXxVGLx2*_v16a5XsS8nIHdNuEa z19M;*jkc5o_YQ+t);g>S=JcgGevo>EqsGtFO;d`&)b@9Z^-F8elPRRR(rJUzj!&*( z`?hcf$7Svs+_A-KjHEGH3Fn5^WpZ74=|BNg({hYCBO^B7O~BCy&F%@Pww)vJEt>~0 z5c(IIode1@^;lWCDt4)cnVwHA3Cg8NIOet?22Ql*Xkmy2=ei-=8WU*u0D(v^xC8S| z7xF=0qDMnHPKx1Q%h+F|CW3uV-IG2G1iC4xV%FkW+91h!N4oxRpex+OqeLY#1fgJy zy)mdGK9F2sA;n@s(WT8&Ri`XUhUpP|YIy}dY?XuoeJzA(hS=*rw51>zk@`M+VjR?v zP`y83)YxCpXZ1Dnrdb3l!~I3I=(r^WTpi?91l(~rRXGyH5k{lhXk^`h`*5JKEhsIz zz}3n?w2l(qLhn+5LdSYyXk5=%FN*+^RSIlgRz;yBdll2prX3xnO;5qkqVByk#N#J? zVy4)|se$4X!rnQl-x^c z)+lw%sL3ei*94Tr9XiTG-2*ux4ZhwR(#?`LBmPgnfKVp~CNNksmaK1XAE3XG-GPqC zPEMtwVAN#bButUmpq}BqfA^U}SE{Z8tS(PUZD6p3P5=%N{iLiWL=`Z;V}viktofVM z9(r`}=c5X$1V;Q$j4@OV1-;rCm4j4LdXE}L=gV{182kXR8%`SIMKm7@q9gO5#)BJM zVmz6rC4=%zRNf?9;#r{Vv<34}RWVvCR1soWq-pP3A;c=#-IOv!yO*IsL?a5Zswph> zno8R{v6=UJm)osDjCKAHuR&JTdH?qYp;85%>Tas1A<4OM{>3<0kHN+-x!*?Uve%RN zZWVrv@EIHDla|QL`<5F49=u;;kL_W=OXPEdq$`7a{Gp}8oL@=s!!%Pctn*e;x7t4z zREigh!d+bd81*t%jn7C&!4@Dl)KGn80v3)>V958mTuX(y+`DY=5~2sM?dqZjJK0L7 zCgeh=4bG2c7P(k8v~XKDgl8HfRo*PqA#P`b2Mkllo^<}yC}8yPjfJ)|2wg++gV}vM z$H7nZ93vclLcwDbKLIB3rZ?_y$r%S3JzDN#tn|9XrO7}vjWKP6DlkhJy)Y@^eTo39M0wC;soIrKHs*%*`&w`5VJKOY-^5Dq8*d>@MyGCb8}# zhuBblNe$=#hq!}OAK?^yKVN@U#wzZLgpKjaZ!^A>nzT(Nl_!bemOD-OmdL4gX1wu3NCFZ{{MJ$XdkeA)WxuXbIF_pjx z>mzmlKcJOlO#1<@-}#9^tov|eu-m(N<_S=CkCxpxyq;{b(*T=UXsEF?aWo~ z`-huRheY$+0Y(igaz#QdY;|Tz;lmJ77$UIH#Hg1NV%S~^_wnNE*nsTC^;4Wij^9I! zr?CGFV2sEoH9gL&M?OOx;^Hr#L7wE#H;#++0@9?0@6;ey<8Ms=Y*{!;1!mGVQ;Qp? z9X2_WX7nmfSy-7szB&wi&=3e%Yc#1==UA%L6-x^Z;6Pjfgx+gNEe?@&g)ATYZLqpJ$H?-81=sI8E%mC!0+YHH z6AJzNn-H0Yz{C{LFShw)LIT6()v-`?jlee&uOulv=q}Be`V^_-70BY~-C{SZCZ8@N z2gE9bJl)ch1$5;MGtMu@LoFZ3yj`0L7)@qyDpG4{rH(ZqQ8EM=X?m5jC{251_V)!u zU%^vr&ktvk#a{8NRfXucx1V>uHkS0@(@kAxYF7mgd3lw$cpS8r3?x9QxNR367X z4#n;ElFAr`>9|0Gt0zzGo>9?z8G&f-5_R_}*n#rc+p-hSf(jI4^tN)`?`iKjh7 zIHF}n671W0i(+#kKT2Q&)_3U>AM2yGfUZPs8K)6UFJ&;LMo$cjiFIi_AA zO`xRAEimbrZgtowQoZ#~mw`kl%ClOUZi>yc5doiik1qU3w83(CzI$?YY1Es0NH6-x zbz@#2xnN-bGmuDc@0qg!bZcHXxL~k9)Q2(?6@lNU{i*iTx0PYJK!AAbZ|wK=$}?}) z`W1v;UFy$z6;Z-d@fFHGeAzTrEMmY}GGDFJ^#L;Dlq{ouqcYkp@pUY8ls^|w)@yFj3ADS)-KNhg(I@A$3v6wf zzR#+~6cyn~Niien#27pR-wn-jTEv}ocU_YiFU1tq;d}npDFYe|78umb#f7$lHF5~{ zfq5lTPB};C%-UVcK7HmBSEQYT+G*sZEF%0`5O1u=r)qLiQtuk^AAz)4%H8Wg5|L#- z?-~a-WBSVZ*8JPJDD5u(b8_R5hSR=2JmZUnLI({GPcMh8rMTIzjDhAqJrfNqUQxQs zpSktBMlG5ha1>fs`1lz5+R(n#v(xT7Oe8*Kzq_&8WHDY~=reCtT;a|7@u$U3{Hbof zwe6X#S8-){N+49{G+Rw%Ihw@SkRiVA1?T+5S}9QZ;7h$7*-ySW+P-qPZ!6(LJ_L~4 z+4D0k7E)JMzDrHb9OML@`XCqP(Wm1W8>eHST=Q*3c*Mt0>$F;fjkNn$)3(SyP_fyO zX{)KIWn$P_RoBvS9l!okG`{ZLs8x^<$GL&jN-WS**+Qg?#A!i=)!s=2Qk&e$@a0MN zBAM}4eRo$LO&|Z?KbiHC+M2eMl`eXkaqC9?eZkZ66OgCBT5)HtwbR4X>4@BTAt&CM zyn3F`H1=V-i0JY3XOZB&7qfV>(PgfOzFkt6Vg9g&SlohMqD{Ewl4F=pAIWG9b&2EBaZe`bcP!9g3}4_e2+v z4eo}`r>0`ZZ~CQiec$oe-+Q^IZ%4?c-D5fr;>+KS=EHCL%YP+zX!^#JpuVT0+{2o1 zMe(w9rfav-Ut6m8)7j97CagJ`BLvc@_XFH=?Q&Kx3xMrx^5xkq?9YgBBLiY z4}6$a0M0|tJ$x&Jajyh?$dw5;Oc9-x;!a1}5#6DfHYAqyhnG#?douIj z>K1a*5#4ncixfedF{r((MKfj%(_Gk($EvkVp;!bay;jym(iH2Y*X5P<)+|qv0j)8r zbYJ^JRM%u32o|(T1-Hm=pwY+XCy+ z9`iw7yE6FPwQ0+Awc<4mMm40HSy+c^k}rWZo-P2LNKJafAGgKhil)t$Wd#OJUJ5!^ zOS*qWMz#yY|6u1;Q3574L{g|37x1<_v{2|<`gCfcVDh4u>=J-%!80;DIVa%J@=Bc- zN)yFXt)Y>ek(?X|(3npn?CAk2%-%5R%yNIhZt>KCXHS#IP!^sq?(i&yXAcvRoS>2! zuT`1QpR$dbAN*i?BI6oPfY1l$>mx;}z9e{PO8i7}08akU11s3NLE4e_3BgDx>h}DZ zce4I?fwMnfb?D7s9Q|*`SEH49BV8ognbhGhbEz0LmT+2T9Op?EedRAR&?>#WEg>}m z-;&a?otG?ZHC;wSAEdsJx)+LJ?MIT0)~gGSK|}m1=nz4*{<8i@^h1vvm?zlxzy*Lb znt*Q92!h+SaPPe?Zbm)%`!0~xyHl}?_OnUN~(L5gUe}%P=-9tMT4;@HS(o zLlY3aItQWbbuQvvF^PpoS4!Rwy6Ranz2ieT z>jMqZlnm!G!Jt~ww_SSm7B9cnH4|ggO|m>wlzMSa3}LJKLdaygj~Su-?<}>DDcS~r*VepWj~2CRj>6*YhG8+Y6o5@>&2A|qUhLcAxdIF4coo)@xwD}^j+Z%;FFG#|Re zHexfP`#RFCG)cA97rP)3KlA7^9kGPW*=sdaE-M-FJ-AWqWqVsqtwG@2n7h_{tYwpa z;bVrM~u69XL<|4T{^1jE6#D{C2SI z3ELmB+2^6YWLazLCGlz4fM6t2)7?>!e&pKdvn#P*Bn9z3DM(NUlymm8;2P@rc=!vY zsWbc0nMUq2+}{!3H`rS`(9M$W$)j6vt-!!-zjnW#vNy=py`@NDWMe9l%#1#K4LvBC z=s^A{+@k;crzxYMRlNC(V$^2}UxCeY5^H|K4wefTa*L=_qHf)h)kMmYFzRIJNSlw} z{hoGjd?{m+M+_!)dLqSwN+t2l(;@`di;za5SpBQuHfIq!z(@iurE&}*bWuuBd-HH` zo3?}$XIRc-BbAidrCH{n%3!JKYtDL}8{GI81wj(RJ$a1q5;yGlVHpO@zg|QM;iNBS zS;3Vq;R&_^#TH>6(z8V*bSDxgJ(ni{9)0V*uBAF5)NY28_Z-@-Z6f_`t?VbG1QJC0 z7&w@bN!n)(=;saJ&l`d+Mq#9g*3RQ=L~u}@5Qqd8VV(KISzC+RBcDgCsW5I|AGIZn z6y{e-WY5j%6);&laYcLm)Q36}-e6$$&@WK?aV1tjs!<^qo+32i=9Iq{(y*dh7mRnY zWLp;uk<~B5OCXEXRbzn~y#KtRd^5ki8$}GCD}B&|_s>R$TF8-xQks!;Shb_gwKGEQ zH)t4AmgbO;R zUID{7HMk5cE4^QIu()}`S#Pi0c=u>cfNvxwYMqTb$WeKFjP;WDcX-0#<~G;!<%CTJ zf@gGll_}vRDj0WYoZ-iJUIS=HD_HNaJ3j2fgf^(O?j-S|ku#E^C%E$VB$;HunA2&! z5D_>o?S!GZt+Mp4BY<3wer8jVM;S7=mB5O9M>Jn#=qJ`|SNL(&>UwCcB#1+_*XxV8 zy3~ovG8XlYx3D88oBxP~{YM`2$;)l+Z+1G!%sc;@*{!Z^zTnwD*@+E*IChtF?7N;1 zk8v66iv=gPz2zmp`||Qlbu8z%s^7KtgN`)o0gUXL}L{Pj70={_ZPd`j<ec%D}6+Og7#h!5-+KaaTFh$lZ0e-aWi!Wy(2;SFRAK(1Z63f5+UiTAD>wQe@ zOA1fWud%E=6KCBL2N;*0{@Pzx9R@2;OYVm<^CfaC8FGOW)PLOH6HJlaT4VSP)l5^n zWG)GT;Z5_TOs@Y;guUZ#Z5mxk{mgcggq&B#?)$73G2Q6wV;O}#VIwU;@LY;KN@Hq- z+BAx&BuVfb!aUl9ML;JG`QNMC_5sFXUd~}SWz9{oLgr1fpKf%?KGw7}wOGme03mRk zaHVMnnMt|QIlF%&{d=@UlANMIzqwCroh-(%facf*0X8&KSnggODTY!uuzUbpB<~jAv8GjD6#Z?iwzB8#X z;X9IhQwwLUa{q!aa(q?$L%cYzOd9Ank}CovS<52#xT>+Yg!Y*v=73E4AvbbKsNX&k z@HQpOBm4RKD-Ta~W+uLVx?RA9Oz1Gc!sFL+M8741tGJ)q6S3)|+BLlC<1FWN&~U~U z?*%3ulz7F2H1wy-z<{^&s@qH^`|&TuhiIm|F_YyoraU0qL1Yyb400jWl%eBl|J~)y zYe}>LH$K9SY@ScE@Ym1@SsUM_)iq@^=XvaLg2ume;!xJYa`YuV^nWDFs88}b{LFU) z%9KX+NfR;*Zu;cz?y=vd{fXo(g{%6yq6p?LstV>#t`sKRtL}8e3a8Xi)^iy<6c#1` z&KoIr&L@K58hkV$DPYqeM=l-kWtzGx9}qEVk}OQ=L{*{uWbji+)Gjf5mjP$MK}_f0tdR9QX`RH$am2Z)b6vh!>6e zCN0y_NE$wZD!(KHysDC#fIboZrVDgtnYPTB?LDGm7KyD9GY4O_i7{rMQ!{_s`xbkesK z#W@Lp(td&`tPQ^|)e>gwdEw406bj$<+1B$NDz9)cJW1X%3^_H*$ns!gk`T&`aP4U9 zq#mA!^a@hAkO!>6`LdhmTL9gu1tp$aI8_%oX?k@o; zK>6rjxkB<^f&3F*nZLwG0d%_An#E;c2lr|-RUSmaN$aHY%_@N?p^;0vcjt4=k4$AZ z6uC#v+^94_i~htyBDtFmL3{xmmG5!knr^gvTAT8|Z)J{6#8W=LD)?RuGa;H*c``G} z?Soat5#Tb{YFlbQfm{{?c@ykdX5e89Q5b-nu*$tI*1)|pmw;P@9qeZ?`oepwFXW|F z8b36AtT`8UXnA8Mq<_cm*O1Hdk}j&Jf*%B+V5^AfBPVE*|IJpGyrNJg(5#+|M=Ltk zP|p)}=s4-de*9LEtWuP&|Se1KJuTUFC^ zP5LI~F<(JzhR9lQ&)iu4H%*4u)ZEPz(Jbu?@?S=YVUR_X=`SrI@@uz=^2L6-&1-4NAl?3UOfWL)*{i{8=q^~R5e8dGx zTph{DNV9513SOs=`))fes!!JPX7V(A#C_6Ptna3er;|VD?L2R$LiW9i6o{0|{P|7t zvU$PR7u@{m*9q5qzlJSR8=hci=EAWBcY?6Ka2t2yh;!CWGxuA?fd3`2iUI%18@PFp zo%IqTKUW5no!xsGuXgWA{c|3`(-~$_0~DbR)7YUYBBq~F;Z&{yk?XJoWh{zsHNgzC z7{y}Jh+pEd?o~q(c{2pxS30>ru+7`VpECCjIJr< zGRi4WJ16YynYnuhy#3M+J7^~&BKwq?wiy;(^8SK%@|1pm4Gi~DR*;3$04@WH&r1iI zK>`vM-wY>AJtd+ZJrn5$%9Z~%HDE>10@Rl^Pu^bJ+01$ ziLYYR)sBEd^U~V9*`cUmt%;KNNcJjiZ0YRc$vEdrY*lH!D5X%aH*t^TXDZ; z`=P2`d;83N#<-0M*e+Gp{!PD^oTL}MXK7>b>eB4dyXG!k5ZIn&pz8uoq+FLb-dvV!u{ zyOgtorU?SX!q#m1HK(^$L0QuGAh+Wv_Y7hK(%{TZ!%0~BF%uY^zq zHQw^$9E>Y}=NhNuPxnuudmOH5jK$&CiAphd-WbV3rl_RJ_5Fqk6C}$YxEb|T0Netxi2!)Z*p zff#Z6vbxWtv@*i4WZos-7K5^I-YW z2w6MG=#bOo9wq<339%*od>R9kJ$w;Bnndck1vFPiwT9HMNEzbKD`va|1GxhtRg!9q zKAK^LDs5~ea9%VHOSIj?E}IBcmALv#`Iq&HT-+g>fQZv)$)LOXx0yCTA8VL5f*b|; z!yy6tsQs9GEP-XG3~G}nlVX$M%BXgn(ekKF99tfdHnUSMGnezQCVF ze0y}5I_a%mA`9XfS%rjIIdqM;pq1O1oO{-ZK@};E*TI+4B+H|8EKBmn7nduGDVGp= zpR9;)VvvTWZ0>c5=G?0t(CHj+u~k#D74yTEeZ)PAtX2mSw#BbG&96DWh~AT9-X6ie zBzX|EB)dpGjMA1*swDD=GiRP6yIGuz_G%QrU+p`*&=_;`(tyrD)Zy?AZhS4W_(W9*&FW?#9(gP3<8^lrHhd9M+cjzN%4((+{3`;zVjP}d!~A*EjJNL6 z{PZ_H(;DBo_y>rdlP)sO)H+}ApMDP8Vj!QRnJNg-aS#u(7)~(*@n|H_Cl$`sl%|`> z+TTyg*Rm2Ca4pVD2ZkduyacYD@D!1X`@su>~bCrLCqEaO79Ol zicS&6nxAZ2JDE09O|Pn-V;~D=Q@2FTc;B;rQE^$vI)N$n_yV%&_7{w~Ccq8_HQu{A z?y=LrL|B~fu6ErNwg+Af=d1cHb%HOR9mOXsAj8(p@3iFR4WQVF)~DZN&h-yH+LuDL zFSo|t0j3FakN{i}>bQ*sE@;v|p>Ys2z8JGjYrQO=vq`6361 zt5D8jrq*I|)?z{dfg-oWqUS|o!5=X2cZ;Y&B(U!_qfqof4PD%vVO4!qQN_&6UCOzLj$m;?2EAu9hdj?K74V|W&VWZp?A!Ygv~JeS}X zRg5jMqUV)UWMzPD^E%tKeX}5NYQKg*)>FSzcD?y&!~_%Wv+&N&0GY=|(gZcf(|UBq(*zC18J05x((^9fbpopT zjrv0Nz0Y3DMkUUu{t7~do;AJ_z;`emqP-W-N=ZpY_%t~d@7QcUaGi|^bf`G&MBx2F zlZ_rv!v~_8%xp8RPR!Q=5v(%9*nH?lJN~&8>ut>e&xHc9{}-Iq5Ea!l{)HyeJ?#0u zb~Edg9?pnncwt&$g^!ra0{-^cd|TM^H_zRi<{q9qKQ*uSQn2Ugr!6jXS;FrYa`+y; z?k)qPV7_(j`>jzATIyg@Tt2K)P<{E_z~w1cx)h!4sR6TNO1A7NW7h8Rp(eNCDfv5Y z22PsJZ(hxqEsYf=3JkVDXp&+DM9$^SR0hOGT__3EM2Yo4tTPx*IOO;l3>Y`?9 zJ=|~o>2ODy=QgQ3w8L?+s#{~!K(Rd&n|G+1%lAB+nCM7%**L*idD%7bzrYl>2pFh% zA@brQOi>W`ub1cHVj>W;C{rvr!BySSB5b6l?Qvt+GTz=dP^kqO1-W*}R^6KYfa3d0+ z6I6~5*Rp}P-M;|sm{^`&o%(yqqDT>F&fKYqgN32^NEG4+!Dyrd>g6f8=I0wx6*g3* zwYixc?j}IR*^Sin8k_1k-pS-Y0?ciUCO1rM+nIZbA>zM+FX}Z@=rL7c;_XR;{fwid zYVp4)9e4spOaI`jEuXoiU0F*}^r*zvm04p=CbU`m45qT@R!6{C-YfL%U^>XwRP345~r4S*SE-`bHq+uD_auMI0o^b z4PlY&ttd$&n4}A9qT;xEk-~UDw6V2XN#HmJYe#CA>B{2JrYsBYCSd3dae{{F$m%`K zAu#J=`}WgNwYyl0+AHZ-uKOyb!wkrz>dCYTizsNf6Ck*c+$8O7+9ZRzB`QoK#484) z#Vg3-#VbOR#48R`#Vf=z#Vd+`h_9<22F@PdV#?tw5D+V|Ha7Ai)R8T?#VoBVC>n9` zgsY*Qb&OkSoA~i(xh|~m!6DO63*nw>j*RWVAkPl&rP>>Fl_UwLMHfjF;b-yy;2y9ciSq(3yEh zIy0o{mbR>mYbFwSvj#w`ENQj9fH;QrQGj8=ycw@4%}*vINk>B%lWTQOTt#>H-wk`=c;_a%myGF$NJH4!xaTyFvEfev3m zu?s{oGR@%>i1Yw{KhQo;w6R4j$aNbQ1bocIX0kJaDT{;OAMxZXHgHxYwvVOjPLtgW z-x_-hZeO0g_x2fD#b&HKlH%?OstP^$=#b^^*#=fR`$9umwoKc*l!yoJBvr%DBvm_X z{Knec+@!F5il^!S4akt|GT?n?>a^n-bU&S|%r)PhTCfRvs&$HM2|J}1WdlML7L_Dz zN9KOFgMH#NvzoQu&C{^-$=2CuI-*a;H+P@(3~z~$;0kMPY==zkw6K>5@|wNIpRHHH zT3&DbF~la1Q9>nT-g;?xk3eUDW4N(pj)>HewBlEMnB3-^I)l0s(6r(=_09T7 ziS8Dh?N76)KcA;>EM+=++ieJNNm;BZsxa-lt$Ah}E4?mGdJRIAX1J=UFjS!%wUn2o zz=TSzN@}tG3AI#35|9;$p|7gq@Tc>hr}Gvp*2m_rt$w*H$2)%(;vY4^P)oTyM;u=w z+huWH5Z_cL74xLm(jZ$NKGMiMiwEyFQsGRnIXNd}*=)txshs1g7pWiO(zuNg;<&}p zYm|xJNmo3)jFtbF*RINm1z2hK6t_8X+>S_FOecNnJuz;12efOBglJEMN+Gs zQf=r_Koc4fmJ+!jAWz!2f=s$_W*?p;Xc$WrOfMhLmxR3jRaDyeE-bMRXcoc#M@gGs zE0cqvTJFqAtB8qZO-NG7U?(fqR5E+i_!Q6j+^Os)W_8CgS0^h*a5781i;t!GRRg?= zW%yZ34^JymFp=AdNL60XXMQ4UpwIVrYM;U}H6eD?Es6nAF(11Wna&>@73} zZqTjP`!d=Xn3J@TXc=)62IdVp2jm($^e25LJkXevJoVZ$LBq~SwgzX@q168| zWVIu1ZM{F`DkiaTd3wUS-3!V?(T=4BtRSL0#@D_&@kS2vbTksJhPb$z3n9UroKE;} zq0FEnPW2Ur0u=eY()-X#N=A3`#%Z*uoPe1LQ{kr2O2C@LEBfeFXyp}B;ndJe2A0Gt zm^tv86n^`$t>K#L#Wfq;tzfdfEn}J^o7f=d>f6LL4tKRsS=uO_8Lc4Rz6o4MPu;{r z|4_@xqmAJ@=-ZFuuU~_Oq2H+lk7+ya+ppoR_pCztugbmCQHs|u`?Fz_!0OUn3b%9H zsi@u(|LnbQEUtQf%_@Q2{f%t<)XQ&6vL1NHnEDurT^=@puu7_xn}$IlGnjYk2{$sO zqYJFtf`4$qbr9FG;82;Ek6PL=TjCKlTUr-0Tk?`HTf&htqg@Ghh$?TufFI>@SXzq? z@n=KGwOHtXThfjG9Y`wY2AaacV5{LNwn(Qu0@h)z66#*;E=x=T3wXMpM~%-`YR! zu+h4I26N5DW}l7CA39JuX`?E6ZKc!z(Nt`{WAxH*4gb z+3OE3>=q^o$t&|Dl@BB1W-Jt(CW0$FaSKg+tvdnc=Fl+*ac-|z6sCj|G(*B!iZ1>< zIZrSCjCa!|r7yyP-3x=ynV;Xg_>bk

Z>x}E;^IJNb1P@Ae!qlC1R9PXS>qkCtA zw93?S4I%bx5jF)*<0|Q3|MGDyZVaCI=zbC~!5?F9x>T0)QevJ`-9blaN_~MCq-W!i zcAVwhzS8gIiuc9yLUw#seM4A$k=9c(ke>2>7B*SQeMe)viN?zGg74~^ir~Oaw%Oj6 zo>2x)y0vP~F}ge(E?XTJ>N^c_&dt}2k9vd>t?{ENOD5g4CqC&4hKnA%sCR<*1wx<6hv>)0q>mA8-+5hj|6XCqinD$U@V2b;tUZH(cJH03csJAXpeD-(sQHy#3Dwu znfU$5dpEy~!+%5|_|br|{dqzTI36_Zd^7B23KRh=hB!vkmNupdQUq)Itnc(P{wIQ| zW%W(b=k&Ci-o=w1Px1?2C+ZE^=pAXu7wNugmE`cCFRRE72JMfP z1hwl5%dV5j406IQHpQG0?&w+f%%+8G;x|=mHq44b=_d(m!=D|bB_nx$ZWO{|t?XdO zy**Uo<4BS^2FG0x)SEq~GR{1{z`=U0lmm)`g&a5NTc7MxH25{x5p_n6fW29-UMaf-~ttBNnd?RBF6;X zp8htxxNXKAw0G30CK!LN$uJU(Yh1C(#8fGxPa@FRDigt z-3Eqn4J>`npwHOGXL6_`ksN}ja?4HwvDdW^EPwr6GjbE&E=wVCi5}KJ zv72vLZ1Z;&5=6KJB)1>2pCD|Yv10NbcqS_QhkfH4O&nSB+4$RYN6H$mLUQ?TWi&pn zEH{6f_#-3tyNKQ5&$<~*)lqHto|*a!%`a3WynV7m{Y#Rc?2-cgY#{&cOpJ|_=z*sR znY#(u+n>nM?jLfhiRnsZyjML%dh8=D4f>5S#kKox{A#x6Fvl(c0v=!*`3I3OK@j^D zPi$zLWd9n)*#qVm9#6BuA)H4N`nJS1*OLbXl${J=FxeV^fD?<+>Eh)ubjZZL*`!~I zkh_=ni3zAtF)aRW3_+j>-W4t~zO#ihwUu5PPXSMw0lWSr9w&qm}bT z7S9RoYu2fmJdw^Xr$(B_LQ_K41~ZwRUwffH`8nO}f@94aaWAgb5WKwG?*MSYv9WP; zh4=Ct=kqbrUGurq>f~_I()P5m=}lnUjnrxgtydRPGep8*3BPc6^mKps_Vh6ce}l&{ zcJ}o7K*dzkeNXum>L)+i22X_1FRa@GE_?#Y_ccLjm~SXQ=^8xtv=q0Y19e3o;+`(T zx9P+;*_3@Ld2% zuAADk?1fMOqP)9^E>l_&4!f2sb-`~O8n4Mo!I zKiS7uE)sLkwj>t3v#*QKv2jtLO;QU%ojm0?U&Pa*gTh^z0#4=_O!Mfa^67W;ZEyJ3 z1$`Uw{lF??C%D-AWN=}_!?~@_`Si3*T5E@F4WiaN(3&{@{$I4trmV;IYbD$wZd5+L zqfjS)R+wNKNI&Bdrk_{j)zHMipU5J%=Y&54U6{d7jG<=w5pqIsxS`n`ku3J`r}}s@ zgIt(XZUBHi9piUxe+0y4#LS*7erYjqy9?pZv8W|>W7$G^Fg0FqHQp2|D?ow&p&Tx5 zIT7T7^ zpxcR1BHGMAO|zAQOlw=4jkQAZGrZf2^U9`$RJa2zQAQ(MHO_KsMLdNb`LY9ny{tLW z9MC!#h-|B6xNdKqTmyx>=YAI(S4FyhWbV$!G2XMl2xw9&muaQ%#GRbUrwrQvn67tx z597L>M?w}?7`?N$e&1@Bl}Y>2#V5Yg-$+Elk!#-MATEInUCBu*WbDi0J4gdHzGCiA-qIRs6SlHW09tsIxmFCoUcb3B|~4A(M!XGT1W)Da&w z)0TbrggJ{mA@eHg@ik#=NNykdj^CM;U;ySQbf0@3k;ILpLX z>(Sj41}}02+wngYM9E2>cj;i#?$*KCf&--q_1@q_MdD^<-T-{}pSqWLUZVm9q=khw zVv%rkQhck#I5h1fS6U&i>gX%)fzj<;_kup+l74~<7G~0tHTZADxTIt{1Xe^)-H$Kyhc_tX?4V?&J#{Bp~)04R4()@tGjeuad{e+a9h2yMm)FBbEjxJZlb3*d_E ziFuuA-kaIr7F7N|Hork7f2Xk8L?9P~y4A!H51-l=CC6h;HYcN%0F^$DEIT{+MacO%bd;n&|&P&2X^tDI+^;UU;U)l0t3GW?t{fsXc9wa z?jJn_61UZ;xCu>~TN!sg1Dh1Ux7zcMI`WSy zrGah6n@>R7vaI7f@aS@_r~c_N|6H6rz%Hb?06pk);3$C`mz)9nii79E@V^3wQxx9f^zK;sy^`nboch=eJ{*yj~gsc>JO6Ihx>c9gf#zK7oZDLZNE<%%#WA$-s(!hS- zd2K15rT@BF{z~5P3;6t;jIVu``y0?b7iR!l1kYr}wwh3)F>5qp9{h`w8(l{4ueXpv z@3k*=z(Sj{vhmK;XxiAvczVw4&suP1U)CFSG2@+s@V+iSq#&IE6zT43fe9)ae&w7d z5#w}sFeWpuzpqb2DR~Qi;(4>Zi-8S8NT@#I_$W@|LzjrsCY*ngGZA~q2PUAm6r{HG zQ@KQ-Pf?0YBd^8S9d1HT#Ucg6PX`cL_&IQ4e{f?)_8IR*5=_G&K*e)!Mf8>147T2a zLL(nG!3bjW%d|3q5VdJ=fywZ%E6n|zNxldBPI83NB|3588`fNw_OZHp=2ihgH(Iy4 zC=?(dqz2E@hlhjOr$>a^=feV1UhY6-TLY3SKq-7O3kb|Zc3QH|b9P4sE2+5Kx>{2DbzSK^R9r&dJ#8i_S8o1EJFEQ!Fn)@p*xXGZ#>CSz@s2Wm{UmgG8l) zx1!QP+mdM_tw~nn*T-q|7~?fK_i>sW1=UG3zjIm2Z{K@RGZ|i!}k5h zW1nD<%`dGee?LRr<^0_)sffpNu8Ohi&aj+i_TYaQ3YgCZkW^?EDRHnCQWj?{2d?+J z))xV_!*yF5gcYRn`Z4P3#piW8Y5qQHHS-`BHnaLx7Gp35?$O}uiDPU|Xxo5EgoOB~ zFi42=)?B_UOcJ_Gg~0Mu7w7$-r5@m$zqK}2d|ezuT^xN$d)j_FH>8Ah2br|8@-s>nCF>M-f-U8_ST! z-m1Q^_8kVh#%=CD5-$D>+ATgEEFMUD-!X<87*eizP+}9BtUZ09UwZ$A z6&$)1Ll7FdO<5(N)6;)5aPrV>-M?W!oL%{_@F<*2YZ7X8fBunu9I}@WCK1kP{o%p@*Gak1&6Q zg{n$C1o~B7od*Q@f?*Qx4!L9frfY54$&FE`kpq$7Mg(xCTBt1W4`J*#TPlH=Zr6TKQ}93fJ$cuj@+IJ(TH<54|*4a`OK^6kqA|cIHl}U6)5TO zaehViiVgaHS_@0+^$@*f_wH>Q_c+O&=x1~3c{oR?o#V^(wAA4SIxnqkQ!TWfbv{tS zfa!dq*|22-c?VJLgGS}2_sQ8SJCd`$0AHD#mFT)L4 z2u|gJnsi4s%B>z)F7E+`LdOT{YSlc&y5pOH6jt7iR#Re6sfk9pjU^b!(XKMLePNRVpupm`{C>m z8`}-;dY#+vhIW;f(c2<69_t$(>)RdfQVvHswgU(WaT?%eWCI6w%OLBKe7;?b#`*2` zlfiN5pFZE(;SRqBis-0fV64+-?gS1d2X{!3u+5y~&+|x1_nn8rlcY zz8ydM$)m{>y?RbFGf)RiRr{wCgHoXNcN+Q#cmMYDl>}JT-L5DtU`+D}TPtj@oK~uK zVn$Pfp6;yDmQGefBQHa%eptt?%2}6g&4;}gRVaH$SA*Sat#{69`m(j|^!$~)x%#_^ zvwI5XeQOWIcxTPPY=)9ykN4*nG|tY$CKV3XQ}7e2^o%!a+jkeJd6nf*du6nn%Dbb; zD!tzAj6&K6Q5!M2LB`!(L94=Z?!W%NI1c0NTger@6pLT!@)3HhZf$1X?G$S6bQ+}! zAil~kG(it+0g+wRf_Z+f=!2*8?R(XT8vS~?zH?RzhL1IU5(KFp=TvmezN)LOU>pf( z+N9g+8z!I`6SY`0)JQdJCvDf>@9xvrpyEwFAoG`hMA$oJQ3#epdkZpll~(JRGKe-U zUOT``C-2^!CcKKY0R0sz4BQ;q0z8pB>=PbO&M%Z%Mzanj@2ydCNZaCM5psk^!EEJ6 zj^Kq5TEwam`b^7R?vy{h~L7xH!wWAtjwiXFVN1K4wx7o>~F}gXr6&yFSoP|4pY8<%EBhIY+W6(}uw6_;_tYi!H6t*B-x$N%Yr(THk9(o-IV6{* zQHTi$K#C}y*KBxcQXcdKdkooZs$xQ%G-xebjMdSZx3F3s6C`4RzVAARqpg%C{$>)! zQe(dGx}EYf7Kd}5(#j&eovuS4DA~c=$NkpFnVn#-Ux+9P8-3j1woi6%(hf8sZji&| z8ec{^CdyU)SS?`RI!DAKW{&@dRP2tDCNBXmjPjmKBnggCRfJ32aUBNA@r!S#h zA;AY4-@!43^SMNZ5VW{ox~NQ&Sk}{v@OS8 zvTvkN^F*U?J%m>ANre9J8dxqR?VINJ{=R$Yq+1&{EAOvPxalCFDx83Y^5_ZLlAs=x z8 z5Ohf@-hT@K<^?JVki zvH3=bWKA|#(2gFJLAlD8ywO2su6g5W2!K-PSIQjX_9GW-kx5Tn-tciLSW+*u4MWr) z$P`#FF-r9NaCu6Bn{wLNQcKm@SK{nEnd#$@F+BDbB)U>dD-4*72)N28^*C#-4oQb| z!^5*Ic_)G=vjWMdfv@*qZ#NVTksmr2wkuDeGnAHhxzh*^l@y*4d_l~YqI{@uVx-EO zR~Vr?fRVMR7I>b}tT6mR;t7pvLV7a}pYoOUYcJve6o88@h!I4oTij0dv|>%zg^WK& zkj7;CNcBZ+LFZzSTn7=#fz72RJqNQ8PQT$vG2)Pk@v>{+VLaJ``=rT?peh0Q{3COh ze6RM#Vog_ja`hLr5Z#mAr7}KpyxPfDq8+4dGf-p&nOfc~G3>x^a3F#Of(y9+-aATF z(_FI~UdfS)3ao_Bxyge|)-C(X+j;b{vEzJi=N9CB zc$6bc);mBD_d{{TZiL-fj_yblKIySF@u`OvrkVtp&w)rg0`*e@A86qgJDW|%brBS| zc%DOmWSsrJZ^6H}NG6fP?MF98=WH|XT6Uu)%UBqu+4D^^wX^-0j27$w$K3*G0&{V` z1UV)cr?A(y3aqp^>E_;Gun^c|0?aA`yDs*CQVjuROaWzDfgh|A;P{Fj1$4N(pZ0*( z8DUj#auwn4&Rqm@Y&Uyryzd0Ug&vs}UXT+Pi3?&As7rNHvXC@Hdl&9~RElkubhyg# z1k9xb%pC;GGXx6|zKosO7K^?Y^ce}ZzAfE__y{G@YRpbsQUdncDS%|gD31K1%Vkw` zrKpnte|jFyh57Vq8$@=dANC68n+`sl5?WYKrOw&0*Gp%JGr~mQ;`y-75Dt8pZkn#7 z7j+4*v&~$Icj2$Q#OpRtoK^OJ%CUWdbeU#XbIM+utwm-S?e6aWvdPQq@V1LjS6C2w zA44&=7IW(N#9;(0t5G+U)}hPMV)3P4{bt-1`S5iQwhCapQ27DkATS#v44RiQl-a#J z{$asfNZkd#TYO&Rgx#VE)7mwHJl_QYGvCFEJYU{j#;3)^$8Cy zc*G2pabeM4zWOz>=b_T;7)Vk0X#I$?>){C#M$^N4 zFNCwpC`#teetmifF9^4rgT1z%Lcqw13V(1u3HnXS38W_T<&{UsHHEqCJ#xG>~)f-G<8Z<6Js~6?v+_8 z64}4GKxN~Wd&*ZBnZ;X8lgK%yQK;i+AeFQJp_##C8;AXd({8{Y^w8gzUP71JE%o+p zPBf**hmz?*Ov3DdDr&q_8rD~RgM!@-KJIZ(XudiQ9TNU!0Iuh4+}A7lr>h{BAM##g z2c>gL{DHkW@2EqY$e6#$z1~_T7%DM4ggiNJSZ7)!^PVeqMyGnbl*t+|rGh!x(%h7D z-w1sN9fc0B36%~n#pWjA@S9u{f6*Lc4hFp=Gu^3GA(JS$!Un=3&J^4`bI!35&ORG< z+jpDJ(a3}VK@dP7l>RZYDk`pHmXN9hpzkPN?97K$#we&08;QKtl>r1_BNmx~teHjFCggl@! zX3kjt{^c(WP`NRUoa3j|BJ!Vrf{-|t2SiQjT*>7u&?AMhDI`*BDCSle-ndfCPhO0k zJUzaDy*2sUjn@aCx7ekJK@y0Wg^w9~PmA0Vjc&*uhAs+s(DUV&zGlaPs@@*s ze8Kcla^Y-<;^~Ys$YLb)QaH{PT2)8BkDiVaGa~Sn4p>9K;J0@C3C??As^g_$4Euqz zq=q09c>EPe?SG|PR?UW5p3zUMoHLC9@8a+@q0c6_IzuYR;G^T&W7=e!A7&7H!iQZ9 zDT=j-n;dR~WE&{j2g~lS=}IB|P#;<@NTF7|o-NF-M;aMy`USR30>;?_Z2rUhv=w$v>sb7iK~Sc2|XrY6P~hlCh(KqGn7r$8?+or!3UL!H|bD@MLr zes@{`b-fLLt5zWSp3jUPwgiR5mlA>6$#cYWhn+go_K)k>>2j*KWdQh+v>D*}J zyAk`ugvG+$hw~iFZ!gx+-~2cOjzoiE2nj4(b6L?*8+;rY1w+Z@36e=mr>fW2Y| zsZ*ES7|7NrL8OjMLB=#QtC)jK+dm5_Plv|IOi7X=(?bd<`BdcRnTc-z@)^@MG zi1-&8ov{rU|zoO5npF0+*2#9n% z`qX#VZ9vw}Lj4XE+b~QKRkY;cM}CrS2YXZWmv`msx2tAd$=|8G6tQn+?Wa6RplxO; z-%_Q(LMk$g#%UX~l3-@aM?zAfn*@!A{#WH{0FfwU&dM2l`{Dq_S!#;K*h&`%oAY7y zK55OAi!l9+=Y^ALnI$Ui119F9SEQm z3)7&PrsQ^z$$|b&zBRmpnO=oe5z$`=&7!rf*vat$Sp!h*1v0w(2BQZVtrax@r8xq3!?!lh6{Thv!L5{ac{j zm4l6b%^#s!#Zff;sa3JWH zB|Ju8!QoqgQ*M;Sp}xexk^^ z#n^YWF1a>tTv%&$L%6jZ_>uVdxwpOXOO11_T6t-Ic2DLA3`pd6x03K? zA_zatzM(?dt+s@$DGz9`dZwJe94t+KC~U?}=7PZJ6=YDTpNyujbPfZ7RY@%U+53jD z-G_oOwg$iD1F!b9cmF6|oHP8@8Qvfu5AC}$=bc1;-_mqD;60nIe&`p2ur90;w)`ZG zk>W_FtH1OK17H<-Pv{nVFQ^u8$S#*R!BiQTcQTi-R(K)C{f^?y3lbo@5vqMiXtN{g z1<~H+sqE7t%8hwsWNjZiB{k8tGH<~015uub28olyOfd+Iwqh3!a|10;)QUk8H3Wr2 z4y-L-7bkP>>YCFcV!t1E)oK#@crIZ(ZV9BO=MkIt@%do=DTr- zT3~VsrXFh$DEe!>JaYk?agoKG=o{2r@SLEn@vCB?CIj_SC1jXxOM!9~Cc?0KrAFXD z?Kt&ib}Uc;xPMwLDhGy(b-+isVj-O|9%>NXS0a10#Ns(#d&Vu<$`@Vygqy3)f=e7R z<@iKd^B%|XT^i~R|J4OQh3&$~{9~vn3)yioHBvtp zfmDksWNev6Ubt3KB&kYGnV`{H;=+yfwCU7s4Ucs=e|S<BuTAR8KVL;$v$Q*4|NZE=W4_pykkz@+ZOLmSXZ4dcYDoH*hmlp%ltZu8Gr z${&z%`51V~6u1UUN#EPg+gCabb2)jHjyxkC*0WafhGT3MT##yMl4sMCDx0_^C(K0u zf4S1kIc`bcPe2UKAy)m)H)X0c?iLiz?=4o;L7><%J#n6jJ!6`hM+bFl7&y!CZ(;aEp64VPoYnXR7937?6&uU~u_J z4h&$VA=ifIp4v1}rN1qsN-1s)@qMx4Dik_4O9`C<=Vj;-r`3ml363&v{E8oK&R`@w zLz!QJTE>lxaQU8Sj&NFv%91VBT1gBo2`;|*ExxTX1_FzW+v8>JJTL}zk3fju3~{M41nE53Ln{G;A0q*2r{~ZTx=v-TzR0g$5HO~`uI|%9a_|K;G z>wS1DSI*6lS4!$Z4yvzCk|0cMe|{Z*{zAdz4})VgUTLHd$QZ^x(#tOk=>Y)kZoa_;h2sPm3lwa+2qgYQ9L z(|XyzStIP4-cY6;33o6fua^!SFP7i8S;s4w(lYgMD3vRd$dKGhuoXdT5ylblpAD?h z_!hFwAV3W@&2;*jpmL|3zv(Z69^--gFS$dNN4XTI;NS|Jj{WUdP}L0V`vYkpvabQQ}#$ZuE5CStz@PB)hOB z*AU-w{YI4C!=yQBrFk$sA!Jd@b79K*E!QEsbjUXH155x{lFuVK8>_fVdA`Kv6j#GF z3a=x$1)Lz3Fck`gR#U-NKp;1od(agMupDa zC@m{EB7EkQy}+z##|e?{uk*lh`xN)BeUogA&d5bU?5DqKW3sALNuGZ^B-Jquu|~B7 zuw{?-SxTJmGFh>s8GboaWi^I)I6Nk9h*;OGXjxyeRS*3QxIVl6xTx#=RqiIG%U&7o zsa`UFnNF|^gklPJY7{oq+DL~q||UBrWC+|>vF4!jm6LJ#g)j? zjVqF`k(`(OhyUp9h=WA(-9)F0xNaVA%|rcFo84`hb@;shu(iBUZC${i-dweup@5`0 z(d{i*6)m6Fh2fZRH!^GxF*;mC#OvJ=Y&XL?N;Y6;^pZNU=(7my2fFgzE~m?vxx7ko z`Qnu$N~9VG5i-lK-zRo&Mo_d;>e()63J)i}6IvZY?k27Vo&V+)z6)%Mfu4d3eC^^U z?3zoRZwePL4P?$sC}w=ul}iPCNcV$S5vc3yIKj|<=>oIi(J<2SYgJFnZ*zen7lo5G>63tf z*>c}RTb>RY(!v=9R2sux@3K(YiVIFfYgoVAB&ZyBD8?(?2gMa?wH_K!AyK%imdOFQh(?{m6Fy(i68g+c?9vVPq(P!Y+Y1d_LbKE&k`0c9|;a^`L#RuaSyxwoC)0~hcv z=|lwkBT9OTEK&(c;9zS3yDTz+RvD5?p$<(W2f#d?)9tI{h*eB96`XnC(7X}4kCKH^ z1C2;LQscRRzjJ0p9ymz^f8gOnIjP6e&P{LXrk?bTzgqQ*U1L)TEcPXznnsN$oVjzF z(DE+ef8epFwcFZSE%3gDY+qd+xya^ZiM^I5ft1wH z7xM`J}GN@|V;afVEoy=$Nlqf5f+qe^{4g8zxsz<JGIhlWDBx9_gS+>2*hgaI=&yY~c;=f0Uxem&*NVCRyduzyy z7E23>OF)17jbPz5 z6wm)pP4CL?SAQ1g?@r?b@HeuZWJr(HenhM59S-4PFCS?FVz*HB_Mn>N)HoQf`e8i2 zN}6I38AwRv(N58QtkF)D;9SIM1LixVY~h7d;_$!JY=Z&pYdv#oR^MWAc}>0ris+5K zIf=ljqXhP+bgd6Shfoob9#fj02xVvK*;Lt`kguhl94hHiD}4E87tYkZ$ceH$Gz9_l zomr5_Qxvt}zeGEw?`uNvvNx0qXLeFuWh&IHySdCYpz7)ttL~n9di6#$?6pY|)7f>C zx3{#t%;g(?;9WsUm*bP5+9QQ;MizS2ck;TNEE~vm{TmTB@sUaIdRy3y94C0_i3suZ z!^Vn4x8Jql^w(uA82w)HK?%ws(j5t+)3VO8I@y(Po25K0s#)}ZR==Guz0$3F>zc|0 z=Z@LMVEg*^-TstY?w=Ki7o`7y#sov(<)=o?d>sKreAd-x-?G|jFmP4jjAd+^5?QCI zfRe86>X)zPAC({WqMKz=`yc)dTIB8Cj`kar)N=_Y9X5ffKSc3XI#s~QM5!bYRR3yS zi9E5&kj7Zmya=sgqr31uS1I5_RE%nalpR;JCdG9g#X5H{CS2s=(3t&C9;x{fHw{i# zi>1O*5q}2K+⩔#6d&|qjeq+Flftbh2ykngl5CBc7Z<~tC>0%0coy4qDz;cP~_zy zx;;VlGzezepHsXTbc1(`U^$D5BX4aU_OpDUX7O5uszq^KDtC!@n}`dD*l1V@I~R{+ zbhj1kdhPxgoe(GDeKXhX?Cv@ge2V2GivZpY?MfIp<5OejU!gzm+aY$+d&RznizS;n zj##Q>neokoduFbU)wKqp^GYotqcF%)XYSwdtjQNlE7#4PRPLhr<`xEar0$LtMh z>)CTaXrXO*|K&e{)fU2k(#9}rjV_$W&bZ}`mQ#m4($06O*?#A_V|v&ZHyZJJS9s^? z-o%pA=J1^xdytQ4!Uh>+15*1@-|zxN+mH-&4cpj@WYpwMEI#aB6v+1pVY<3jwuqw* z9QdQhbh^r?yQ;n)>HEb@9!}wyWiJehH$Q3sPTsrwk3GX@eld&C z4rUG!?fav8!@zJeO>pEIL+S(>4S=BmZ2FvMbz#pF!%;;{AhSzCVw&3N;?=eL6SB;Ft{egTm071*xEpNV%x z=lxxf@8g&p=G|F#r3$Vd2oHrM^qzAw6pj{HLuxD`!PsZ7NU~QUfsWia;%qEYAgd6c z(`FpLFRb|fVL!P3fpf+Gz-(uUjxYI8>WLLYKJ2kLB=p7HZFehrP;|}vW-Eq!hIF%MA>2XvSkdC_BR(g?!UO9cP(I^uQkMiK z?RSr+2tq^kH=`_;Aaw-PuWYGV>;x%p}=)k{n7_$!;FKP7^h^Hj!{IQeJ+ejACLO zN(xFN;u-@hzHQ%Ji25}G+SYP;1TN1vw<0O_<=~0|BiwxsFR177u8i`&*0)mjmAZQ$ zet&mF;c$fgO%FIzfyK$LTo-ntkp^1 zHcr5_ZWK@kUN~O{P7! zWa!xY1B`A|x9a>dH`9CFY{n3Ibta}b;go*>*3@CoXe+@xV|f00>(L7~ozGyjIpv1j zx@>^yj46N;B`GxVnN9=X-eTGdQXGY{tVdJvZ5-0CYm=9HhV7NCPGcM{{EM=YBq;?p znun2T0y;RJv2>ID)ws&x)4nE&PdY?}wHvTrDoFGEG!a&LDPru3{-A4X^_WZ1(>kFN zEu@P$g`aY7R6zeAuiEHZTloRxm3>RJ&Tuvw7(0Nv>JtA}w9FY_A&!s9B3z6awtkiE zV^VaVlucNu3{)qSKO7llRTsvCWbifG$&%~`IIM^4pkHc(jMpL6=fk3{!^QQa^RuV3 zQjG%~D*JYGsn*KL2JMYhaVXtb_og!_NH<>2(~q87<-4$}yy|hGm5ZmLk3I61AIR8~ z=9Xvnr6$KwW9D-EUJ~16UkWyC9NHq+?f2cQJ2v7R+G^H4zSmyl7XD0N9vb@a2Xb{e zuEQbBxr;=!4!155?)@YWPM&?imQh%bqLkHou&AQX%dOt`sQIvU`5C3A4knD~Rn> z)qyHxWzP$;-ILb#@x^EkH*eaLc$UVOS&59kQV^Rx?BE+TIL6nc!w^ukV~c)}Kd`Gg zyl-jC`(Vttn7*ex)^STQyGw6Gnmc{!ZyRvQgzJ}+u%^wvgSf%d+TBMf*SZbjR08r?)E%u13c2= z0i@{rQ;3y&Dlu;rY=8(;Uw)mTA)`=h?xh>FT>9SKUdqkK`nh1$VjU+Nb{}Vy9_y4N zt2ENZ1=IhhIIKH~?lSv>shZZ>abItqw-PeSG6P*GXZdLz!l0@5r`rKMRk@z>=GAmH z3|ihZT5v}B(P%qJ*^V*|cv@QknI+GP@V!5lBs|>~N~yY3U<4b2`gAAQhCr=2#LXog z?vshY?tejHaYs-iUhkunisa!Ju>-@N6sZ4iuvq#3CoEPLxP|7De%IT9^S=D^c%9Np z?iM8-&)uFhEZLCw+0x3QriI+dPmBP89*2gsoC6G5N%^t#snoSkVg$Uag}0ZjlIrje zaEmu-LGW5tEB<^+#I9A?f-hk#5Mu`}-aPx4lfjpJDv;UOjimipc z+b8BanS&b4&o*G;FGXo@jgj*&jzV2fap&XFrhHAoI`?SQU5Jx!MG`YN6W&>+^7ZLY z!(CAb&%gb=e!bpu=5O_i6ei%Ljqdys__`%^0gfEo-)8FNOhK2p zRo+)Ji3=*a-1`7%>Pw#CgwOd)Lmd(~D{zrE_IkxTNnp~r!eKRmjg6JoxBD7(4vMiz z4SMuMcgbYH!slKZMsEqB z{l8)1g8KJ9G~o$mkuCR2B5V1FcA@dt;L#~xe`MEscCZ|)tZ9f5fC6Sr2*3~&)m;p` zu;(~b-t=nV8*-N*U2tenWWePfK8SobkC~g(rMiMqG6IFDs+EkjnNlqlo`OeT#SF5k zC7BneUFrEnCnYw5;NO*C)ojxHk$EySja;6xxgCIJnX3TMtabpJb+T+G8q*IzvlKWp zugy~(%AB#XW<=pH_yO2wHSDGai;{Tr8B9ziz7ku%RCX;mf~d9D={$ew2o~X2MyO`t z(dIju58Z;$n67Zxg0KcWJ~J+Z(OnkwhkCUga|QExA19PU+cSZa;%G>JOrzCtVt_gv z&cnY@#xFWE_*z^SU$6SpC4qT&2NsOMSNN5{eJ(*;-Tn;v-+@^*+HL}Ov3K?wPP&qy zxhl0w1uP_#%{o*y6`wre&9F$|ET~ptlVcEtF}+wzsjxrBYar0#I#YGY_EE2NYEOo=)Zt|Xp#$H=KW~V!wP_v8FLhL|oIEiXb{3wh z3WU_*_u)}oZKKLo8g)Dz!Iu#GCz=#P_3)9+(LDc70Y8Ie?f7i*bup9Oyk5zaOR ze1+{i@=g{KRr)yz!h4qwSH-gY66A>o9@)m?s<89l2{?5uohb9541TseW=b4(+l*~$K8QtpY)J7^s-}TNVIT|iL+zH zR5RLiaA9_nz&;&oVviQvk{Nd+;SY_d;cQ;P?RtN9{js_5lkZJV56kr{Es-!MyDk>W zx)nrYtb|jpMKqPE)jff0)%=1T-!UV|Qfh%m#|pc(qcpTEt338`McE|OUI~E6GXDHe zMAjV7`!$Tz_U;ktzaz4|{~)p|{vxtIQv7E`mJ?|RjzOst2uCb3p-z@H6EqyKg%(zU zNKU)SF+l}m8NXmjWj^FkGQH+Qm`aj;GuMaQCReKlEdl#sAbDBp(asLR()A}uM$F&Bxsu=MSv}%+hEZ1YPr%+$K0f+?^$=h)hC1b`o4h`4mih7vS?5$?+Mv@)_mu zmB2pgxpRCids^0JB>GNm=Of00ErU^Rvzy z@QN~MA=xh)mQJ^L2K5|c*S1gjG0}j!@_z-$isc2JiQxy`q!nVyK=nCGe)y_nxUmFaGE4KgDDmCPm~#C&%POp$WTC=^!_Z(Chs?DMfAr0=9*B#KtN zUlO0IpLJcB;je^k8z|F9+#p{Q~6h3{|0(YBbmNCID% z#&;**JBzcmnTq#!z18VR?N3Vb&ctNwCd=c~YZ|)&Z&{3v`72YhP1niD6QzELPl^Pu z<#8tEiGd|MSTAY0z$T~>tIEIj16zbnW^r&Qvp#VgZfylH9NymX=K9N*GlI1&O6E30 z!D{58JQ1EDs>s%%8?-&&OW;uU@;eNjIm$pxKSf?MaV?V^kZ_!i^5#rQACP-M-Ix$i zqJOjPEHp@=s#!t*MrUl$^T7r|nj_O_(uz8yP)#+{>^p)vG!x4OF~%sVujoh5y+?3T zLX=POkz}Muk*j1T2X&6aH3iAt^@9AcOyd0$RVYPH37TvW71UMu=d1-qN^JNAh+64i zm6XZH18l0eTf}9Y2gRUfFcsH*nH!8wkSs5hdy&3F--IJU9QsMZOyh;1WN=xMFw<#3 zKq&}cXLL(`H@+SyC=6I}N+ZO+tViWwvZ7M-^-X9SV7!^-f2ujkV_b&Do-HS+(TOYo zEwLbsG9z=+U_&nZjcDqOY1()avlePb`*}+{Ga>eI1>z23crw%uF#BsSWIWJE(f(S)Vno#!$qxP$7zgTwn@{wO~3P97J*wjJ+B$lEo zfW+$919{GqT4>v1336(D!&-%m-MLNNp>t_M)%-fQok9X74pWGAykZRp}O@fq9j>I+dgko_R3- z)34s-5|rJavsx%Jd`wi9`HRM#IAoj2agHdjp2E&nRb9timH}_y*e)7Btqt;A%_B)x zEY!y@13^}t8YqxQ?~qld&^2Efim(fcm_VyKl#4@}D9p{VkYYc0uJE^nNi8bMpK#0D zgdv~4)d?4v!@)pZk%L`ygajOkt@XoKL_m|8qj1|d9r6m6wrtGWUUtYA!w|=GwYr4* zO$vY3X>w*U24TE9o<#(w<8a&av9yy80-ti_|6q@T-}mudXQ zm!W<76*ETdHaF_U$fe$4sj?F-TyryITBnDo^lmr(vz!;Itk`Fo%Nj^p=^pnL@&5v2 zB{Y}wSFiN2$PZl&eJd6!l-~v~3!rtaLM^F;TMG$W-Uk)6y#^@+&{%T{0gS;{=up(X zb67ej4G+@+ZZP!sV#pLMK9Z zr8DGaSbT)G1E5-t`hwTjBtg6tB`$twh}kSP2Dex+lNmpE8IsLuR6O5uO%`LU^Ty?V zhfp`h(Rsgmfw7Cg^?|Vy5r`6151F#$i2N8UtSQA7*&H*kYSo@C_n(bwStQY;fBY9$ zjCZ^}V$xzMhd@L(Rl?ut5KC|p-f>*u>vtXq-K8DOITcQzoR<-8z#av}pbz&$jiDvRDTjgqox zlH+`=EnRPa4qh+r*TYh*>Z8dp=RCZ%=Ls#ky1`eLJXP9A_3XAWe?Izomx1~e*=HJf z4o=UBXH)=l3yKsLpu6fcSB8%weU?XqIABHq(hgUdKz_Gx!P_sZf6!yXZ}r;)?ka_$ zBkfr3?aSM>Ahd!Qq-=8Gi+dHRLJ$(%(Lna;@+`(zF%1Ig&UyLwP41e=h!GXgB{d%S zfyMq{%mfCjF7Gx^vVu%XWTW&6GK~gtzfkI|UIy_RKU*kJjLQp{$jYtkv4P}s2!A;% z8fGL;0%$$cAh4B;xm~Dm$7Qs^PP^@G81_02MlW|!`$2!rvLj!x<_T>5oOxz==~;3INztQX=rVaVa1H1}`~R8u|w8l-sQ z;DB#RkA{Psfg^l=`V1RHCz#7@uQQkq?btrh-x)O(pb_If21T;uP+5hx;^k)%h#ZGn zf%Qapi4vrvMK`BiG;X4fm;*#*DySH^`dm>;h#(jg&{kc14OLWc$om<7QtAQ&}}R=*8cxL7t#v#cy$nB893T>qfG5GKc^vQ)_QEeS1YFT(Vb8C@8 zdIoHnO0_hQFo9&{RW=+%$!5TVDCvq7cg%=Cug_i7m7wW2UTrQMbTfG7x|Gqhl-r_e z3%d5y4PxD|u8I>>>yb7fDUWTNG1V3SndL~ZNt%A(slc6rPKmD&BT_E~ffS1(WLzA8 zVJQPJtbcj|7#5?9NMekN5ySe6O5YWPHHy*#M&CYIUPaF+;!YD4=Tuo^`mFY zoEu|J{_7wV^LLa*vZD8P*}xdpgtOmf@X&YNPPP@ zp&Khadltc~Mz^I@OU$W82ZOj2?G+xq-C9_rmse;q&7#JZQK*Z8Q-x4uy-SYO1CB0_ z249sfq{-gtMK-jKOSxeE%!f)}_6B9;JpD{p=ml&vZpglP-BeL;?=&-jC^5L!Pq&nL`D~ z&B5XZg6AEa_Xea^#1fMK%Vt( zcO8p;qJlsrO@mw{$M1+0gRWC}z6f5!p%)XDTB#}@{mL#m=3;PsaG>@fq+RAnj&Jiz_?tnlQ2lUR8qUy1}?3b3&bR`^? zBi%vviOBoKkm8LcH%?qd_~zzf;l9e!B7t|X1`{8 zJp7o-M1zw%t7Y8FEs-oI&r7UCH}>58H2sA@F+3$j z5R69NW4h|Z(~SyE^>~05O*X6OHoPdtLR&LM@=pHOOIIhG3=s@Fj>Y$dbKc@vrai`b zA2cOszRyE}?g1-w3fD($k%uGhz;uc zG-)0eZ*S=m^6uZSq<*5nrR=35 zg{ySIeJmVfue>MBP&>K($eObDDMLl=+uAAsr+QS!wXTjN|3C!N5~8-cu=b=IeGRC)CIsLlV$ul0)?jqVA2%J~`F(Fd~HeVuBxSf>yTor!|fdaJo^+gb@K zLf%xgqJi!uoFK1ccCeww94-qoW+jasd9FgkY`O3UE6*>}TWk$|D|3SEM(?!)F9Lg# z121G59gxii2WG&|D-&yxsmU#K{51#)}xPiuFP zp=V)ZfsSWeCxI$IPQaoI`Pq89kng5)=5UJ!#H7M7zC$C7{ z6Zh=O>iF;R`5j_8$e-CfNXF@M2X;)uQz9-f`C$LD1>@QKQO zL7^tu@=aqrC}Xg8YmZE0d>piXvT6UWcz)t02{%zasMpO1d{lz~0hv!X+d$ z@#Xt;vY2!+KYy^}F}-tUw%2_#;hRB#2EKofAILRQGN0V9Oue*Z>wIEfb>2$_5iz!> zoQ;V??ac;rYYVh}DMQD7Mg(t1okqni%1VK=Qx@^aeaLT^kx#M^DZkezQH5Mo@JLL{8pC8C+#`@R;8AXD!f*R?@+gwVU+&h+8mNKWc z9WrId`>-4`*$u6#Ns`N`glwwQOJ+6`v1&Ac!~VI7+{ zz$eUgGXng$N9@RtJ1}lbc1(hWpf5cR$(YxliGWVjb#nmW zZZ>lfEL{2Y+$ZshyKbt({ke5AIw1Le$&bTJ^hpHROV3B{7c&lLYP>qIix@3Y{h;gW zx3IXasr5AyAYpAyV$Tad;!eg+1`WHb_f2zsE0QC4JL;27bMa5j45`#P+|`?^ZI_l> zPEU2*+-f^JHT3nX>*_GP5LmTWqJ2w;#S{e9E5~T>luD7*Z466CX*tv?du80z;ikf< z%4ZVfbh}9irefI0`7EWbKY4u&7fV9^O2&$xWQ&eZsyKFbcjWq;xYthLewu!&C^1Q! zU4=D9LdIfVYJwh@CR3b@;)_*@8788F#r(D!V444SEB5x8HWhl7PpCYpW0xi~J2k0e zo+eW}DJj#KCi8n{Qs#G>Oi62*Lzd}@#=0e~6`LAcj^!5IGo4ts+R;vpJ@BuB)KAZ^ z2RD1aV=tH?uZOA3P;uZWXe@*e_evrWAoGwbNm=Q$<5&Lh*%>nDGz<&HG+l)yt+d8( zLF%+ql;ur7oN1@n%bU7gXyYLjmRRTik}{N$%rdJol;dCSFSDGa^HW-8xrMfsn&M0c zgD)+~tu`7_ZlY6T#J|i;zuLq{^%~ZVYV4osjvopFBUhJ@6I^)r()(Dm=3uL|AJOzS4qzr^yZhA!YR~9b$;H3Ln2r#lHYa z+@a&~520{Lfc+R=zdwf|klaN+3Y|K$=Jjy)vfgcwsAuV=c4AJ9z$$NJN=7buQUL2C zkIi8`7ixgUE5DlR&9Wn1D&E8MTCfm|k+WHSTd@!#1HW7bS9$wO_B0_(K1QDYov4+i zfjllhzx-_d#`l?D{yVM3vqar0Q&#S3{X*xMcnc3h*2u{Zt}c#%!`~9)Ia@FtLz-YG zqgj^~u*g{n=4NEa-U+|zV6`PUgX?I%hi4VouAO8homawkmWgL^1=m?89>xMPSZf6c zlCKI#ETrFbIfJp)tIF_1+QM=gvkLGeXY}OfV#zEUD32Y8Et~%G=D)m^@;EDrO%Kgg zej;=8qo3Q(4Tx?@_3y2icaxH&IgQzv!Y}ezRn2qM>uSX^@UhMEs;zG_i!g=KXoYAk zUg+;%lSO?$h(s!q!dyv1kO)Q*4^yGKzIqrEw&CJWZbe)_H2(IZZ8;C6OkvQ0V|o|g zaxHS?o#)Cu&MtL0=-ZZ~+FXx$xKB#n?I}^K;)HXXv`+Luxxg9rk*GWw@sYUtNxjAu zeV=;Os*N$<5|t1!Dlsl*N@nGw>v|$Fci(!v5L<9=KVOPBkm04jSR~68j1uej#Fy45 z_Ozbfr~lMdtSEKze(>;Bz)Oo}>9ey>iOy&0*OqnsT#m6YhDu7ev~Rje$5SG=55(r; zhp4XtFkXbA{K(n-7ok6Xh4L@H%fB7>jpvU+zHUvHzpwk8ylW6W_bnyAIo<)@$Ibc6 zuK{f$J#=Dzn&|y?uIK+GhMwZzU-i4#^t-A%In^&8te@|i-rnyy7b9xFx-7klVxaQl zKq_9Z7QeIhZA%jl-5TDC6W#zk>}S`H@>|1ht|^dLtc$O#V(%k9&{vxV-CVk$&rIeL z-CXNz&rE*`&4NJcJ*-D#(ufQuyB>W~0%ci?rZkqA-kTIAJ0E6{ZXBwDGEy`ZbseV2 zWD>;zV!r+BIIXCAqo!z{cFl?1*otdwvMuimC}3a#gKEpWUciy7|5z(Zn~R}|`dU*8 zM|0LB`TcN-I1OMT}^w zZq!?~LeE7!5pIl0vMrd-vXSaP+}xr8)m47#rwQ3{RvONvgeNtWHodl)y@q`5N~SyI zQKmbsb9!{jbux@e0ken|hypw+kPKtMI%>uSt3;(`2uCR&L6OB!vHt3P;!P3!i{YgA zF9)Oi z0fi1O{VuLM>kFP~WJC%BMH&1LYp~sqm2QU4URCaFdYEJlEHA&KyZV7L*wc|T@*h@) z=)<~4;7GNAfQNdOlNqnP+kv}VQ+4u!x?rP7;V8v``NEOg%{Da;)|Njn#oM=o)L$72 z^}FvrPw&_9{F)h4x2}wAksZ@?hpg)vQ}||#Y{?zdHb<;(nNv0Hm|zqZEv}#r4wRaD z5UinrHo}*HwYpFfg1XL9ysLSt z#vNJpAF&nXA3jOt>RhZfJ8rftN~3L|Aj#sw3peC~&Q@NW!9y@IeM0>YS#;(i3*HBy z+S6H_OS0GsMQgt(Pp`$BEc>H>xi{qu1>To7v3ekbu%8Xd%i5Xwb_VC(NkK=#3g3(5c%(HMrzKG0>Ya@_ zgEP2ku*&Ec5)M{bY4XjmV2q|KH^yTi!nfU{=4IMj6QSC-)Z_+e}w<=V0wookQPct zgDbq3nqm5>;X6GW-mZ{q^iVdQazv@uOV@bIn=ILWs5VH}y2}dvmG@t0I5J~cDsvbn zYj`|sI5K-!DrXob*K8e9k#e9~`qJq`cWy~%rJjk5MSfJ{5*^)TvzJ_o)^FF$)BWN} zFVh9R(y9ooov(EDXSzZPOai2XIVSCvnoX19@Ul%5UWbzJkZdC==j3TNRE=tUQKf6r z^h*jRmF^#8TavY^awiFSbY!YsAt+>FXN$V>#XW>#e)(f=ywZ2RXuCdq!8Vy4R1?Bd zebpO1alz@CHJ1}gPkhrGeRr9Mn-$mO$3ndBri1@k&5r==FDhe6U6jMBSWVxF&srL* zYQ3kn#+}Nk@L!$ezOcU(cj%mS9^rB@d%?=X>iez#=?zI=96VG4LQ>Fs!6;HMVQ<8x zcj%N<{s``)lg#4`N)q1EF_K7IZVjh3k3X@*A6a~xu%lIF?c=3z8BtN0POg$DE%C0; zpi)+Oe9}W?LEdT3h;Y)G7UO0(B+0?NK~aeDf+-*24_!1*5=tEjp*PS+?Tq`#A~eOy zbUJ7q8`J5e^DS(#h%pD#g;XJiXP$TT+9rxHJu_*{p=|#um&P1*zoVI_I<}5FxvnCk zjykWdqPUK_vaX`8j=DMSn_l8TlaWd@>1%oueVXrZ`O+@)TtH^|xc?{qDj_&5SJA8@s4_vN_Hlc`q9&akQ!RZspvhQ5pyElH$h*v^}RW&O&b zWsg+d)I^|+8%DcZ_T57L2L|K@M#~0<`DSAD>^a7(tb)Q5lPC3y=5g)r$N7MPA-k}X zzGJ?|CfyvaKkuuCdkmTs(1eXF=kLlb1Y8+3UX^i|67W@@!RT9}4{|!`tRgAEuMb)q z`*1VZp_PZ7?>0Bs=hO*`j*IuX$rPVzYJ6L6t}@hC)?KXfH;1&BuYOMKc4REoGn1qF zGTxq)noo;`!;^}Iqbfts$|~~b4DCee`Vj{eim=4ek}MH8aCvFtUYg2B-I}E=jo;_! z0i})u)+2@|*-koFn$Mg$8m~+%%G3-i+T69LR4dkhxz}GF^_OP?Je|rXS1Yvm-TY^& zINx)AZnYU|7_yl3&QL>7P4ZwwC8mjr$|G|Mx*!6@Kjc8oVb(+383HOBbB>08$gMGV z?Qf_P!2g6OPP^QEjkmAERC=(+SRRAs^h2HOs0U<(Z=8-$UmN;l@>EK5S+27wX<;7M z>`k|3tkFtUXO#Xu29rs)>9)@bIQK*LJ*MoB$1sg%i=sqEE8~x7#3!tj77z+OP((0n zlVPj#PJxv_1=^*~`1O^YT|d&>rPfXDE&8cW zW0s<++DRwB;JPv(ek_TUx(Q06{S%~G<*HAZ+ns3rtLu-yM|0t%?gwq{)u~@kRRFJ` z8W3IWbrCin2rNIHmApA~)z8DV@r5ufTTr9Ok z5cGMeR!-w?VN?|7NCY4}%hGPB|3K9IxiTvUl`I?;e@I+;Rjrc{e9|+1@-cSuQF)jh z$hPXJquRgdm4$YX>;FhiSF&gk^cC%78?#%Tsj6qYi46y}PLN_|r6T+zpLlC4Ykcv^LGfr8@A zGp(LzUbxC#xWd=1RaiNoA(&v#o#I==?bhOktwaL{BZXj;@HZ1HW6Qw_0K8ZoDc;RokAPrqJe3 z-cD11{1$qqrzp+mB$YNWqS9!?oh06Z?mQn5QnM{y`CJ6Ca`7^5!LoZHr(^;e4uwAw zw2rZTq-0}Nz-i7J@Jn{Wj!czxeLQ8lPF}E|Ci(m0A$S3xp{TMOlmQ0Vw}pz7XFBti zqM0>+bs0_O`}?Y?-Zd&u*#hN%^%hNj2WqYLIg|GsJ-sr<9hdvxaFQN`Gg<$of{0C- z{;Qwh&J+(()n?~T{hwG1XVq$i{3i%CGKbOSW)2!MhZ5yx7Sb{n;iYC4mNFI=l$f31#*;@+Q#>09S3T$<~XthTBS{P zfPR6Yyh+Z5c8ah3T5DU40ZsoenS+#>F`$`W{^i@{W(<`hc_n{z2{9^7b?S|#G(Zuo z_NUa?x*?}npR@}AS-MOG7{7r>SOR`&2<1-><8PrNXx{S2?8cg>BcV2uTKbL(ntYQs z8cv;SiSDQa^vk!v*uSMxlvdx}dIQ{i6WqKmw01F+osVZYQpxcp+`|%DPQRt%}wZ)AQka+C<)xuea<= zuHNEzWUa;Tihzj+3{>sO&p}5Fm6MwG=wnL;bt!G2J7b0I;_Cra8(5vd(i)0jOd}o- zDF}Vle+<;Nz|Cm^-9l!eU1)?!0#K7Q%cNH5P+c9R9~k~{EapF)ixuENzBM2Q`T?{b zKuMTi6cjA|8p=i{!OH)d>72zS+**FNzR7QOt_}P{{>Uj4C%}UQ1_Q|=K+AYa6*B0g zvvfg7?eg-sj}PPv7%tRH-Tdv88v|{A>U?t z26O@ccJtH*siv_g!s>3lvbK|>u&|PYai+SuoSA_($C0qfNY-8|K;p|IX}R=peOfBE{)r9 z2P1ZxFaJaLU;*%7<3+30SRZhWw;0GwwdgAVf0gzw3Ku5c30!4Hs0_R$x-uH-z&+Fs zfU;ly2LodLbsf6uZvv^5(GdR=b_ZNjqXm2%w9|n{t*ufl1xOt#fxJ|u{CQecPo%OP zKop=Y1v10)uZX2)H1B!BnH!e}>qkXp{(QYXxds{eFITc#Xm{TFwq+*_QLw$NwfWE>VCJ`kVww)WQ?}@?J+n=|d3)v!$^Ef3oP~~yT z5Al>g*bYS6z1t{W_Ye#8?BRWs+a@kT+GPm>PvVUngFx~IVg|%J1jIA$Nu&!DPvy;9 zB9A$_p~MT|BQJ~a-#blfLtm|a@%a94M0HPGa?y=TAE_Dw`N^h%SOHPxhZ^)J&s>u) zz=?rp=uf3I(AcAv#NlByej?VCtWo;FIk0}W?W2zO~|`%)xiZ?wmf0xLxYGp7)? zlXqY&K2(l@g=#dYWRh>l`ROeB`??6eTe2&76-YG{#G?c8naS<=Hp^!MNd#`iOPI01 zMO{UIF??-pN;v~GkGxaZ-!6pc6=3|x{eg?)A!GF+R7P*VL&b>qB>8(UiNj^StqAyN z4z4y11P)>4wGaos(n4I?L4p1(Hts+3aSyp9Q)u}CI+6Fn$`~g9P=UtJ#YOcVkyXf%1q&a|(c$KA*KZE&dl5jP!mLILE^9M{jzjZQ zA{!v#P@!~4L{(`%9$SaCyPfG#EOb;Axu}aDRYlJnh#XxPxOmNV@E_|DO6BU2iBhff zlP$nt8ALsK`}XEh@j{ebagmb}32gzLg_^9#M}B|*cP*Wgsm`~nxAh{LzMc_9xBz`I zKDA>oeIX`8A%*wQy`$H*l_}PH#*%h0cK=pjMdHV?_JuB{7_Y_47e^wdqX)e!jkvl@ zU`s~qEFKBlPlCFbM^*H3>Q!LP8*l!8Z(4Q)9|q2@Zc+%p48Facy>hQl`o3MAyLJm2 zrg;z^lK%1H^E;-`-mD*8 zJso@t?7|NBrD1MfcdSgmozu=q*;>`%1#@}Qf|D=srx2iJ|LkXeN>*^NS=(IWxSQym z)rZj9jL~P1mrRbNAtRnvOMFXO8KRtw6Ob>MV3fpYz`AhpHTd}sZwqU)Hzx-*rrv#|@852{2zNgLw(Nx;Tnen@VU zfDw^ivVi5&zeAvbctFC@X9@>VpiwuNff0zgI)>f6w&hPag|sqS3|w3y1djs6@cY%) z9RtkOlJ52-KJ6e^T=|(esUHFCaHjgIy8#A@+Ne(Wfy5hTJ_5xLVVfJz@yShx{2^XNhY``O5OPZy+lHS+p5DB$bsPQ`k@i2d;zb|fnDweN2Y!N%l<(K0_^jc4rK?;cz{rFK{0Fe+6 z>N}_yFmV3roz-h7#JF;^eld<@hVOX;7RE_l+%JnleR&57$0IovhyOk~R-mKDQh^5Z z;@zP@WiZ<;bM$(BMWa=k%bjWbd50wY1^br?_RZOK6Ud-Xx!zL^Ftg z&ka)O6txIET-}&@QQrv%4tg&%2N!b(O`^DmpT;KaO~D6gTnqWx(bm!XkZA~vrdOfYW3=jxcGPrj|!sq)CH z!_r%hGbNYI%-;Rmphk5Gz^BFiF=qK`qL9z9e`W^j%a@z5^Pj$neDO$rPQax61wO9> zQ#Sc|F~jX1zu~~bQFP$J0tP5uG98!(rKXuHYQtMIsgbggI4{q4s%YcT>0&l zo0S+g4y`&9nJ6G8*)w_riG3_gJL_K<^6 zPe6cBWP%isqk*KDfdwY%=^lgjPicdkGUmzKq?>LW-+2iHytsGjgf#BP6@Mx6tZVta zLzMB^qQE+y%0LfjJFlE6h5LlgBXrZxnyJYXP+sbqI4khvw6xU`l$i7C%xM-iMs?qR zpv*0u4a0-;!+r#zgFyhPln%UcUN*!AY03%gu`hDsVHd+{)ZuPD$6GvZ9l12^5tAvt z7_ap{+Q9dE?ROWXQAx8T%hohV4mDfdSeJo7nKpt9>32IA;?!cy46Hg*#|=E7UDMtwh}6J66P7^(DPadKs8H;z4ibbi1+lt=0LlLZ>M4%9 z5EMV^y1mFk@E9lu-3zu@she+q;akQd{Jh<1yR8qj5syaVZX?p$KZ~c#)O>#ANEQRAIVUMTxQiVH9Mv0e@oPPcK~KNkSmUn}I}0BL|&~ zTNWaXvN%u@b<+Py=6n!e23{T8DT0NW6BcBY610Cw21F~P==CZ4{@b7ygPfbY$*0%7 zgV(#ZdM*K^@bBSFH)o31O?FqX@18twNE`V6d`!4rRA^SH#?^T(wCi5tqV}LSzN7IB zlrJxB4;M^CPQ``XzXG@K9uaeCnxf79vg``Z%b(6p;`4ue5Ga|-TymS%)qs3a#V#?~ zQN?@M^$;yra&Y?cc{_~}>VCxU?tWm=%e^`?46DO)Ld819f;%df@Ed{#2XN@{z80N@ z*R_t9c0X4Lg#qKNZPa^wPur9Oz9!I1R1rfFk!d9|mvy%xSXYsD);Lt13BXK81rZ@O zah&s0@Yr&5yeZTu-2<0mzQh8dvAY<)!KUm@4lA8&w>%|MAu`b`bJS@F@u1&?;i9&) z@ZMQ82IsuCggMN?;bfX3qU;R1rTT$DNp;y2#~j&j80t)I$z+N94O1X)Nz0B=U~WlI z5)!(aJ>-j>ejRkW#ooftkImIhuAGVZ%_y@6a+o|zBiKJfCcm33Uz<6ULZfA#t&*N; z4~&{@6dxh3q&M~^sAkt33|Kg8p41Mci7V1`q}9!kkNK_XG$7L)1!l_47|GC;L*Rt? zSqO}_E12NL=7rkPzp*a6Ia7wUtgfXcGfscCpIR}^J|iE^_O4ShhjkYG+>)^X!9!Wq z*^norhr91v%^RQ7Xu@#cnN{6eR|&zR`VITHc~>W1Bw_3cly|?YJ@ZUV5%V2cX4Pk2 z&QX)j8LP%PkXf@cVWKMUP{{`vXZF~R&nMX4=&rdV&oYYnbvGG|Cv?(^&2@0)<#Snj zhd6iL`=_i9k&9v}@*i{!xO^dbfRjyX#@x19Fm>^_P+gg;;H~g$Z9+0Jo=2Az6o=?3%z z#j3G6)xFb?&Yh(#tD;lJS<5Ey=bdJ1ia^4MiMA0KdvW#lIoqvl=2j=P>`?Et9wvAH z2YYWFR7bEb`l1VWcY?cnaEIU$K^KtV?(V@gK!D(G32wm`?h@SHU4ldIkiE~|_ndcb z)vZ_Wk9VtX)lBzTcYpnLf8Dcw^Q{@WpA6KWSY~c)zY{IJ=WeVrwKq{t%;v)PW7j1| zvyAF#(#BZ*jx$h_b`3c?=0)Yk*EJ`DRU+0kCoqtvePwcPab(h+QmdFBP*ybuV-aS} za;>gipIa+kMF*T4X4o2J`S!+j*wxA6)O2tKUPAq7;Qer8NoEC_X&au1ye=s}(UmRN zo(mTTK91eb6#W>xIuGj~&GFjCSIRzQxP6Pv%_q~%CwK*H(LHaAPYrCbW0+KWjTg(A zq_y6;#ul(P@XZ-Xm$j%E)5$j6Pkz-WQA)FqZ)K{U0xb^l(3O$*eZFrcEj4GISuX_} zv+y0LR>*u@7XoNS6UDLl5!}E6*|CmETgxwy4jFY zc%;tI^SgT9d4nO+vXL~f*dQ+#Goh<4= zgSZavOzgQ`J~kX9ZxK2Va<{i={T{5oQK~i@S&W} zN_ZbzoOf6~-*(a|IXzb5v!RJf_t^&jWP60hz+h|s+w(etNYYg0S2-u5MHOp9S10`` zg^E_=H<2uw`I);9w$otETFXjCqu8dGP~|=ETq4KKcVg=@P#P7J^F@0pWF|haFQD5r zP1M-}H?EFV^xKb@vm_;{+CkA%7p0I8NGU`X%A;R92rURWgvF=kXjB61z?ACMTUKI8 z5^n?7*wt88j?_w70f}tdfs%B2=Li{PnWQ2d7BF?{frHcFnj0m#+75K!EKq=qEO6%q zl-)bdUIx-l-TLzdL_(;Hf>uA8%$q@nm&O=6lAZ(qfKRGpzZlqz?`;n0!}q>;W=@AP ztqz1)CBPm22oKNwK_ZlH`M&q3Wo6PYgN~o@@T07I^$Kpv_v87o%J*9c^BRMwO2o9F z1le#%zWPR6^dh>|J9u*e_~j0DhiPz{M4vbqN*W3aRkRTh_$?YBC@c5c!mz&;_u_4V z_!nuIpKsCl63nK-d92pJ^^rAOficTM;}OWUxiE9g^1-gx9dA0y5R^9ETy>IQTzj=0 zxnV22%89N0kKUp2DM}=&sbL-x;CcehkVp7nH4VN5PlHWDw}30q0WF|Q&;wh5vWE%y z`QuF9y$CRZaasmiG@1rmEn!gDw^&xP7R=q&jQ~2vc7B5-E?#F@NmL23s|5tBt01<< z0x}>Bz?&(B@WGy)(89c|9P+YIpaH}VN+M`N8fvJZkDihs@x)7@wO&;N&E&u2Jq;c* zeZLG8>?8Jt$Xo~L3^hPr^^<^1nd-61BJkhXE1IK$d$~gknTHJ3i-+cB;*2m z>h`B47n@~3vKiy7F0?l_bwf_*QYGStoV<)n|sPlacP+(fGXlNu6p!Sr`ojITcK=Gvzx)=lA zxwaArK5&5yP#X3%P=z$?onW9wNKRvab7IVur2o?fTI7K!Ln8osL{~7X zNc3&GA_^b_DrP$%eI=NOC;m4)?e{N0KSC^aoCj6hDuomR z{n8|#umqK{*FwsC{%@WmE8JugFs~o~ii$_Hzn=xDl0@ny-%nruydky*x3KWc1+rTS zDKqhDqBrAP8`RrDa^M?S8?7Lr_}y$ue&M$=t^S& zMW7)Yu(oBs{c;70Z&y_cS&x*uim3q#dEMY&44f%E!28@C7LATeq0ox5Nd%aHF3P<} zh=}TsNErx0aQmxepgBH)^wNmzZA?kpapk|rQ_hw`OfLWtYd-^8aB}#nH(sTSpran} zRtw4tK74$X+#SjNx4*^Xs7jee$7rLXttpi2954>W0a}n{48ul7Hhv0}90L;Ln)m@B zIgr;;+!A0>Il%gAulw&P6m++-19Ck2tD3uYpK0)G;Iin4b=Vl7Y6Z9??e#!EQVXI_J7)^D(klIT{ZD2F2aZ!x?S~3;Tme!_15H5` zmj;-?JZhO2VE?CbT(HW(5a0ylHR9R?WVQgwl{yB;=ekxFtG|8^S3<_}Z3-Qx=vHq| z${`OKb|D%9m|2Q76;#e!IIxLg+t{D4VLH16_&_gUxGaUd-5RB6#F7K#zZZBhvXP;S zP(b({p4U#-En-y8fH8q|@$;9#Q=pc|;Mc4!zzFt*(9TmL52%b`FO9=JBao~bNIy^s zjG)O5vvn>FFIM7%&d&lUaMbwefbJ(Ctd4a~#z&yzzwMbY00V;|^Ow1JAT#E2U^JnP zw4nhSG#x0-$&2f6)0(o1M*n-p2F$?v?>wx>J7)|`t3U}r-hf33cus>K3PHLr(*>Z@ z#7a59R1-Pq0eKWty#97%Wh^|Q55K@^g;f>{gGEJL{Ay2Of5d~LG0zsq_csaOwg4t6 zem-rC7Eyt3rS_Pg59&b}hbQm9>`3$71i9~>H zq0&WT2LYz$L()JtQ;s}tkK7cHw*d?aK`{XxP+?G1gx>c5p=4dtj=EInS=0LSHvu%^ zaE?F~>41im)tv*bComg;Cu?@e#=|q0fv~Nu?S6}<1+#?8Zj`V#Q`R#a7<|*G4@#mm%o%Fdzny)56gdm4hGXw28yq6 z>*3KZyx7IpsLHZ3fD5R!%*kT_i9lFI%%`~)7QYlgIF%Z1v#|$iNuX}lm7gRQ z&}3~ohEy9THGl(*;pc?}Jb*qfJ}F@rWxd!4&?~;80{z5;$W31t82{4h=63D7egO(( znW?b-0?7Hj2Y*4~8t8V~q{r~CK6dIUBf3nc~tu^s>-&0 z#=lO+`qEnFUk^dRAvTJ9c$Go|X^|L@Uc}Zt4b^yeeug~9?YdP_%X0WUQI*(_UZuj@ zvs4SB`Sk@)Nrw4a*^g8OFxv!-c-c1$Vg%*lcjr3rgR!$rYQ8P_5Zp;)ubC$FVhpyL3~Zgk=@ zm54vEQ!K2)9`7anG((wlfvf%zt?t8gQ%5#oucaEQ2`}Z7B!U&3^Y>o=B|W(Vi?5lb zf?2m8d_NPWK3*VJB3~x$rSa6-r={R^16vQgw$G1__Z%4oJqfp#?@IpQFZqUf%C@N?X-+HCy@#`?; zqM09|8J{J#J(i=s0INWHh_P1&;DlrJchVCJnG&5fQK&Pn0#B%HHVAABIgzewxy#P# ztC(B;@6G8-UR&F`gk0=Ce@!wY)}eb{N_ro`nr(*-e-&Ng-$sOw=~^>o2A)+$b-nA( z-o@_X98&g;dO!RO61h;4;ZV;LXD5gNcj}ctCfO;wwjf5!G35#cWfCIss4_^BNj>uT z_{_J@W@*YjtcGe4>?53@7GqQZ@)2G7WsInlYB*lNwm$m> z>2}%-#<%qw6rwoQnbii|Ba=`7mFahA`;n=uwQ71Q!)mGLSAw9nk4U#>3jQly56sY~ z>u_KJhI0&#q1fjfB8&@qVcPUFI7i}2BDrjO!h-&Nr12<|b$7m?45}j9>N+vG%DOe$ z3e`WveHthQSGWq!u#R=gR*Yn#utG+|=J=IiCr>-mjU z?ePQB?_@%$^*0c|t`?_UGZqWU*zh%Ene?6snxbaq)ri%ONOS35&t7y44m)q1qhB4>yC{)aSjbyVhX@u{(W zc@i{}wfJ=SGc`X_!5YV_xqVxNBgFfuKL?c&=M@>luvlNu#i&Bz#XVi=koAfQ?k0H+ zk(bi%UoyTwl=BYpC=+k@Q<(S3HgFIHLuBD3P(;lJy= zO~5m$dgq$O6tw!i5#uc+nPJZg?_p-V-QIO|9pYv_6FN%LWTyi-lLlVOdPD43t_Md+ zE@W3e93@RyIeC3LMSB{Nun5QsPV<7O4vn;S&>x&It`W3d51ue~-1L;!b*N?^CoMN* zo;w{@qcl+j{iLw*UrkAkIT77Fr-iT^M<&yE$Mi(^ zi9$Jl1btSv+c$r^{Nd`2B8lE&HaVw~t$$BkYxPSxa`3HMQ0WF?L6g(SULkxl{?v#p z$fYJ;V%hqmn%fZ3l#eSYZR~C?(B`z>s_;V@b`Zb$YW_$)U0E<_xz3yco$be@{AmKs zc2z!2kEv)SR1rfAZ4Xj}iGoAm#(RzQY=N)1^mA;qgkQ0*-XL{q0C%Ah=hg7^dl4od z6(Y8YSyhbb8S5h%T_YLE@im3n3f*-SvG7Ik@fYphU+p`xBSng${paPFz-NK|zSi){ zqJ3}DccSPiS2a{77A?|%;_GSk`(H-q7XPtpW&87*Kv(VMva5Yu=>Xi&&4=fkhF`+o z)FYexzl2$fuvS?M_uJy>ys4G{MDuj<|FUk6-y!k+YEYdh+k4r=v)Y(E%o=IYc7DV) z##(qMt1s_elVZwk_gKZFI;23JoFL3XKhH`(&qh!5HQ{PVq0{k;v2GIWo$Odbyf5bn zzfSb95RiPr@*_x3=`wov%e*^qR8}Ay6@St@&rLD0I_0IfFbm-5Bg@31@i)8M4^#s^ zJWZ~q7J=pC4AawO1x;M}TJMsgdVh-+jBpKkwoABtk}oM|Vq{%0fmyiH)n;pf5%$;o#)`(+OG@fgxf4~GVA3^g+z@7}cS z8m<`yxS@DY?r>T1-)+M9yWOeRkkt2;L#5vx5fk8ZFL zu60=L&53U2Te)pJdwi%4nnAE5dq8UpY}q+$@c9~xeaoFhD6AO{0ixvMgo;;D+6I_L zPM)E+%vrBriP5cy^xBE|k{xFC_j6Jt=2tjFDH!fURTq4eQ@D2~#56c4x~)c!+t;KG z-HO7IO~JWPwS_i184&vkAN*5J#nlK1V*-tXf6`~l!*UN)g+_7QY^}n=b}l9OVW1*9 z#gZO&Avy)7PX=aWhc>M#-J|f!Z&^dh{vz0q=|>=m;-K$GAbklL38N}ChAc?#UuDNx z6jxU4Nw6IV2_AmN+L?Nclod}oykIAWHY!ipv?2t;ASOa1);Fqakkl=1QrWq@omENL zPI7IPKmO8V^Zxk>+|qWq1dB2acC1&qR+6P$((n|VTYUdBD_r3y!JBoC^K%@ufte0`She09zM zB7+SG$P5SwV1<1RA}4hJ=#MIJz9*6>Gs;Bke=99Y_!7R8CB)3yYeIzGz|qGu68FZQ zm1c-!3+JE|oq)#zb<;PIb^=!sNFnZ}ghBsIboD?D^Wt^x@NeV?J{u89Iw23`P~rVe z83=pBfSk;O!=TT@WdnfCZbHmY0|##OE#huIt>NNuEb5Fc|0(1G>Q+cE6_W<=%CX>0i1|Wi^d=`tMM> z6f%pW%G1xf(1G$N_YN>bjPxFJSejTvp!chrKKA{e0DfX(i9e zLL%uyNs*5E5`Oy|reI#|rM*3=%HI71@-#60cT{gxi6BPPQ}o6MTkJkY$WJb#J#TbX zeb=t!vY{5*)&h3v7pQT$mtD(}K;JjLS6u|1-FHth@Cs{jIB+suP08-=mk=FX;<4+h z;py(|;q=)_nMfe+qtf<#X^>3UOl)Ri8fG>8|ZS5)ggYhmKYQ$v)UZ*MUfyaj>fiI zB$O4(+I`r+Z1eLa=sCm>!QeBw1#|y9+Wd@=m)G0dXXi%Je&=fMA?W;lf7j^qD?)o2 zT<^$qQLM(6rAKZ(zk;7?qa6}I4Q|F}Cs7XgvwS;K$E0cCloBq9BJ0;CBUM=&Sy$mS z@8&*1^fD1s)O+t^q#|4AeZEw*$EY9Mi5cjXo##sMKQm5B_*6-g1|oR;Y-#VKwzu*4 z+->5s@v8B$y5pD|&SVu;;-Tg7mW*QZ0sLJ`5J!;>xicR4iH~gB9T+%Yo1JZn9AQZ} zS%eQ4fAM?vw&HM~?h906fV?0#rjc3w`EL`&cj!C?AaY^bz~vBdwKd$PXVf_;u&O|Gxk+Na#x5-5uAbhN zXKF_Rjz!xHpn^6ixs0@3ZSZLYGB{Ep*)na*L#MbRtYQw;`sPCGY}$#2)}($EpA^3o zoHT+7H7Rcn1NL3pVy6+`#$ov*zJjS#jHcum=Y(3~jNv=|<0)upDB3gTpDE_N&$cVM z5Jy>l3%iVh=*-RJk4D%!+t=noKOVv}YvVU*U3vTG=#PuFJ}wI^O)jI#Z+fDDH0pT{ zD41Vx;>ShJ98i+A&a4M6ceJQ<7bIlfBsv`9anw+{udw`Ol;NCV6@7_=YsQzq?`J!R z@7Zk4TBRhO?x0%0D>u5xf$9mkVHYD)&$&RdTW)T^CkH(HrGuOX{DZ3}eyaH;8j=RAtWVJ;xU7OyvB*Se)4HtNt6Z_~jD$0ME@ z(3l$vVtMk``eh&%x5tylQY2Hfu>~*1vTxQN%l(PZFfiP#$RNg)#^i>p>O*x@0o{!C-l$CBLxup3MoF~PVfdJM&!u$NaR(l|mt=3A4^5?enOx1_9;-oo_L($gT z%4TZnMsmZp@`lUbS9g=DvG529W)cr{Xv)Z6nc(4Zn#Ol^g>N&tFpEFdLo(glT4Uln z)K|&o>$dv)wx!mYnui&1P;HhPINx_AHd>h+Ra`z0x~=%-;s17NZd2N=(dBA_vC&!e zTlQTwptA@B!86ClKRexfOBGBdw=_lX=-!w=3;DM7H{+ulwWq9&2ZA|;qzJW?Fhi(u zg2&j|FJP`4rli3hLLk0k^xpNgtj%Y`9=E%duh7{O@zu&5Tkh|S{^c9b`mj2Qw7QDyYlXmCu$=b*a>AOTZ zg(jmo+fyUl^zwG7@SQT>L!2&dQRi)_W<=NH6)&`_Vqh_fKDs7e1mb(#s!GNy9t67( zG|74ilk=yp9+6RjOYg_HS;E(Tb8FNvu!ai*WHkYJOQ>mr5b$d$4y7^s zGXv#&L!lUT5Y^{l@!DB(cAka8AMN-3t#y4BEjO((&{A@m_Si`^adU{f#&drc?^_B4WflRdT1 zOfD(yRp}C{`nGA9j&4YC-6zBrZkve=apg}SOD1kL%~Ukeg{--Ub9y~Atrp$2fXgc8 zrPz03Y08h+ZGVu&64F;Pv!|DS&#v2Juho#nj2YS`SKN@a?5+Q9^z#Z&XkmBApBz4F z6}(7}RZ01w^XatWyz^NdPi3~}_Cme5T+n;fP_m`5^-_2y`^0>U9lEQlw_Ds~7?r1Y z7>KOT@DkpC!KUTek6VaWU#4q=-<#cZYVhbyx_kWnP56YQb7)8d2!cNDUuaja(PE8wBlnO;>a?hd4d5qrRS2mUyS6ASVWlBRF8(s$g1qJ^_drE7GGUMSMq;D zwC_zr#Y*^9fsh?Y1%-zT>40*lCx?DnS=nwq#NohQ0wR=zqu9_v3RGYyML6eg(jDT- z{WCYC@!fV)K7GE;tG%ChVp;g+w=F{w#SuxVYDDXY4ot=r~aENrm*(8f|HQ^Pe2@X7m<3WeUI^iVL%%CE{KSsdL<+3!QYj&NIE1r@|Vbzj{$K-abp+P(EV zS(T{yaqAjziZ3vi9U=VO=+8BN#0|^5Kir`xRFebgz}GYd!?M*N7$)5(wBD+8)_;^~ zc#8h`#ZFs7=78B-tLyv$1QKtKf~p<5N`-yg# z6K1X!>2+XWP?AH>13bEyI>d;bN#IBZKJ*8R-OTOxF~f?UWCvw*ea$ofHfwhUGCkSv zBQF#IvcY;^0N14vc&rh)tPzN?Rm2Dp7?%(uAie*&R*8g9dP`~N!q=eK2j{coV{-Sv z*sgk(vp9I?Qlxt3d*|-=H2d01;d{evNfzOJlJeltwZGgg^(k~$ivRU|pC;zb zChr}0`F0vEYc~wrF^NfEMQE-Rt=qayuA~GQO^+NzXYU?KDMU(bqLgqwxAK)t+$%#ec&+~&6dsmw#gmd) zenF)RPfwds9?@d;M7GF~S7E99y2eu2;dt`>r^m0HEHr(ecnK9Q9)fc>zA>7uX2sin_UP@s~bjMRDBL(U7-Er1;ifZb|Mo?m`y` zPxpw*T&M(Nr*(#%&>aH{7-L(bM71rT@zxjf`EX6D#tLkTT7L3vEr28FckhXU0H3eE z3P+m;`7;|eg#|f z<$@fuz=OPPLIbO+&tGe#e)QnqPwXPeOR=4P*2E?*O;Z##OYp0|l$_yMnmRO9H?qVn-2S+AOW4Kf z(*rKC=~sm5L_BN`#DepyT~INVhXpJs7pnS_pFrG&xjfI9+Fh%2qku z@tw~)+REqnW+CE0@1$wRVXM~N3u}>EZ)vW%vC7TC=sER-Dt5Kl|U> zp0dnPl*((;JH~$}SGQxW7iXiJPPqTkV=&)C;_2^YGr?xVA{xya%g1fPdHfEZ>PJu0 zFq*r1LA46a7n~X6$i1&Wn0hQ&>%(mA#DUD}g`1R(fshUA-L{rh)wV+#bm@f7>wW~x z(mpfRde%MD#422qv^FKCz7ul>P_w!Hhlr}z#1ZiyR@AI4E_c2WW)82$?wlfA-i$uB z^7>)yoUS~Q4X?_0Gn~%YK-ZaQ`^~~@6=}VM$$vwPmm^agt@k9}HtK$Qv)T2$&D)<3 z#NS8Se74;m|5+e)Wg<9IOl=huj<&SXU}-o3Him8ZrJV|U=zsrE4}!0ly=<_VoJ<1W zSC5zu-gIW4Wws^#@Wx~PT#*a8k~t=*gIx7k{w6{DWEgex14ZMVPHjpKP2(J8<8k|1 z{hz={ihnG*)}J!^doY;JELcsgHGhf$7Lj9AST)yY?+}JN@!`niwqLrA`bUpwNTiZh z`!Ag%lg9TlygYvo7z9Qd1X^NEhcD$aHOgq)W69W`OW9xgo?ZIdze!yC$_eQK{cDjh z2`Ux$O(Iy@;Aot-vWH0g*X0yGKVe?7d5yC1?_zGR_F*Z^FxGg&SoYBpHxk6X@h^jnupT4 z#y}g6+gizfHULJ81)GuLx$l7IW%Cd2X@d%u(okzw(UBmFLEl*3J=yOArfDUMZQ4&C zt*U&6S_#w?%+q>xAD3<99M_W+-|0rO=Ablc`OoWQMh!)fr@~@}ySnwLS}G>d>FaZ7 zJj!;*1$@~+a1Oy0YvRrqIO~7Gk$26=55gp06t-26j8xPhnvyAAS{I3!JLGsGv;d6U z#z!(b2@j0qNMK^a?p(_I78%TOQ+z=6YFMPSSsp-<$Sjid(w}8g6q0aX%z|qvqCXI>(^AIZP;lI+RcYyyH4~4SWfK?*ebbB9<*fMiN(~lE zNo({A=CwTV+Pfq+>hITkl_h01vU3Rsl}P%72y)9(;9wCZiao8VWK2h1v}>YQF_WL* zsk=p*1OiUtQNVR38e$QmrisbslcrfGO^s%S;XMK4<-4fEboX z0K_reiYieiS^5P?2L1(-=m3z+jeG^?tkey#wq{{acPp_FSbT|OS0hoTz5$8yBuUu` z1^|+Z-2gr`kp{BkK?XKydi@z9N2LklE(T5Uq4QgW#EirTNzCXIW$5Wq<;f1Ff}u;K zGCsaQPDT*G4Ku*N=K5sh2>|kJb6;cc(K$%Le42PdL=D??;fI0Ua9@t>@E0&}qk2g~ zh76Rm@eDVZdq@xGyb7HD3mzj{;8x<4h#fFHjRl&D_xFhNCyN0J89UJ^Mc_&pH#qf) z43y7BBAQobN7rw2cd*pAKF-4=w~@M zCuK&6-M0z0G7=NQIJUAkrl|b|wLih)y3_uyc=Ja>4#9s?iS;xqZbb zs(+AhI}uO(mTKxw-h~oa?y~)#E>iSgpBEg)L zm5TxwB}9g_h@f;sZE`p><`<&qq=yzESPqgIR}Z36Dv`jNn_3$Xi^}Z(qTR~MDm=x@ z=&V`jlYssVmdbHN4z`?y+E#b#itFye z@p8=mDQQR2D|k5Z(B+S}NNcw5_x z!xKS=VNyYrO(DX-lEHR-nEfzDDsU*2@ySbk_oxrgFWU<`z*ZK%5<2fj1C9C{O6{u= zm&H{c5|*~~(#0l*_aJ%c%lmX#;xAZ@g4mU+SkQ>_I8b$2C!J7;6Tj+;XX9&~nowA| zSP9MhvHX9;A$H`_!8AeB7X930app%EP^6c%3)5@aB@6aRPQaAa4{36Uy{C~(ds zq%ohm`&VU;MIGh;zmTDqNak|LhnxML&}A|r$Zta03DqpIr>pn&93J?!cE85+!6h1M z962Z%JWdR-0g9$1N8!7RhlEI^znA0n-^6(9iQ<-54@Gf7#(RB8f_Rm}oB4X5a}f+z zOUPs6Xf`&>inRV%s8wPU?TZzS@oOpi43sUJx4FdbRBY}>wpguWUPmIKvm)Z>aR*EE zz!?c}N!&gvgd^Rh?NRgylfjZ`A#B>8jXC_O7@F-l_3EzhIGMfNUEH>RMrgTC|G2_m zT;+45npIVGyx_k0A4IUrmdj*L*|bGs%=`Y4GbYC^c#mHN2YCChzT@-EAb%F;#iJtL zCUbp3dv@YJJHy8fa^xydD3x>ebE_Ks+2-I}=cqj8wI~Wfw%)x1Qt4~$H(`?R`&kQ8 z0{t4lcdlh{p@?>PJ9v>h=M3c}{yMY7`jXpaI!F1D1ecjb>2>z|pE=p~9HY4Cvio%f zeiw6pjDlhjQN1nb-G)JL?YXUY;DU}(AsixI5HIb8BFVM$Ve$MpqA(7wLlRUazDwSC zCobvH0Zc)9sjYNWhk%ba4>GD;o0tR)5_;KiUaRgaW4l9_&+rz3Du}50IFfao+G3^a z;W@;kBZUw(G*#5P`tSf7$Z!Xrfhh(WXa&!#*N{stNe%r40y6PSO)YRq|6r9`%dm)4 zaV}tl^CW=8ywkKO(rTMB6V(xPjkWZp?hv+*h zSXwYYbG*2M98wCxCc*nk+nv}NV}`jc@LcI_Z(pHFPK@}CHP0WXtJB_XONNdtQMQBw zNm@)kbQ}B0b@#>^k(*?O8}!F4`7@bB{%!iR74_roibl(EPE5i*B0~9`els5WGXq`& z^EX^aVHpKx@CV@;9(B~$Xth?K7Cm2gi$Yz?;y{mvVW0hj0YVpNBZ5F3ug?)*R&xi) z7%bq0-@6YDvHyxlsDup-t45VQNdp6FlCE!ai=pw&J9&M**eaUeG$0YizIqq;BY3J6 z;zSSQ%^O3_em|d~+lV6Q*Os}`R{rp?kIMJTz+lx_!Si)>w1-{MSi_A8X`bvQ((r&u zX~mF{AA;`d{UGXP4ts8&z-~zRN<~ovKN91y{_2U{q{B4dAyCk(<^iMSEzN41RO)ZG zN8CGJTyVxL5_u@Sj1ev*9KB0+ZfHB%WKr6d2qtL@koU!|9ImBgQFXv>%>v{m&=Mgb zZ~=mfpM^3E{$Jz27d9v?5xa*@T)X+LEqhM9K_(f{9!SB3d=vG4I3X}q<&)&zD;)x9K>{S zy9qNWUNeUJJ^wHK3jx9EDy0UXH4c+|YaggyZ@flY{DQZ9&HqKAO&cDsq~c5+t?!hC z5bBr!iOx zoRG#f+Gn-7;fKr4i$aRT!HO+)$Y8^-?3x)Q@z+`8-b`fnN}DKf1xF}wpC_NmgC~`J znB`X~-Q9{SG(;O*Jf@S+vR|pPUrTWAV8EFhI4Q6H%Ei?Iet%-c_t%W+#c6(<( zyDx=&LvqM0lm@>4f%_bjolU9NjKAv1<0!eCZr6fQxN6$-opmWEG}s_~B-uU67m>av zN@R3bC@X6-@0}zM(|1jhs3qlsp9E;KTKVs^zYB${WA1AUSy~oao_Bs|#Th-wNYOr> z($Q`$Zu^O~=)h$Vgxogum-lTsCu|`Nb9+D+u2^tJh?ZB~Hos2lTUlKR=lQLYU*dK! z$;6^fVy!KRWJRw!{aT;i!_ka$LA>>y=Rw9V&C|q85Pf2#o+UY{RY}n-k9;Mep>t0v#4+C^+@3gqsVU+v{JVS^;XTK1MSGtgLs3= zQa7P@t&^Px8Hj}x5$VW<6qM;~g%oY+g<7XH%enSO%gxr)jp%#0Pzdzm0_HUg+!kry z(F%91hRV^dMa$a|ipY22s_S-vI?M-^{bhR0UiL{Mjf3RrRF`YG!=NHzJ^{2Uh(#Eh zij>mF1AStZLVKfw~cipx8kpQAwh7n%%X;)#a=G9WcKVn(bGI&6%}a zV*WTZHV%@u`CkrC*{P77Q@>JyOg2y_*#S~s5`ZaB*S zqT&Ut7PP*OSG?*_@f{Vi@mPfQ=S z^4du21?y=M*0ojpAfs^)(WnTy*k6^Q9P+ zKb4l-nAivW0GZYqS?L{Gwa>oQ+I5`ejoqBG@gm^AX0tug{|DF8Oh}yjpof+^!<5nY z8*h?OOKVtXctpE*SVze!n#tUSssU5mgV(Bxs?fHoRKxN2$YWe_r|XQd-A;&f(sB*H zrbtGl_4YOJPYosHIrr1HB{D7*pzBpvPNYo$*5WTycdOq~A_!yx=_OMy4X2NY>azRJG`t z1qo4qH4#y(DjP_+aG3#^oFMn!i_=w3igQjTXhRy3%&a=YYS?<# zm`m4&_KSXy9tq%hXwj zhrD3y(Y7zmBsadK!*_lql>!wN}7P%IN^kh*_FWdA++sQ=j~6Kx>hu_%~>zIcrhKz2+XihvJc zMCJ?0b9^Cr(hz{$;Sm6gPklt@1^v0i1L$vBpC(vP0v&IY0 zl_dWhuUuS?;ruV{FHFzIP;xG>w27Tc?^*BWGvHUKwr{u>T>KQtW%1Y#c=)MAMPc^s zUtr>tx|bw~PL7Sec=%i|?_xw^koI2o>ATm8MaBxLYa(F)qw zmFE4|2BlOCn?D$Tp6L|;;p%k}0YsMc*L9HX#dYwV%!@A|FV$!FC-H*&*g{`G zS`Q|S5R@8$O=y)x6)(|FU)+*8k4WAg5g`b;* z*m@ECdaJ?R(OqM%-~+|@nCvBneU+lU@S{HN4~WaI=D-KO+ZuaMq5I$$=fPs)ezGx5 z)a0J7fjhkahv%UDK6SjY8UXnQ(HKMCOGOyXV;;cfb0i}EhSnfd48DhAM5X=Ta2yoU z=u!+8qA0PYmCT6M>c-AN!wiR`l_bfQhn^qXFO<4ZCG@#}^6uuVS>vI{oz!isjX{F` zr0EcMSvDB7X5B;jF332hOoFp-IvY{QQZ1N~mjNb?Sokh<0_Q_@X+y#1&?dUiwu1lw zfKWs+XpJWJ*VQEE>MCL3YhFb%f>yh$Szt5Q%008cmEO)V{Zx^Z7gaTJP1||n1_dF@ zs8*Y@-R;8^Av*Yni(ug-ufs47hP_FKZA?uQ@MEh5vpZmc;k-WDDF4!`?yL3A1<3aHcvWFmC|(G-Bk5DOO=S8?fu4cwGf{c_ zFFo_vxKzj1ldOs&f+;)Er(kNc9hqcIn8E>RaY)fkfsVay8&cs#)llG1VJwb3J$gnw zubKyE@R&=KrQgGO?zltfrjOvexgw>|u0CuBMDmY9VT;LbqBO$DbeBUg4P%&5KHPA} zmlvBi&J4M~CBDSOMF!gEXG;h``B)i>Qv-9q4P2GLOXRcAFVu8laKpwsno@R- zT5leD8GFu+cfqW(o*e_3$3jiOKBJYC3*!tpE@-4Kx zuwLI#W|8umC+qU==4r06W^H4%9vTGvz(BjAp(}#TLj!-%a{}&aJzR z)^$8GQX{}uM{T?hWuc9WP#3w$O5^&7#MrFuIGhZv&I1$r%{0-;%RltZ6$dKqAf^@* z-EptX;~lvk1^vd?E$%)g9!pL#ZkFUe8dGPKvb|Y$fN&IwpUj#>9eY!hVSNYuIj|(* zz)8ds;A|5pfao2j1~L9$uJ?Z+*VCwUWM+6@ii@kXCrLj-Iw+p~Wgg_Uad#~w3nS;_ z_Hp(LHAOPU=dvTNJSC2o|1p5kG&jnltJ?Pq*)HLC@w+D>Ve#E%nrDgBO_Ak+r zGz>2e_`caK=iMFN-cgoNZe-1BP&L7Cntuh~eKi;_trvHJi5TQ@KL9_gS@A78@P`yw0D9EXep_1PrIf!J% ze(YM%kBwaQmE722tz(_=49UKFfd?wn*twYaj&B$X*C^P^INXbd12H%ZmogFb_Z%4t zcv^IV^YqoJ;*4N=nQXd8UAqLkK8qTIm|%({A1b*mvvce90D9DR$ye>nNoK;#~9 zyu_Y^%K{?YP=xJ8T|YPX|6Z84-e|aaQoT*xy1It@u~XiTj*tZZdmg=Sx1wQa_5sb{ z>dbSgy|r6mC0XE?h3WMQ>D`bV{qWl#T;83kpvBHnSI1lWYkmQ z;T*!&O8@ljdx=1XoZ(nK+? zj%dNEYE4Q7etXQiRb7>A=gs30CUgO&pv9^jOX?R#nj2B1QTwG1vje$>$N!#oxA~nA znNN_go~iA;YX5yP^|%Ph$REW zy|K2H?~q#Dw7l+^%ZZ&);Xs}skOspA(Fjt@yJ&)iFQ~7L&GtV8cp94-9EbV99_!54 zub@|9__t0-`*Y{Q2?Iz^99{;Bi6Jlm`bb_T}s(XKT@9Etz^i&PC`m@&F9(NNkp(Sy# zyIhO-pdYg{66Nc9fO#$g0DXJ4)SSHe@&w6-r!2K-By7am7;mf|0nc8shf8O?!JMvB zk53$bZT2q8J^lX53BM+jL+oIme}8gxCMzQ4wbb&w>a5c=`Q%z7Kl05{gYz-bMteWJ z81FgyF&jPrTYCC1&>_-j9V(jnepNS!+XC7+X{Gm9u`3fMNY%>%sd`nQ!%El7uH2R0 z!dzFTpuqz$R*gRh-~Y__$xoBD2SNRj^r$!#c&m@1CSaRW28b}byoVN#GHiEcAd`BM z#^6M$olZz4PRagUSVhxVR>G@c(3_k596%1@w3y z2Geea@sCl^CI$608I_M%P!?t=01<@qO;16F$=3e<3GGqQ(5A{mdAM_qH@+$V=o5&v zt_G;#^$ENm2c_}$Y%EI~fwF5~2S%!Gl=PaR`TB@Fkg)e(_%sRBErQ*bXaQz@`Bu&^c1j_;U|n z!qm@T!ds0!&|A*Ro3OK-pEd}ND-x${b@gs3_$)@|qI?vhAA>%)b0Z&&#MPIWi?>K^ z61vML6Vdy7Ev#d#xjcREdmVa;1W=~>(uVYqQBi$bsvc}1jLDqlKES&OI%?5mwuW;% zB*{}Z0#Xnb5cNqi8^;0L00##The^mWuqX3SWNylq=VC!97)PoY6>>)CqMk{y>FH%! zo%U)M!400D%@%l@)KnSE?Y<4TW=1dN1~T4?y<|E6!e z_Ui6i+(>1+&@7L$o*H4L7ofCN<@#1em2c&iB(v8vqd62VlCnKZZsvK5N_t`TNK?|G zq5lAUKm1R!ATkr%y8j07m3W#r^{NDxXC+m`{E_Ycqn`IqrB5>Qkghm1NFAj5rSkqa zXB7$ojPOF0(@(Nyb!w!XZvTevmH5r`Ruyd=G2hBHl(|XCcPR%QXBnwns1$iF)9)*#X1RggpmruQ&LsAGx*!uLu>__!b&da2_;lAet{ zu2Ik&GFqBv%8>S{@}Z4N$9f|jr#rlyt<&`H0>{)i1*p%X++2HZoIdT`zYvszGECQ6 z*;`A85KMyLCZGhUWqqz;D!RcsxDiU3X9U${$8D;G@|3vFvIlRI24s~dwcT~T;{*Z0=v$theh)xQ)s zNgX)&46;FCU^HMlIVCYSV9se3z-ncU(2J_-O=?w!muXj)C+hgH3X+%Cf>fD|HWEeC z`^rHT`2$kzwyp*ZKT$~lO?;HeZMdg(9i$_3S@ygg{oNSD#x(evb<~_S$fX8S>SoN$ z=!##v~ zzQX~oQqbi39f?>aeoD(m+n#i)94mD=r1VYikDdqbI`g|8-Pnx{ovfiVZ*g{ilAW0^ zt%qoG6GZA|J$hNc5l(D&J@TgZL_H{MRIP$p4VS-F!W12CUco8DJ#qUF_{Yaw)t1lLG6qV%a$(Gu!QRQ2ZcN%ro?IL_E6@|%~ z_(x`IdByr8?p+0i&+$g#ycBT#4cWW;3)ve~{_l{zg?}J>y?;mcRR51g_QL-K*-QFY zWUuHS$llt&B75Qg9kK^$`gdec@n4X=$bTSvf&WDIj%fei74|;f{1Nt;|1RuB{wHB? z;vd4E zT?P?DBE1RDoi441=47TglXy#Ud}W9pDg*?Q;mYTgj@X9+~bQNzB1^pF!$+{ zT(1Q)m6Qu{l1%)Ir1uw{#}5t;{)gN9->BYS9g~q6NaP7pZ3+nFoDo;Jk08e_%O5>& z>5rawSPtUzeza18yu6Zs>3QLQ^t?UfKfYXS5g`dN5T(X;-0R<}exM984DZ-P){oqA zd>rVix0C}}g~cO4*RY8GV-+6y%PLHTY&z5S30z5Ud4kkGQQe#h{;S8%OK|<+&0l(6 zCKIts=?{3&)p-UDKu93kpRTv(3_lF~h^(^&*gE;Queo&p*G6H;5dU*+&;|Mm#M*`8 zQ+|RVP%=aj4Ri@Cb^_fHXIvNLzHMe)x5GX}aHX{N%6@+pvwm}D{z|)5<{1ST)OMwF z=OUR;KuTSN6KK)sTI}Q}squut|0e@%QZcFiw%5TwzLhY3d>CD6_ zv-^P`J&Md|s$aOu_1 zFS2V`)OPoR9{5JhOt_BNUF}{L)=D=Bqfx^cdN z!Jy~RS786nn_Ys<5Eb?VNE>N5@!jZVP$^0d43GHHS4vMqbhFkwj@9U8!O%>K2T4B?p^*v>HS05 zLvfzZ{iJNA0KviTevMS{x!y$8P8tr$MHY5F(Q>meNDy1GG?O~s+MHUfC6$z}_z+7O zzB|HH6N;}__g08q^{P(QF)R4HclKY--}4WFyga6PrR#t=5>J--lV3jH1o>o2thZA? z6aF6JS;sebvBh6;CkY2{Qrx!1>;HNH^cM8lxSzUk#Fm8Xht6R3uEqIhx#|m=Q8eD! zX%XoQX$l%2&oh=4M52Z7^`{*VU&Z9^Vs86~^>><(gmuqz9b|f9EZy{ef{_4BL@|(n z%)b%-f$}w>O~0GH(PZ|FQy`r@NDai>i;-?wfRC}2uCR1mDrIYWNkaTBb$Z)tK;|gR9({CuPNduz6l_6xB%Qr zytM%8GkD!axR3N{E*4f1*el3?9Ea8DW6IQw?z~iZy39EM@LomZ#kB$wgC&=c5=#G2`s$1YB@~`qE!GuVm^G(s5pUTm^duM z1S;-H0`t5M&97=aD4uxajM>-zgJPsrxiBr~BR}tq%nZDkS@ni~hKCmvoJby$pdkP# zz!53G67r+`SC=noo80&ZFn^`fzRoWkty-kNt&TM86`Do;Kcjx!kUsF9 ztH|p*6n$6_dX3q2e`$TWbRpUG^~Nc&6?}@b5jaQ(C0b7)4-m2rP!NzLhtkVcKrR<1 zTtVJHyvmUBA-OPn3jX+1C3WLVnUtQ4AbS-73L-;Uncm|^CgDTsLF&Ri&OWlb@h}M| z3tmLRjYZA7CF@6_v@nnhPDX$PkIzlvrAE4?@;v+TsRxM>kN%@4ZN~L9kJyDZ$mP3= zdWS4>@_G6>_r5RU@9k%?ix4!>MJ_^K?Qie*ZoF4cu1+~7+T|GqPR~a^RS#1|_&&WH zl?(?4jW*;O*s4wco7Vd`t@m$Q@87iEziGXH(|Z4=_5Mxk{hQYN{}!z$_WyrcZ~Jew z-f__%S`S11kH7Xm(|W3Lf2Z{zrT<3jsm}bLOzV;S3$3Rj_b;?w(m!ZD$N!tOp5EVS zJ(#~}y(oSqL4!B4P1undoNU59yxEKY@+i+ZSwdtw!n@^q}N+x%cG7 z3@de%zUvp>^lO?n$xl;gpA;uGM1C!(T%e;Skpu_BvAyF-4a;_My0TYusaqlrVN%eG zCR6O$A%il3!L$Od3ua&wbJEmuUznP}_DU)-s04khgdW>$AjdF-AUOtGcu_{cepl)@ zqgzM9%8m!U;01mKYVFK856sZ$%dN}V`F$JaOpxyxE%z#$?(<=%T2l`K z6=HgcFn*cCPqWiGB`m%wc}*mdl8*Lg9=e#jQ*}lQ_@~0tPQ2m69YIh^nLO}^&b|*{ zK+)RHtA$^F+{tP&)bpR|%q4C59$zg^3m}7HfL&h^%2WCuVKRv79zSNRW^a5)wY?hr zDlB>YF~g8H(bzT51D@E`>=zfm^iKnu4d-My+_|kA<)Bl~7oKG=XU8~?19HsI1e*5M zszmg(f-H@dFWx-$4`2F$e7kZ1-}hjTLdJ;_H8{|Thye)18;o~GJ9ptjnM6na9tVsE z%M2civ1Hc{rZ14p40@TERJ4K)RjIxOQSonFktCkIPhY8mJYz34*f3vRm-T7SN<@*U zt)Fl(`j2$rdE>iJH&2`dBViYa6jOST>uoHUHvP>oaVJ{t(jGlif2D?zUYtgIW0>yJ za**l4KjKh+X=Xw`$cS8iPXtoQqqJeJV&j?erd>e z8(Akyhcm;4tFnhDXUiD^x>ef)Ork*DwVIA)CiAkVZLT5nmfFujmP<$vz5H=so zmpR^FUxxfQ78=aMpeUGcr`%6QO4Q4sTTt+>)HO*bk)GLpSW4RCmZ_Pqd)o4$6>FM6 zkM6F7d$AaQkI#UIjD+0WL(b;*1xNNCt9Jue{FO)TP^VFR?{X+bd!&Q#Fm?LLiQD1R zp46D0z6h%GD}(OlqDH!>53khEmI4Ux|4?2AERbd`3td7XsN5NGbp`C%W0dG#O`Bt9nHRh{I7xg-8)d2_0~ zhj=}2+-!#Sin4g0xlnxak?~^e5q#ck!aKRwyLCyb`{k9lq<5!xc$>CPCqWeQtIFZV zA!6OgjWK`wo^fdVVsGx($%CzXqpIC_bn}aC+G_>4kGvrrXogQ%SBjS%>YBRP@5wwb z*oPfRFM@mYD=aL~`ap4@;}b+L#wFVUbJYAYU6Zw29 z1f}D&?A_iLE-a^3XQy-Dz~#|Z9;AfeJnCpxPBFH#SnXb$Rt6Cu;uYOU_lqb^d&BR{ z?T=HUQ*JyylBE$BAArJc9T?NJLFu+T%cj}dpv>F$?+<3olfO8Mv$T`=h9-4Suo-ot8QPQYsrg_0> zaYc`wJE(5%kE!qZ;UA&7NtNut;h9)WSjj)Jxx(vA1_~{>*z>ZmP*GJpNG`^~!s|+g zwZF)KpwL{=@Mhr*dJzaqyvAixQRI$42f=lCjgOtA-`8^|H^{&i5ms)J!j(8J=F~~$ z)^la`Bfh!>arpd~)Lb0sww1T`6voL)myLyl8ZRt&jo<5Fn~iPJE3$8`KW`6LpqA#s z@IV2HpvYV@_aBT8^5R#NnUYuH z^N(4=S(`YgZ=TVoptTiiWZ!mn-qe?lhMDI!{f0g&b>5nv)=cvWs+g)>cs8hounG#F zkTqHrIH}p@0?PFVxGE6u0V`ju{TC6nIImqaOt+xz|Hv*dbot?2Qz!=E80@uG_g!b`+g$>pPOeG; zq7=JPo=QPhWb95K(F|px{Dsd(LNoA5Dan~kf5+4lhu$xHO8-YDFW8?LTF^pZ|1((5HUn$Xl6`Z4jRTe%mlh#(Cqt^-|cf2uqH1}i{ z>O~i&=wBpysNRjPg4MeGY>p@DoacBAakTZkp?p1Zvt99+Tga?7=( z!V8;j7n2hjI(<0#7!4-j!dpEp-W?S3)lcGNM5D9)2;E=cyDwUtzwdao(E2wxCZ_2* zXph3(fCK^xZ7C=z_hm2&0*cbGNL&nDyaXwX#)3MDQx{;A@tLG=d1CM^b9sh-$nm~< z-jk;Diic#+b<5n0&rJa2C_SodzZ$i_sO*kl>{SYE@GZh(J0<;Ben!mP*pE3b4DsCf zn!McNB-)$CY_<|aOdBp6=$&Qv#l0Cn-JxMW4#_d~32bexEKk?QhQ50Yl5#jWvQpZL zH)xeGD&t4fc#nNei+f#}X?pQOG~>PrnXJVTvOctxzB$8Q9xmUEi$D1$3_fuYTzI3@ zeX|+Y=yUYG&h^5ZXU~5*0C?>RPtmEplf=;O5Ng69<0RFK{5kmc;zuJMdPh*#Fp@0R z=R&N?r>>g@N$iF9?zcjGh4Q{#{y}`2Tzq!(;}=xFfoMg7Qk7B^-F^@L!7J>a$ay%z zb6(T#sp8XY`};GOxWdM~xM^?erO9F=?`R|~HSg$D#zJ73adx78iB0(@?^=8^un1l< zk{k@r&t9I*QR!7X}kW4Gq{k);JWY#(!bdQAjBU19{6KW7ikIaC8i zVnB+ERILxC4mCDB$)1jnb}M{eogh%o9OtG`)#eR<=uX(+&#EiWpPY2#?D0wEl+yDd z(HA|IdKv*;d5r)&G^vx9eNg9zy=B(t(^($*^CA~S2&sx}v)xKmLt>}TJtAXf1U=3h zjI-sSB)n<9Ft#KQM1L9}zOsy&U0Ol(C<|lapd-()%_3V(Rs)R5jrvlKk>+Qc?l$k36@(N8^x)Op{**U^J)IU;w>_h^uZ+&@>WmE6?$e8FxGq@Jj<)C#jnziS=3zyQ;yj;k*iBu8sfDUHI|{B% z@??uEK%+!kgdDcqLPv(7rt(=MF4vUr1?*q9+waKh@Q->K&W@#&8lSgHp#->$;V7TNluvDCB2oECke#9vfeM^No|PDR=f7%|Eq7><#oe*a zP}#A_V5=th%KB0xmUSHPK6E+jCja`$ zJe{m=vooz?|2>ZwX{q;J+&$BC=x%qVm4?mX`+K)X)Iow{#uRa*7_N_5=oz*qQmHr;M*2F z^ep37)U%}%TIIj8Dd@Z8%Juv4s{xcf*7^32ikheL#C)>28%xieEEHF_Zg;$)&zz`~ zQlK~Pt2?l!0ohoMFSHgj>eJUXxnVjpw?cN`g@%?vEwNd1(Vcn{<8t{mg|=$9NyS)- zO|#pm;Ea#$MS@?TtCpa4eL%DJpVqMT&aythS7k}DezLngJr9QidPAl*i=A_k&yaQL zn>zZdpe1PxWmr0;RbOdY0cDuYJKiKR!d$6GUU6_b{#aD{rQag_oadHrr|(D#h9x(( zUvV70Qee*1k{+uR$%jn^kQP;zPp$Hhv| zjPC{J*iw^U!K(lRAfwazJ@onY-SFaM7Jt~mrX@kT*7xQ9ur@0#+wm8JX1u0{zR`O} zE7;e_fsaCM#a?Efp4TMZ-vN2#s2_xp3yUPpT)Optr)2jK>yOQ=KPJ5y+Kn#x_4`!4 zhh}ihFC0GEwNfWn&G=-UA3JN8WbjPN>CGmp=G~j8a`F1b{BX(O;&lQyKd}4Fr-9w7 zoU>SgH0%rop#A`UfbJgvwA*7NgpvSvTamsPWpXI#x{*Ufb6deP()eo#m-)|-9vWy! z`a*%(0l+Wa$tK~eKBNTW%W2pIaj;rJ@vt7;go@CUQL7cWDXT%>dqG*5{QI6?P5Sbg z5cH}~+yZJ83xb_vRkB7RwTFQ>K_Fe7K25DQO|33XttL&a9?di(#$hsSqcmiC5tyEx zlc&?vNj55yaBhHOJLdFC2i-jXX^Y1%ySLwN-lapBjBB4_s<4;_DB$cdwfTQ36m~|> zt<`^!ocj*Z8@l#spRFa7phr$IJA(HuHJ=B~?S)^=lJY$IGkQ{5>rM|fEUSZrMdxXi z;hR)!XbVSY-BuIAJ+3cb4)Z|HkYNzb6JguwAWO|!nEsVIyvP2#am`&@FB3$rWXFXbp17HI#ho(Kq()+X^bAGCcR+& zR4v9nMwveo7+(73J>xOx;Z8{Bu|5-Zl+~}&bX3&81aie8Fm5|77mqcoHdoa9BCt5JS&y$7b#V!OT`O%=gprNDKSb0dcMIGEixt@>(ksOoQ{Hb zDjZWR|M+}{DSf6--RM;W%JmV+P=~G3r=IUoD)lN-c$UiBPZCk>5!q4<(VdYaj$2G~}&!a4{$UfO|j5YCikG~%f;*l@xWC1xM04{TE z;TYSFau+|-M&Uy6Gltsc;6lnd2=oX~>KCqJXSFe!c>tj)!|gUrfUUhc2JIMHDlrYi z3S+h+GFlr|H8z)u+68NB+vA2U$X^WF3t+NrKFPDK7|o#N{mO;Ysnma!L*e=A$C!lG z_61>?Zhm7gGKeWgubfGo(2}M8WmRSIcF~Z$5@n6BfKh4q4bnPQyLT~1JE@Re6ti+` zgw+~8K8-DOL7>eL?HWsgYflbdANYp*d%Bxyc$8m*_LZ;2^BN8REI0y+HQ%GFoajR&0ch` zPmy6*qZ_RDZd`!SXX9{m3sh0#Xgjeg9y>@7C^(|eF^a2jBrGM}(o z*=3(tXF$>rZHBP+jdfhY8^!a86mO!yqw-Wn2*7!PeYkeSamG%-69Rfe6w|&gGMYO^K6kzhd*S z!n;a;4)C=PMIR-nBThn?V=H~S`=;|Gj+2m;V=J5(@Fe%m=XGy&*MIJPqO!Oz@%JS3 zWXjw4Eie75%=@RVx8Hd-lh6>~Kj#d^yVuG=XE@i&Lf=%N3FOjT34g&$d=!IiQ~*8# zWdxJyX{tZjY%b0d}n({ zTG`)rkCNpi+aWXLC2>@e*@vNT3SE7JLf!BT*prf>4Pd07C$y z2h)b&_*98^N2#K6XToL_5R=yt%(qn03Mu&I9aw1qL-`I$UGeCo$uYek3_4o;(DQJqhRdv5veCmfneVI_74N;eIVY zx?a#Lyd66Q)VV*!KoZK@wiA2JO_|@PHTAN`CgfyFw{8QQpC-2LZooO8lG*&=K?o$R zg(a-ygJzy1Eh2%%HO_8xWLfPHJeO-#j@_cV z$gc8P=clZ?s@<&^{HPM2Zz$mI(Z;x`b$D_Cb`m~mV@mOZn8i`5(F8XSRqXh+kHZAG z@gbR$wunT8t!2J>ntTwVQIeV%6)B)E$tFN}_c1<03S#1dH0QI3e>le{4eP;B2|h>CCvJW}Gpe;Y+0*F6}?^~it- z>B-qcJ|2-sp|Bqs{peD--6`jMt%TP}i&U*}L>qlMI=@(KzhEQ`T{A&NHv8ho8MB$} zP!zSNVsk10>&J`HaSrZkacE;1$=S;(c|J!(X$D26=sZPNA*n3QY#}LM-R~gsK}yLw zNhG`3{*0STO8!nsw{HhDCA=+10D4}LRCQ>Z?76;7zQl3hmPcs1`4w=jBJ&DxALYcz z-67>vJusXni9cukf7sDTj+TLqn(ccaxM8{OZ=^)kvT*u|!i%F^w$Y!-O z@9%fvIJb!8LxI>xK>1X&{xyu^f|H}zIh=`R!@vs4O>f!GMx^)l%eY-+?I8q=mJl34 zHt^#QbB7|NC#m5h2bv;cb%c?(Vs(`L1n4J`Mz*2aREwB{?eHb!k^)E`iB?bkrjWD5 z9&+a7>qndIjnKY<8Dh2d;A&>QBg}zm5OwAy>!`PRYs4n)P z%?m)dt0M$J-#r!1K0A;R&b~i*`LGZF5a}_L?ex*3IfwNFxA}JH!s| zV0V{&vm*|4{MkPy#NF~8h$_Ybw*Qd#9@bGR`I*xe z^LrBCNLfhcx39oA6-8f-^zjPG?s%@RXzzt?*=*(#c#eqW^<4~N;^!uiN({t%Pzn2~ zk0_2{hQ++{KoS__;z7M3;@Wp5?f_f-I4XU#Sc_~d3I6ns!G4{DE@SR@d5H0YTN$DD zXc^|%Wgv>_x9y;V0v&MIebZw9jMNL6RlV~@@cdtpSI_(`J)308MJLaDzC9A5m8%0; z>+(Hr6cTHe7d=QTwnrkQ5IutuSpOJ%ST&XyC{yl5GBClauO^-!?N_8;DIK8PDmDxsy3FDV&c zs&Qk%kGUii77_Om=S@7^y}r9@;>(sE5*(B^j$C^^iVe6L9fld!z54-+HTCl@RE#Iy2kH4i+g?Lb9|V&^PTX2u3v=H5tMW{d7rmaFL#YDJ$>YC-k2gvR_!bn%T2b5$92O4-R7M_y8j z{9Cn_jT2u~7F}jn*g~B*?oj#>8&b$5K=j_ZEPx{q09KTb%XYqUtyCJIWvY#~S0oC~ zemppygUcp$Ez53^sBSHE+H#Dht8wzW&nyeG%a18(0jt9d%B|Wxirve}blm}yO;!ch z%IN$r4Gvn3W)A{OhS#ZceAM`kKI%C;OD$!`!~Gbk#Rf`UgBC@#YXZ!A)Hc;iTuV`* z5^T(B;DOrX*ez<3vjHD*DV7Ht8m0@a~8QL;lm$(Br8nTNCj2@ieXzJ$+@_1X<_AZ1!>Z%^?n7{!TY+rrB4+HMS@ zuZJ4#6SOnf)@CA1u%9yJcR7+Ok#r^{vt2-qA-TV<1<<22Mi6lc>FK9~{#HBel3HtV zUln;v`Mj9D0#>iEE(Ej-icac}4LJGBJ8SsOTtqM#E7>djHG2`t4nc$yX0UkR4pf>BX~ zm5PF%<}9RO%k(2Bp*ZCJYEmDfY01h$YwNpGd5n`bC`i^2X;vt&=Po`H%E(!H@KrTs ztk5%51cn*cX&c`E`@%dHCDNO!KpspV45AB1Y#CJrgz)tth3k_`#`M7>kDI-)Oq{XY zqU%1Ps5mn@3yY=D4<b1?}!Ym+3w#`tYZx@NQ5wBWG8D# zNsnKap8RCj4}XWfrcx)+J5M^GQ;FaN_>fkgqg`>}7?BjW znAFb}7kca)l5CuNrawD+{ygKt^J6BDS1amIrSI^z2{eVofm~+^J*Kon8=k(oWK+?n zdOcFil5Y}Nc$mk>n3&(Y3o6c{5^~hDN23;Syxp{@ruo*IPX6A?2SATX<|Nm#GAUw5 z4Gf!}3cmR{$+^2PhUT6g0&to8o`TN_eYqlySRzd}f#CEsp5@Hky*7KmZ)z9bs9wNu3uAbG! z#D1pNeD@bH`4mr{Ch`fz3!Pk=M`r}MRCB-Om?Jj12`ri)ks@ipnHZrv#+kX_BGMZP zI~reXpRSCAuTt{-Va{}2iDq8KwPTn#WuY2OIkGv&S1K6$5nHBX3b3Y&Ya)h z4l5r|oaAM%oX0vx6JnrZw9RldNkWyV#O}8m5@;vlr?zRvDW0_CdUC$S#htL4@Qcg@ zW-7o!=bw{zYfAeOCOnqt53vdm0$CkhV1dT zheZ50IfPI8NUt?vi1T0G&+@`Y)=mi1JTqdqgXxY`8q<{n-uObP3H1X74C8~5S>>8&UtHNVr@Tr@%rj` zwA0AL>7&a*j>}TZL&C{rn5N429_5DsgXRj^=m;(r%bfQGl(~1rj zuzJTJ8Jpyc7#c1gwm$=mAu{q$1l?{;y2lqVKUzgWH;F-aaTr%$%_}`7S_jg)&nZ)( z5OFiY3XRqxlq0Woq6Sdt>#)|d?2N-WXVD>PUb5`T(<+p0nZ0#SjzwXOL?rHJ9(g$H zY(sfBvFkTeF1l{ZQEX$P`a5mprH-voGxK_wnXjxJIUvh&!$FHq&3hDN*4FunUt<#H zD?@!R(=D?(kDMbFN5qv5AqW+FeOHr$Q9AtIm(u<`RkiWbQaRCjL?yT&d)DBDFDEh} zm4%IinKh}Xpe$eDUPi{7p(V-gsX`&FDQT^ikawl7m?ouLnmIZEQO!1X0yF6*r!SUi zL>tdhf5mMH_0Ty0@lfeAG~W0fa;lkXt9DMKw+ewb4&9Ivnp@aPhsHD=IlYSJOHAmg ziM;)j*ubP_UM`lZg1Pf)h9guN-3W;RGXFv_1MB{|hZ#|bfeCsf1auO>oLUj(e0)+) zpw9}?1WXCGkR_?N3{FnfJ{@L`i~X$Ml2ne?usmc=iydLel2xw3VK#8iJ@d}#H8W8p zPd@2$JPt5CQYc8C1q2OSml${JJ=naR2r*>?C!K@ws#7ScB^SBO8`0vFYxiA zo@_Du?0u(0=WOEV=6ZUTn+qnIzOhziU4ABe5yM^1Q-|v7k>>`aw#<3fQBRChlzCXZ z+rE~?H|e&`mR|_blqSXOZ!s;EP+=Uy*W%~m#+f2W*yjfHsQgqMah=i%f*DBh+#y`M59|w0O z;IgvRDD;vlqAr^}8{5I*yi(0$HpDH^^K41Js;m*oHb}4C9#y&5+Q#kTc z#?hqsw$r1=fftHuK z!r~mpbnCmtT04n8VXjpKtkkIAV6EZ6(9*p(JUd)U%=;mt$~^suIQ{eX zxseW(ndSiJVQ?FUX>E`5st0mxfu+YHxph&)rgXpuT#CHmH@#b0g^JS))gHh7a(%t6 zaayJGd{rxxw!Wge1!7jNBL-0 zeP$Z^j2Et>&}|+RV};)8*oO*ld)fqkMJ5b#W$C%y`Z-UY3-+KMR7M!uRXfM)X<4L3 zz7z;z1!{|P65pgkvy|EpLc&?7RcV;R-BM#Md`QzZG0mIO=*xI*)OZd$VKdU9T)I}< zu0%1fH!)`r4>_bhn2Z_ZvwoDOWP2Yy@m`b?HyMxK5bUhRvL!_f&HTZP_dKO@60~-6 z(bQxA=C<@UK%K%Me*mqV@}2xwfEH{fo|9m9!nl|IzR$C{*8zS=HB`4Oq{gs0yGcp#DgM$LS_*+m^ zh+*AD(;%N0No^?}&v^>wvy|%ScB@*LwMXk>m~{X#uGhMg)oJGYdwu>Py-(5pnSieV zps{lL9`Cp~;x|_?aPB6lLH@iCb69uwfUD}*J~P&ZOKyh;zr>H=+nIx{gpRr6Gwxsm zTE;b=oYpP3KGjL2!XidqC9R02dho0Y_kA|n!J_H*3&(f%r%c8a*}d!}PmZQLmn077 zH=z5`^1;j90*~Sv{l0xu`-81rMJ8=Zaj~G|slY*VO%;C)WkY0TMtZE3ZOv$OR`8_k z{mofXaY6bwx1{z{8W&B~*)1v<1Y?nQ*G>NT$>ZF7-gsBOOI0(jd`Z5PTcCV%6L|pUQ-b#RO5-Q z@7PG27S_FKtf~}GzJj{ldVtP-^=&(%DgDGj$zSfiu{%ex(?Gy#Kg9rE55+(m1&qUo z%v`jh^f6@vZo_nWUXPXWxhB5#k+~|q_0c*0!BH`Gu2=>DH)z-9y7=8025jOg!K%Ov z%nk0r`#RtH-Y5eXZ zFv}FYFEe~wEBWhzqoMCkLZ}zsxlL=+)=;Q=qPXlO@a2s4rT7amXL=LGuE4Pzg-lp3 znopIcaaRD$Ttq5UT{w(#^e@;7N6%GjixLvOmn7tx3@M8$l07%Mn~3$bq$xMwcpU+s zW4J{1bgg_6W=}Nqg%SNFcKeNvgv*`#{xjEZSPu!A5ndw^KNPg<*i?JoFxs(bEaQd%I67eNtugHHCu6y`g!q<`X9cLE#|ilU5! zSy{=W;zH-uQf33Lw*a3z?}D$WO<4EBiPJ#$+(Dg%CerG5wE@+o$kt;mlncu`xGU-p z#hi?*qB|&65|^GuVyQ?(fGMCx>=D~OmU-tBUrrqgHMobx-?u#KZ#d6-CTrgD4nVP? zNh};reb7Nb>m!&0FvN-sV7lg=#}NT!$HnTXii!9p>ujE{hK%ECqG7M>Ik5A3XN+6Z zCm;YbE1noEN`Te(H0srTvtH$X)t9v%h#lVOT=Ruf|i^sU;S&80E^leW_Gr6q>fg zt4fc)s2HhZY-EPcyiPNgVQEut>^ie~(ZBcZK=C={ja#SNhlsyj(z)AM*sUpw=+;Z{ z_};hB3+tTk^l>^LM39G+Inq}}TgpTwsll@qi>~A3f1}>1X7;JdyGyQrY0oTolAw*m zZOA4mmJp*^@3&Ph?p+*GUH2^L=3iPmRAiYLsXZg0@~<;k<()3I=#`SHk&Gn+Fs|LUp5F=Rf_ z;tyWDDtv;D+i+fzy*iWqDF*c0T~sOV-8gv^MD!)X_d%ggw}y9O&!~}*GK#C{_U~hb z9C^+(e~Pj6nC1YT&T$($>6-k76<^;jMt+EE5pPHL*8#*7lTBrVO>}aHV!oDVC&5=E zdio(tnWoADG0)06X84eG1K7E$rcnHT)|)k!kRx*q+Xm0X1#tJU^u9`Kmn?)wm(Z6! z2F}tP7s2s4?0Te*2lV3AUI9%*UG4y%p3H3yM($fWaA7o8Dc+z=A2hq^WnRVEZrm?T zs?E55>*a3Usu$kUZVYHe_Y#T6vAzw&S`V2FSz*38nWWFKnjHzgRYs~ebrtM8%)>R9 zUKM&?(o1*x--wn@QWoY4V&rXo ztPYgDw7goVwaDzbHw1s%cV7J5a_6%>Dw9jlR%voTn?xm1Cdw^EF{VhP7^@UPr7{!Pj2I-F_j zHo+Ym#l0kD1$stUX;P{&s!*4ZXG9TXO|d(VPiyFRpd6coyr%)982hz7lEf`1o22CD zbit`-A=7+8CWkURO`^}2;!`UoQ}t}>k~!o(XWaZuxi5)xmf?;(2%O7DY!lpBq1+{N zOb5=m`#Nw}ya-NmC5C{%j}Mc2^?)Y*x%}UMN)ocEwq#@t|5&xRbXP6s@GtBG-U)zd zGed(zTGRN0%ZbiVERF^&gK<;|%dIr4cwD`gX?l)lV%2JBqe|W>9*e1Z5Ov43OdEg% zJ7K&Hu%+dI=({$Ud4m7a3VNU14-QyPu}+7yu|eFcGU-j$Ix@@r$<)IR$a`Ff`S`=a ziN?aB+?b;OETEennCe6q&i&sP&cZ=3xx|Zfpqzq<|uo7QB=dE z9yhLZ3Eab^X-@xBQHQP;tM33(50geX(j|bV{8g5ubfU<|nov3E_9;8mSpM~9kto=M z?x2W@C3JXu1VqJV1a9?(Xgu z+}+(h5G+U_xO*T-aCevBEScMTCmDHZSIeeX6ez-ddkXW)qoUokC76RE3YIAo?^qZAV#6kAynv zf6#L``Vs8!8U|LMS>3z=ky8=sSYFe^{gK(gcr$Y(m9@^&nFFTGn%OqdIfqU@zVG}F zPY6niJWbJzV4r9d+Ps0~H0`Y~RV8kB_o3W0(BDS?YJDosN8?@7XzDXp`3Vb; z-Afkrd2+BmCwH4)tov&ggY|xhz8H5~{e2KVgg8FNW1Us&lRrI#Fp|@7RH2E<(Yji9 z(|tc6%jWH*aD8s4F+aJ%bnY=^W1HKpzMEpjjC8ORzS)bIbMNN07QIx?RTqUFsUn2N zKecWqwfUB52DcL{zbd?dfrv z;oa9vGsf$iTPNYBK#NAvX`8WxToQ*it1-K^&7kI<@*>zj#t*FSSZq57;|M_ukfyQz zAlRD-{KV@PbffejhJKG}tV<<=HpMpjBXWDcq5+?I4X=pte_~8u)l@k>OOnS;Y%*wl*Ni+X8@|-_h`^K??#CAYad}o;W zQ3T7TyQU+4kE_ju4(wevymFR71hu?`B%LPcx zCM2r9bEx^lB|TrFo@0hqZq?&A6k-}5@wvS%=)mo24Kx1p@g2rLxPEUqXLA%gF$^5r`p%@<+8I!E0(QGq45r_|RC8LD@8xi~cr-2-M~hl&iAyn=i|1!@7hae{j<5oBQh4pn^sIpAr?xE5z`@q zNItiwqI0xPZn;1j85jq8fe7;f9gw{m%yl7OM{~(Rf1a;!hUc}biDWj(Qe9;jNOciX zT_v%BED`BU3k|7xgNYAJ>moT^e!NCsu{x?2y-PxyPic@tDC|sJ(f^1-+Sc)&e09sBfImRzpJ)L%$azeh!Hf*t)|BbtP6UNm z4~|$lv(kdwc&z_TEWqro>|xAMn#`vEmYDeyO*`fWPP7C7{pNGoh&0d?)6*HmAn8C~ zc#_q`4^BNTfwo+nd$a|k=bjcI*v}vX-P>Mhv%=MYFpJ&vE!-ZN^Q!^ZAv@<+lJTeT zF^yty+-)zYz_)P2D-y-LQrP} z`y91x=Vr8ARvtmP8$l-?yAM+3C!Z!#H#V}G@{n}eoU9<;imI!w@yyH0QE_P<)AVlj z{{e3?yUUsKsJ z%bhidgSM?nIeKZvfU#PMiPc5!;j}6Oa%!w4zbn(@5Lk zwwP(VC1)~q!?yf{f!9tji@AGTbye*R#ML7vJrF{15N-zDk=k~wAHteOuz$52+8q5b zApD7{4!L%{5|9aN0y84`61;IRZ2FEDJ3Y#Yn**ET8)K_2(#oDM}H0+}~BqKVbI zLuczi2l|P*+mqMsgKN1c;!Tj9LcD#5xnnF5ba77J+T?P0B@Q+<%3;IW*HkBV8g<8H z(*5?lS7CKcjnf(!K)VkklYj@C!EDkkyE?d?A-8H>@zHq%*M>Ep=x=26Fe0c5$cFSK zcTk;+4KUzOqnreBc3)*RtaLo ze!dH)?ib}-{6480i1Q6hqL8ytU~-kj-2H-Q-zWlR5qrrtc-=7UL$HH9KfL0xeOR?& zSniC?ZMT(_7gxvJ2U)_?xz!7hfwE*axx_M?{J;YY(tTfVCyA>mN+-7 zyxX~Dg8l*~H5fYH8a5DbR@K!d>J4D72j}&_W{_2 zYyz4M%Xj^ld+uO?kFi{OAJyiUWjvpum*rbZTTU!Ux zkguYXK_~2>nx1Jr0=?r2`PUc%%>{DI$Xg0uO_*4i3oE~cv@xt@RyroKI&vgxV+uA( z>Y|4;1*2&F#;9j^dlyRja@}})TANQiMyiJ~+(!dt%KuDi;cKxzL!2WTQ#Ds%4cd(nS>C^tKuhZW|o&|RtKu*=zBuL?JlxoMiz9@AYT(wUTNnj?<=l#;;EqGO7 zacu{i7c%qc&TE(%H#zWD>hZdm{_6i?=5^9N_-i{>UrEEC$Tt=m@3w++6P+S&(03ZR z&?EY3m_Wa?OC;kcf?NtHXGR~qyZ$7rovdL6i)|L{T9tA_h#jmBBHmcEkN)p@= zdr-S+(TWHn#A3vjZ|uaSB$;hB!uF7{GzW?g!s$aIK{7^K5c5M?LUjhM(0q}xeB~vP zB8XVZEV6Ofmr^m%cGdE^qE{4u`=$0Tx%;xyE zv2K18=hKI^j;?8pBfs4rukTS8yd<@j;pNO;;CYdMdqV-);ymXQFHo1EKw)&gKZZRB zsBps7cGZ4Em_%nE_&*6?TiHus7GaXXI7I*B(tqdtYUFwsi#Hv$-nCY?8!wo!AJq~3 z)c^P|?`cP^_RrbJLWlPV25u?{cPLS649-rL zOelkz7!jdF9Un}@D24}!Vm@*o2VIzg3xndNM2Ny~c^I6j7m4>MB|%0>W$`+E9=r z(JkTN7Ypn+{G@smk}9d?5aw_5g^SMUl>82{O^p$ohDUE}|HfEbF9!Ju1oR?XQ*Crm z`|d{Up^b{vttW}{5~VccCIzI~Iu;V8yug#W`lknQAaD--e)CZ(H;6lCo)g9W*9et* zKhf|ZVYtw%hmD38QXzcAykfOQVT5Mm3k;zH5kSE#Iki!K+AS)^=e&vZLB5)i6TeRkC3Y6 z311OH(Zawe9w3sP<%v9abO3ym~@(0A$CZ(9`)zW*)Q!QDR?1Ggh9qaC~>kQ=w(=(aiF`w~W; zd*{{{FEMcsu=p&Ch&v3W1ObBg7St2&1(Bnym)e=v5F2%dR z_>I#c%K88Gv_7-w2SyF4jJ=Ry;UD>?M!xF5)pNto#y#Uazo=b({Z8imxu+8-$!rJJ zbWxB=|{UR3O{Z(%PVY}ZFT z@bJ5wUD0k}VV+2*>N+V7gzUWCy~9GHa?CAgJ^Iq)QD8&>IOZx_1sqCp>FM{sIsM(U zah@1^=KKqcPmmbf9WM*?`xZkFZY0yeZU21l#o9iwdvWY1k^7`DjPRS*Iy7x#y_9Tr z{fDdE*K3PipNm!Kj!W0nvxm}l z_8S_`%OsKU*-BcnQ|cSfL!E1!b?iKi>uleSW+cD@({N_S(PCkNIXE-(!T>G8nL(qA zZ8*>!kI>k*HIaH{w1Vp=^lFPy!h@pz?%m9F449nkL}AB7juP{|hd*raMMXj)Eplx8 zi_xpbQMn(F%G6z|HNYXrUg;(DRVLYpIvp|<_d;NJ7vaZ4y1pG=weCfZkUUuRhanDqFno>F?J#I}=`I!d`t)`2I}R9e7wl^Py9r+Q+u|;J3GL z6ip{5mik;GEEqCVgntBQzYzg_!GwH4I zRof@J#>?WdySPY7OnZ!|W2(MA2$5B&C<(7-(gZyPiT?(E2pwH@4%ehJX5L+_hlV+` zqnLB^(jnmS-tV4T8M$&+v!+O0rZ;K{A$tu%I#IG-{`Th5xb6P+2xo9)YX?y^_SH|H zPBqU1MzgBzsGZ6`H7n|r6LaAj%g4JnrPy7$%!%+g&9^bJM*hRYwPM!ROX^1wn0E?B zQ{(3K(H6Ub#eAX;9VW`yP%s+rtkrgm7UUJBDA?(Fp zqX}kF1o=_G7@oX3gVxKXcI5k8#(4wB|GZ{bB@VhM7fU1fstO*Dp(o>!Q}eJG&{n%+SR>TNit# zx*#A#Qo_C>&;kDIgp3)!SYp@AJ4PVLUZ{tlZlZy{iopBBRa)VILWSYk5v;SNLNecg zLip(u8R2W0eTC61)yA8Z;GC2wT2s}p;WTqWxQvtC}-SLWtHThUjxVJ&M+ z(~eg~XiL+MXa%q|))r7L1XguG75xVem%jhWaj1u|dM!)!SdMCYjvsom#jx$$gB475 zL5XS#y8kpFh}1{pCQmhEL*pj9jTt&5I;GJczQsgW@fs&Zha7DcR*+L+vC_b|5b&1w zJ+Y9Pip1R?Z}E+Hu!r&@zDGMr4?Ucenw+FoX}`$#fFgE|$u<(zh>NOvdVvv6%d6ZR zC8pZ5=iR$Fv-NYF1E99*G=3^e!70+Pv*626GlbZoap1)oaytu5aLZtHNs+!xUX&kZ z9e>O0H+>lq%u~-O>-r~K#{H!(bichqtUh(4o2;I%eQ&>8FVcy{ zG-zUB*H3JHdgx))*N3A1{0_G+sMC~Uj54749n8dERP^A+N0KtyDz~2Y>fy3*os0eD z$R!otgO6r*Kvz@8)_uT{fv>HusK2}?NUK;lhG~{eHq~KiYWLUu?~ixf`^XWa{9e`P zckV};*QP-x{F830& zJ3}ffbUcVaKi?}0A{OVXqd7~a4l~hX#iS6?ufhX$Q-uIj6W;@z>|$0qZ%F0qc_cKD zo_)3leDRU$XCcWi(>(%ksN#7Bq;$n}U{40gi$l?uh)DF{>(`Q+)}lH{vWrGa@r+eK zOxD=TCn6y3ez1=tR!nvCl|(LnQp~Lci@CqfUJ#* z(_ZYI(6O%*NRz!hFfYXNqc1!v$cu76+UFDvROSNE)t8{M)A3jMtjFgw@7{h9%X>8g z%OXe{mFZNdW8xtcV#gQa!1pm>am#$+U}Q<*jhI`0LUO|XrFmcp;riY@MA&W063dOZ zjrR+g!yC32rNk3owZI-BIgMnf_G~XE<;pNsPssfL+=>I%DG;X=N7Cuml-)3Zg~Pf1 zTA(}Y9k%G^;fNDV;?=0cus8yErUfI=MWm6l)RIJvEPs9~GlpPd_)_>ne~9S)gX!DlB z7FR9W>Ha+$_#FJS9oIe*V`Axw87AjQAAB~kf>WUm=(o9ookEG?qiU?`^D{j!?N*_= z=^x25KK4xMXt3~P@%3|H6^SO~6^X6_K%ZYE>JC6}ev!OPjYqqNc~MVZ|3<~b4xiet zufm;n)oq#fluDU?*_tQwYm(?8KL6f8*2R34+;Zk4_uSG%QeDKrr$S8q-D`L8f&~hF zGuy~VN10^bcIT6UFAV~P)+R12Or-c5%Wek(*xI+-O~aQ{o#|LWT{hJ>4&N2%;?^Ud z_kMQy6geHNc6QD8^TDFM4JiHpz#$9vnj&Bw8_{wgh}QEgvs$l zgvqxl2$N`O2@=}vN&W>2(N2_zf zIr{&0jyOmE$*nEBb~kKzTlBi9T|8!Q-|>GvcM98DM(VFY3o^ics%I!NQ<9rM0EiKDh@522 z0+*YK4#$WiHB4U6?knsbraohGsg{Y_g35>J6%;8Z#*!FzeY* zLy{b41ZF(lbkv&;{qm#y1oI$2;7ISz;#jggv*@3muX2MW?w}y#92oM=z(%X6 zjFT$;rHapxW4j3Hj?Dip^p?=+f!GyK$ir%t6os{j_pOkPK5LqMPP+Q?_ex(w;7VJzBQuiT4sULPWe)K7wGl(p?jIwqD$_L6Nt-X+ zW*^}={3r+H&JjEIPPQ@j$*k*ZqV)Hdh{HC65@6S->4XJzo3DMQag#OR70YItsA+a2bkVq zimCphXQV}>XI0ZwELlCMZzorjI#XZWWUOb`S`Bg3OIxgFsnOHZuc@xp*E6aE0(J(B zoBYWPk&Q?V^%ObBj?!AGjUsQtYB9%IN(pUU6!oclshnh*&<|B`WPYU#CH3ubm2wJW z9I9abhuDQ4eMmBUkKWD_Jr?V~UO?-ABYMN-=ezkX9#(X#I?*KaG}*Ya@S6L4R8&Zj z#)TU@{91N$BofCrpqu>unV9dz_-b^RZ8d7n^v!LYSD-+dz5nZl;+5w?yHREWPgh{4_@;~vbRmG|)+{(lV| z7E}NwUF%_w5!a#a!E>5Np0Yx*hvG^_RRT27?31h zDDPsMzZD&1O;GSDyF>*757WR`WJin^hhl@Qy@2N(YQqf>V-twsA7S7J#5l(`|9=mB zNZWk)iLj&Q-GZ|Ty;zG96zFNSf+hRo=0ByO!A88{+XdEFzidF3TDl5VE#&Bp2ia%^z(%M)Fd***W2p8-#7s&j^BD-$+-6}$ap1Jd%y)kCxzd}bJNnbRIGY|UH8Q4{R z@XMc;;28BR^eZ?DsQy_6u8^%hz6p5rK`|{&NB_UVUT~$=lRIgItOu7QjW7{aG8sma zA{L5+9tR&+i^2c2&wyH=HMjW|trDlB+Bg8pfUs&NMY7X%fWgRX zvhM&OM0uiOS7CoAla|E^7m5a@BN^p?E*%k$_)^O1jz!J(*5vP|oH?+ir4cmyHiGkY z!i8J~cHa-aE5f|L`&_>gfW5QvhNbw6#cb?8W8OgnC;5BFDO8I(;+-0P+JM$K5&sHI z?l(s4E7no0Ns_0o@zDF}hJ}U>neLb9SEFcan71C+pLfY~J$momLhCXXl;1!yj;XBZ z{hhYgn7!|7cSs*a1?YY5Bdb*Vi)S*XEeC{QXdX(?tA}=|VNjN1D&-i-{);C0htOKB zAS$Q-i%3y8ESzbMSgx?CmV5VbDt>t#vlTpI;am>KiUU8Tl^x=l%CPNiJ`#J8IP}va zo3`w}Oy2K&ZC{vs%XQ@CCGXq7O~GIxeet3M_ak8Y*)|UL%mbO+|qB{CPW#aVK_jAU!rv~M<2ysoo zwi`92x{Q4AuI zrRIu}wgg2E6yiurYN5i*Q6u3+f;92|3cd4oehm{h-Wjo6-dU(6a<}>!M$26o$@&>e zLf#Sltpwc|e|2G0;VX7T!yH3Y#xKJi*fEM*xnh}U$4mKtG8IO`vgt&xdkovgS_R4zqEoY|2x>&oDoTk$O0 z(h=543!f}MAb5U6=W4Hn0|>Bj{)FU`HvXLMz!;=zE_eSd?kn};RqKx;)&rV2`4Jy{!b*mo|(FSV2KA#Il<{058Poc zt^;w%`)RZm49V9pBx45lJWr%DPj#Toe}h^T|9Y~gObdtVe=Pm~^^VE)G>>?4@5^Iy zDX>1H?d>~|Z%FM}?~7Fnn@MbkHP|z0c)~>=nw5KIE!mM|7^Oj3RNkCpizKf24TEWy zD3U*KNWQ0-^#jg}`?d+P<(GXg;vl(lh_!cxLDyU1EX%=6Ij`U9W(1V;Z?#-T{XzWWwIt~oY{I8;k(a?bAjv!g0Kn$AmjcjAmK7O3h z`Ps)M#q_yH&mOWr_xPJCpKFiI6yLI0*pE)=@nUmKbbl-fJXqBMU$L>;_zI*qt{=qj zubP1|ELxX=g@y^H3t!8UW&^OrS{F53PxQ-cX~yb)mF(5bPQ^+zdDZpK`+l?F*ezD% zqW~SMKs%9sEvB%Ff}4ZJUd(f6AEzNwU}x0r-B2DTFt)B-RP!N3ae|LE{tMvkGO=kq z@-kqES`QA;{s#e;YtoX#O!~T+v!B2Y3N|e=-U~5V9DE8~$eA{I2&QSQf5O+2hsWfp z|7xfY@#a`cwPH{$e~=2jg=V3>^ql#^b%*i4kw3RSe|!rQlPh9zWOhg0x`UtnDhTT` ze0)e(d`l^tU_v{Wzn|U6-wJKFSHV`QsPr{<5-HA~b`>B^bBRj(8$9%b$ti|u{fVW2 zMu%iv9u3)O#MLYb-)4M_X;+8JHI+p$L&4Lp6^JS_NLi12>ZXEYTMqisMvYF>t#8Q8 z@e7S`SjVWQgNcV9IKzeh*nwdE7~h{Vq;mi8bVTcgYd(;*raOG%P~lT3rq3=}!kNN$ zjr~qCvSd`U;^%~C;-5e>=)jJx4~bdA5rOWmmA`^Fw^??tB2!~xIiJ+Si(_KTDH1|- z%#d?O!5iuH9`3vy?ra+&w!*WI(8_hHxpr~POH{16rYGxOh%)||mnhXtr=KKs5`bY1 zvjK@vhOayj4Ns3!d5fboUPnS~U@w`x`$CFak?`v13mw?u<(yW9mYObW>0fUxioOuW zd=<1R?YlOnqt)>wHvXOj(HhMedxh$Ye4#?2Orcz%RAHrkuA!W#c(2xbIi22sacKKJ zxg^@&*42T=$GK6YA0|lc4}?o8C9YQq^|fCfJ~XB5&+zXBF?QV8$rkXPD^nu%-8X@GbFWn$HF9_+RH*tN%_w^;wPA6fp4Qf~&?s@mRV(4*hOEjQUc z{o*)HY+*hwRJ!7`+4}VIp}DU#^ER2)OCg07glX)y5YGtqe~T!=-^S<7^XvByLl3&`Kg26MA&+~_1rOa{b)ey{_Cp;#!B2~USOqtd z5ls)1n)!qD4h>0p(pP+()V|rkzQdFXpc$a2)40a5Ug($_@r80bAlQ6E0_)-$YM@pzd?^QMt7#fjp_={v^*I5Vx)NDR^JUn8CoWPe6{@2Y z$cr{Il!_Qpil>d23$!N>MUeW26egL3#O$fxCJ9ZvU8PB8bJ}VIvm615Tq_b z@~COS+whMX6hxpfdmN-0I#fOlP)q_9h*YZ57cA)Gv{}cH}HOVn;j#sK$?;lTOGyL{l6vferqFKaYmK;a!2480Q{`TY+aQySA?sYUKsUzr)nIGqP1D@ssLV? zqXH=-(&8rpb<}Edmt2r;_uJh{Z~i2%2uA}%G?{-`I{JJB&xleS?aEI{x(IT8+Gu1i zS!G#5K~)h%7l<@zprJs?QXK22S24QMalf%slr0rmLaD2eqDpay{tC*u)PEc7cOchs zWiC3_uSvB?K+1Y(QSr)(t)J-?Tbo^&kaUcGc%UUaGRjuxfvUWvOV|EGaAH~n9+-#> zll%TDk#y{fcLocg+DJ{k+@tU@edx4AeAk&*a7eV7tZf<8@s_EP+!5IEO7fV2W1-cFLoP6S4if^@?Tu(My9mFf;ShpYCJzI&sxjPb-Xq`;D=~Jx&j_( zLjf9lRd+e&l!D^XC+aGZsM0f{lsIcemN@Dvrl{MddQ-F{P8%u*?9!x|;Y(o`f+>eNMnUWv1Cu33{j6HM^g;?X zMhzn%dRcPPpZeJVoqo)%7;^4J&RhP}=jbqyy7lU{K2Zl6c)_rcf9x+apr)yySMlp+gt7KXGo+PEbMqV>a_tlnK0zVt!_J6Z3Xi6`0WH_Hk+ z)q^}5ptNI_-7-?ALQTd+l`bv*7dh&P()PkoTI8mK&LruQ%K*ZD_GhM5dKS6~I%;hO zIu~Y>PZ;<#b}BJ_iK*<=+HDbvt2kkKaCR!F-Y@A*qQ00gt@1={NROvepAZzippDr0 zUfn%{&uG7(qxrq;04EG*IeX}ki<-$j7YjEG$H2yr&n?2zP=%qM%Pntw3?HSQH>-1S zL6n9`#)_+!8)b;ZhO3r%$Mi%6_3IY`e_UzMGY%3hxfItN_Ftm>lBHw2nuYyG=qK*7 zNG??-)wk3bc<4IDs4|g*w!A3_*eRzPM>2}H3|(TMFjsO=zc#}A<5CL=SiYk{8bvu# zi`ZTX8G5}88jULCj&O5E{UwbGu=JV|$nQgj@ zW1}mi`;}Q;t8>Ye5Z)}xtx6LKF0?{Eh4sqy=UQ7Op|M{m$0XoPhC8dF;fiQ|CP08> z8?h@op`rud8%`3p&VcUa!X)RBLUBvGloVz_L$6G=d5IH$2}ssQ>tPxnnG&iMtKh7j!`2<ERD{6G zUN(&P4wET1){17#wEkI|%BVzddVJsRyPw6E6><)*dcwPJu6Bssu-YiQ{79*I22T~T zDg;s?)la25etF4;5|k40DxZB#KpON36w!*R9}Uu{xsi<+*u{yE)-`M9XP{l1NDri7ucleqLV2qdrL827>bX?I13z1Ta}eX+Lv@*E|P$-J{oX3L58(b2N?E8ardk6K}GB%~>9o!$t^cw^pU<1HMtDFKGTT3rb5--KE$ zJ}6Ck1+@>nJ7iB5pV<<=e)}6QOXE6vG9X(5Sj|6S^B0&eAFAbHZ1K&M%psW;9I9$`cEk5av7A9aFv~Km4k4VjnIgbaGdkr z^CO!|yGgoh_fw&FXVe_=~glRMU@aJ7m6zIhXV@C~&D!x@$Ar z#v7xq)28=OyTi7Lxxla2cM}O`8FI^#nlsJ!Yrc7w&YF5@*T$K;+N=5IPZ$r=fFZ|CobmWM8=>FG!q^=f zp>e;q18~gglr_@30nbnF`@&tu%U*5wqdyao=GY7)A^_3i8W9Bu_v<+S^q0NG1aW}? zp>dBB{+A>I5N-E3F@VrbW-MIAg)s-10J+R+33Zyrz&c&2vsspYJXN`Wo~C3GJdCuz zCWBV^emb2O9lbpaOe-H&Oqixr5jxu&|Q66)A)E= z<7{9DWr6Chc9A@Gq5bqiJUKpqE+_OikV;ty7WKK(2KH{4ZQOVJEgv3fA0KI(xCxn= zIsRwoV&?dt-Te0JMRguK`O|BcW?jo}UCVaekmk3o2-LI&>|cp19nq$(^;Fy#YK_C1 z-!9_hCfB5I*P6E?*`w6Z3w0Z^H!|sDV{@becG;&i2$kO(KfGIhyiDvIcC3TZ*XUvK;973+!^U}M}R;lvTp6E5?6 z=+M@jt}l*ty+6*ba!f17#ZTXr%&1!F1_m8%=Pjx&vf;rF7?u!iC18{n~uHYn=*ct_yp7w`MQdjm&wR9=^6b{dBi zANavzB|9PujaB1sbJgiv_UeXg_|^@i&@o5V6QF*5Q#YVZ&%9DcXo!P{4E>4l5n`-+ zm-01x= zoTcbB69z?!Uf67SRUBoJG>g5Mrna53Uab8aw~hYrsPArBZ&lAKi?USko`n|KL(!g%q6)NqFw} zbTe~efW|M5$28?2M7%RwBBmTrJVNz=FT0_-9bS>gtfmG#Yv{|m9ofZ9Z?4C)jkIbH zKJ)98`<7}f#fKjxiW@mGPLT#SEulH|{rJp}rndp~oPj`+*`&X0)uj10JjUAq;ppM7 zFm*GY9pMmXvRbHC!_1$Z;TO==_xM=X_n4!vbtDQiW)X!s0^kQg901t>R0Gfj0EFc! z?1>=gTJo6QD@ZEH%FE(vL>guP$?3gL1@!fEzefTRsjl*TQo5#hoour=cha77@_xzX>u1O+bT6>e4srXAQnASM)hv=Xa)rf(>PHV2U zaL$FXx_gO|X3JY#^9kmrR0Y<5SV& z_u{cxJr3#u3((aAGBv#gNc-yFB)McaY^>m;VEr%m4s&(y=!$lEp=tV_iH$O!)M<@D zjStsE=kqTO{$f$^W6Q4M&`Uv8mYyzfS(k4+lGwSg==yZ~5Hw9(G7k|6e7L*Y&S_>9 zvD+#pN_jq$2-^)1A&BnG4MtCvxD7VSJ$SP&}E@6Zb}$f{1QNbn?7Sbcx6PrMZ-o5V#lAu(F6&dz?K;NU6uaFE9M!?VP*KYXoWE~m5zo-B^_=sG3Nrc>0hH$DK z3K-ONEP_M!1m1GvLbxV#OWt>=Gsv!YQw1{>IqthdzNjDax*QwP z#+bik3wEv`UolYS%;bbP=i}1i=i{;hz>5$3)kCl!wHe|zliBhLIn)BL9mNjgWx z+ct~%?uzzzeCu_ZzeJlU#3^>weA-fk&C+YD4WEFrjs#Z>XrC9mb(mXLeZVJ8%|%E^ zUAYj-RUZP5UqSU~6nV5YgFZtr_65f$HG{UkVeAtYPJSy6NPoYupHVU?IMtw;RrDmC zNz6l%v!(x;G{*wxbK}!(PJHWNOhw#ZePP9>Y}r@Fg+4@B51!+zTlRjP@rv9UYaDRN ziDD$Ph&I|5?FG04qIpBICMs;-xt1L1wyv4J%lC=sPm_UtmKFjk8@AB6ozuKiJCN3cpn{2l3PLB;pa z(IlTFYK!ZTm0yud34cR&>un#%Lw0q)$Jn%W8S2ISAh5p1#dys>`QVFu{?{@~PjR89 zd7$QxwaLDyyCq-MU7os$_Z;&%sy=#IqbvMW;Cd;a7qz24{1>*M&8uT>b4*cUkj*kx zPsf_g2?@Z35Ot&MoHhyUj#Dvk0`Y)*owNKx{ zWuXA_*$jLu!Ps*HwR!4Z{adb9&f`j|=td`y+TZ@ghbJcei0glrE^Zkp-SAWC&`KUt zK*e?_#NrBgA- zKJZ6`M&5m0S}awHzgSIRUzrw5odUSIw5W4l)(PI0k+&*Rat?1>wb*YuX%|&Wwja z_l^WU6FJ3l5OElu^?)rpx8=h=l=$p|7rX$FL1{!@vgj6s#AhT-t6YI11GQs&-{mBhf*(*o|ffB zM|J9G6h7Z$$*C|>EXD-tjy7y<_V*@MJnC+OOhrqD3m2(lC@^pc9z4AF>wHcYIM!PcWnj2{z9*wNhh3|g z5oWcVDsWIE-TuB7EhUf8GY`_G3z$Qp_HaQ!r%;rt#_Lo;ma0m+{t?IYzM3v}-={xe z=vf*1`@7Y387Dz^;mW8_u*kZ)1ZTyi$Z1kX;Nf11wieM#<#9sf#1{BBIIZjPsCH-P z=XazTwS2oz%ZfGL6|e?qqjvMTL>0AZ`~1FX|H0%c>hToZ_-c}@V*l+Q|3@#&(K@Vjm_m}MmXf*^eV>N@0s5DLD)EKF# zON>Z?G23~aCU7+8WN%_(#zdkYsA#UHFLyH#zP^rDM91#oT@nr|t(27Z!@~B3CEd%& zZVCrg;e#G(lMrNN#aV5ou)m7fuuA_X0D|w$%q92ob~C(?!@RI!-DNMP4Pi<9%*ja` zQb>=;OTwj&z+ritJef`8f6{&JE4kM!-31i5HfcZ#>3p)}g)@cFpBRdIG4cA{{b$k0 zQ3mar)POX|-F*!wf(>q93Zk+%;tNukT6_ zpWl>bSg9I0_w-wQg1sAhc-Y%Q=1kA~wKFQrcca26!!}RpHgKE0C21eSA;u<8Gj~+y zDxnhxW{BU)Kpwo8Gp35)`hr}17c)(}ek4HA=z*GTzZS7P0Csxu9JR&Jb2NA6os-o3 zU3Kii3j9Avd+)fYcI^*T>Am;Ppj3gOOD_rxI7%H51f(ONAiYVi(yKCbVL*D7Du{qm zWDx01K@ZY9NN+FWxxahwx%b@n{&=6yV&$8)cQVOJcJ@lL!bZ?p+#F(){_rTqWQdt< zVq~U~eW^fy*J^gi>t)C3Z4~RdrKX1B8N;QoBFUVPl=RdMWLA)>?e&J`aJ3<*O?3Ck z5GVEc7Co{$K$3}IaJxLW2%IcL?e9$}c}J*gROC~4S3Sjos7EJ0P(#soJwUGtz~WAqTSXQ~nE$^w@sXT?=)j+5xT1Zuc+|7h5N*wY&Wcn3zGhw$-S>a2;Gy6pLU&RIq zLViYT?Lrd?Gc*NXH-C)Pgloj7x(9%<%8tZDvvDvE{d;wAmy6uNWFMKIJ$0 zKe{oC$me|+{wu~0lWl0Z`tk7cSj)$!(@aTy1{I-j@TDsxw7yc*qAl11&vtUDK?KUB zInA&!`B%!z)#L?!y64xhYT9&O{wE>mZxzY@Nd>I3e@73F+jfr1cyRlwxi47Y%1EWG|YujYQfRx*Rg%`n_IsvZ)0GD zYna?E(fE6cohih+it+P1Jv-;yy_ruES4_IwGqcvx&_s0-^G}=P$<xX$UdR6fguoRe4CnyGJQ#5I9#Ep;>K9!WIe%{4uHO|cG z4MXR0;XH{#dWVf}Aei4gM?JalWR7LQ*1zuS5thgAYo2gAMT^szFrz~eG84HWNE9;D zv;UZ|WO{9Y&V{`t1f<&77m91zF;aGoS8l&J_igzbySReM9BxWz(lJCtHi`;65dB^KMw>+|<*-v24ySIwp?l z1WdGs8;I;VI?m9adN&-uaG%ee`YYG z<(isS^?F!jadw=Q)B2j`EJN!^^rVjzc~#|=JQk4(tu}$k9bW6iJJ%k^3nWz&R^IOH z&ZIIDKpDbbCmL|ZsZ^i8)ylBspb6mP$fLMQ!0V26HT)h&ULNn&S0B8L|B!ug6rX1~ zu@=qlkoQk7@eP?UbD}R4@T&|Vd{-Lj7&@Ft*xL&vo5m})BWJcwn=alx zH(RY(6lhohN&cqAoi4e6upV|r&y$4g1FP5PllF?9(+Sz!TlTv-|6~CsvkCpDE{kK&WM+0Y-mYLSzhAiX=WF92N^Zq5D)i$) z2?p(5y~@rYUDT2c39qVeUrVu*r-Qg@@4~5kHP$; z1IvRrt1_3z67dz?HDkYpU6T$B4@j-bEFMe5Rz%c{X?H{rN$OWm$vj%Tod=_r7khn0 zwPhJ8eIzifI*7J-m?Y$DOLo+QJ@&|X9p~Vx=M_Kf%=dWJWaU@IUM_i8_UiX0nIuhZ zN6bCza(;I%HFuHed^yl4aOt@)S9vy*76=^a4KFr6X=w+9>{6%Z=AGdz@bD@s9}@zR zMF>$donJmn5G_*6b=m*ooXIN=sparN!d9WAW<32ErDkNcn8NfB2wkla)=5aKQb-J% zE>(tWrxseE+#s~o;!7xg5GQ88SJ zW!~DSJ8f>8k6xS55isIKH;QT1gAVj=~?78h-B1u>oTq ze#x9QBOb}qLEaI{L6AbM7MW)t#Kan6EZr#^_HfW;mh;Rv{(x*}g44i(6PL!a@P(cd zfI7dS0R{#Gc>Sdj8K;43%>u>fr|U1fRy4}YOk=h?jt0E<8u8~pH;F_+c3(P8IVrP6RNUjpdNM!LdMw^!20v4YtG|OM zY{AJ1H4_VT!<@fbzN*QDnZ10V5eZdW^c?1lP0H?up^MbRbVf-=%N(8tg@_`aW7DLT z__E~X!N;(RU5u!{Q>6BFUWv?uzreqVV^a%z&&iuoh(-2_eJqEDcUia0CNIyRB0V_7 z7?FleGp$`Ot@Mf%@d`V2<_hKB$Eah=56?s_YrQ{n-iE*UA{`dhry>(cA!2lIi2|~C zhcd;(!(r*ULw4~v(qSccz`yd=w#KFhYlxO>`x?cwWIwaW?H3Z zIB|5GQZUc}1E0JMR-qVs!N?0>CV;HPA4C<24E$`h? z>T|v+QjflV^?JLFBq8sy;GPB$A$3Gk1PX7bL)w!y!}xXuDlm}hPMAiArXVG^fTI}% zE?9zm4y{Kma(B|)Y39JytVzA2n+G5-2KHkhez$HVeBh^X2367h(vN%=(S^o2RNT0Z z!KF=Z9meK~rB)rrJt6h+xQ?vE<1%#R9yji<-LD?6F&9KD5$Spe*^!dy;^LKHh3W?@ zm%isfm?uZ~8)2t}n0%hD%No0Iv6&>$yT#w5md>PWB; zMDpLn$;O}}2MzP?U#He4*m-fxlSlD~pqRE2=Z6Q2c|p2SRyuw?`3Lc;8>}(YvUK%c zHypO^kFtd_>h8*H&X=uS#hodg$FLa;8v%^T*3|XH!<*`iLXO~FVR~MKt?KK7gn};0 zv*VRVH&^2`3SN%#osqojzGu#Ej$bWRP5AiA+Iyzzml=!K%fH>Z|Mq3Ir?ABaN9~`X zStrvI4{QF>q!baoS7gXIB#}q!W3+y8sEt*T3M(J87;S6$J3k};!`hP^yWVIxuJGE1 z+4xLbxzzM(ul{nL3O=n&@fYQAGXBx&q4W~%GBuE{f}Wi=c#1YGOHDzIjLVs8q!&6I zC(gBcdY`6vE41W)kUjaM=4c(1eBQ!|YSKZ_3juo7X>8+4BN}`1s0JBbTFZqzejGj8 zkP@N}{c!VA6)1u;y_5ouASl9!eK%)lN{Hkq9HRBZbIQb3OH~Ha|Czj^9!Ze0yoyPAe4X)B*c78+|rUTno- zH4eU9*PFf{By%ES%kM4Wbm;JPbFArGnaf+ldC#iu4YRnJHm2W-37X2Jybxg>KdBs&}df~SZTi5>*@j>VJ>K9|vVVbLgK@4Q_5t9iYCKDJa z<`E`Y?%RjYHUoB=Pd_gGGa`*?z~WF_OhOUR&Z|m&AfY;V--gTF2ETsPmc4oC*)lh? z65#y$^xq;pzY}6ZFbPS)t87)f7xRnCAfZ(8wkPc>A2!gwyk#B?QM^P98fE`!Fa+@` z=Y}?l|3d-ee>U8|+EcH?3w&PXZwP$ny9mo#0BA3> z4x>|tI$O}2H!^@CB_D$lPS=7GJB-+_CxGuUC|+(nyvY0Vo$wy`Hfv-^V@QcP?=n$F z$b|DIuX3FHzibCkO?BF-bW+D-b4lASV}b0K(E35^Dxizj5`*6PTPQa-^>@UG z86%Y5i2=1Ae+Fv*DH{sfVv7W{1xEONDtz(psF(*Ndw;w&NSx6P$Gv%Z?sIblWU378E45rUeJf-DuSuPu9Ps<_CtTimDn|Qo}nni0bqSQzVW3 znj?)a5Q@Nt?hKPlV-ZTnJ&R>gn^h}HvOqE0tBuZgi8cL6B6za3iB%-ABFtC#iLKCh zIiEf-)CIq;73nT7L#TD9H}knQji0U$BHj(8OH|c*b)&!1H!a|9`uX%QUZgwTU+hiY zA1VJ~XV#_F9g&+$s%XP(CX8I;;GD*FrM-6htx{!h*dzKNT8hyw95J3-@^C0M>8eGD z5rqhU zcmI+KS#ic0nIlkZf2E19R~T9{+@Zf>Ui#~oM!?B6MgQbng+8s^pRbqZ-S!|K{Awhl zCGRMtjE~<*!hJFLt$t1qg*Q&6pCm&}mp3xO!x_Q#y?Vxdk=1jRA;tHW9nGwHl0{8s~{rN!YZ zbO845UdOr=hoYj~-xE7{yx2<5&cMH?>zLS{ulW)As4HPQg1whiv4J_DNotqi%e3Z( zR2s)1J6b=|E!3Q@!rSwyZzH!FY*2+n9IdJCsw`k zJlJVhLPy9|(22g8t}(`hdJ{77hi8(bi=X2w%6+GbQks&A`l6Q05@R}oB(-rlp4&`D zVnq}lRt-8)GULYg!3@gdaYPhgc;E{~k0Un3=x*Hn%jo%LZ!|p*X8KN{x+$vVnSYHt zrN{A!gYnV0#$yENg0;wTXzkjDkC{o3QO|+o#u(( zh82zdEk`p$6lsN#X_Dld#b4@m;}iGjhrZl@C~JEAmX-g8J0)q{e*K85xVS4nef}$# z%wA{Mw+ACHAp72TL!w9LB?|ee5_;&JQKrHFO?jqNgu&OSY{^_zu2C&cGYUbHpoWrm zl-YL-eskAqYDf~r!#{Kq=5%|dP;6B+yYp35!eKY^E6`kwoYSB72eM;EePSU`Y_hv~ zhntG)7*v_)J&N*R^ZEC9Y3xnPoi}gfyVSgEu8TVH@^`bN+&?;5{0v#$k)xx^XRAVO z&a+pPeT^w*T;o54ICQssYKzLKsdN?2x3%^(h{|xe^J_K{@7_Wcjs1811I;7=D=~0U z6R0RHQ7E77;dNBZqkd;QSt*oYd-lfH#D+7^2De+&yB-a7Q4P-k44w~ecFSV z;+~0`bMoV6rg9kkxS8QMr#mBXo4M`&cXS)PJGVb<_z>nOQON~g^TyKH#!3E8h+;du zdz)kbs7L;yM$Y)F%*42#fk0xzteAE1oBf<8#Hflxv?<4v^NIQEdf_VKW;Ytg1^+^H zcg6GB6u-^C_B(S=$lcr-vCZN?pvvdU0~+;&sVmJH;S5uL@uCZM$N820QL8=~0zOq~ zx1$HyukP`r>-swyy3Mt^Gd-_(p_B8NaEN=c`31$3KTdF#A=4k^MyT@dmQxF=GSPVC z6v9ID@72-RYmXYefRIMZ^y#9MYZR`wMB#nJ+`YQ9PxwW3biQnP7xFIVh))lJdT}I_ z@1P%Ee7zy27z@Ga@O%SO8ht0KJ065#U`XA9VA-!92|*IO%DacM=^;tfA}DzX6K10P_i z_|)aR_z1yPBGz+XUb4pbv89K9q9(N4VVs(x`~CnCZYM*T(Vh~6l|&gYK|v|9+akWy zz_s`C8TT21)reR)j3JXvL*tQVkuVZO`985=i|SRNML5KQ`&qDe>j`@&xH%?zxNxkL zY!5P^c-D(ugdi6Sp4ie#@;|=roS1Z^NTOI*lw^|LC9%O2VN*oOrBrKS8l{@!)Z8Va z{FEvBj44qXrLnLN);99SYU$;V`5+dxwc)W}q+IttvYdjC-=$mSqT?WL?j0vW&F1dP zn|6c+#IBL>^sq{LI)aohf?hjeE~tSISKL_a#lF2~QJ;oeLe&vs5}hmvR+b4tU9s&! zvFBYZ0|TQJZsJnZ_s~OM;Z`E*ZZq6bfF|rRajYop$P%DmU8x}UX->Qb=p`9gqE-4MoaNOSm z3hcmXd|W5VdJ9YAKqwItWQz6g;S7I3Gsy>EQxzb+ejAHJ?}6sEE25@F82A*xS-LCE z#6fcJy|D;`&~ggFI7+snxk^|Z2|_tat(jBtz*;vr`Kes)GEZoo*MAbnlL#(I z{1)xf)})Xm#4r)F!qnMh1~;Vc-nZ0L2XRKC zFqgLUnvX01R-s+!6a9mvH?e9Til`&nnGx-DUNF?ePv{BGaGWcJKh}zxbeKZ0djX45 zu2PE*S?*!c_%h$^7M-|k6%_MWFW+sv5+zaj2-I|cL`DTJ`TG$!_#u%K3LDg<;E~v&x%tkW%Ef@TPVfz@M&p+r2EDG zp%gn#6~XH)U)I~aVSV7RZURt8Chlxk%yeqzta}N2$cS-k9 z*!JqviNkOMi`(go2}Hb|DD$}Tu$6?L=?Uqb9CkDxXz-*Tauz|4Tp7v}WEAQtz2hx@za4&gzvr{o`q|`UAC~kW9hgn*O!*Uuu`*f^@z1y12$gZv>GUnGQ@@Vjy9k4Ym64#_K zFd4w@4(Rv!1LJR$MbKgoHVdLq;~mNuCGX-Z!+JlMMOt|2zP44d7SUaLis3wdDyVP| z1K!)yXSE-D`{}sW?r^s&z3}~;;N0=BF5}yvgQubZ+0EG29KP7x5syFDhK6Q_+{IZu z)CZD2=+~VZ4Q$#;yv-e34=}()W)1A6kd=e|XKFpT0R{6|?L#iXbIVQdo!w2oOUVeC zE1tfkYS?^tt zJHHqwoTZk#-YcejRf}*pRp-54h+HGhc4}S;&fV+1rH4I%ir0IMlYR%EQho@!fGs3ldU=%`Fp_FU_fWZa1yWSqFBF|FNi?M#Jgmm~mPdP<*Ln#@lR11nL&?72%hQ5t#=hoR5j=tJNntlI zYM$B%d8b>oqZi2C)?YR=SjL}=zEndG*_*tZY$j`T8*^G>n)^)KAwQuT#5ZtXnijc*B1(Mgbuc_$zNTiCH+WdVgnPd5!2%O22@OiKS!L6Z&$ik7QI&3~xFzll$rM%m|8jJ=!6iSf^S{qyBIWvVTCp|~Hsa4J+_ zfX&AK;OU(@ue<`1KNgCmSK}iJR+cxGpZ;=jmpO@+KY;6eGoi^hyRTI!Y-v{PX0Ey# zF7vTQLv z^H<~IP&li*qPCy`ZOOTTuo?Ywc=7qcBd0nquZ*^Vk@B2oqXDpvqx^)$t{?E5&B&bJ5}-%7oOCG|ya~SCk7x1aR@!$ahSv zBi}VBY`G+rc4?y&in%OQw;M=A3iiNBtJT8~uX0Aud6 zViafjYRw)4-b5o&4v$Ui9!#u{OT6_Ma5Al%!F}mOtrGTX`qJ}s&gpVyqmdSNvoC7e zSs>Brzv$7c?xPUdyZn0Gw*%3$3uV{7&G+zUzlLGA>K;UkzZcto1X~ygE8PleNxrY%(zp0^C|sqh6wOz5auzs1KbsA_ zA=I#zJkHDpd--N|Td4(AAJJ1sqUNsQNa}p>)Vhvrq`XUf4LTqLuOp)tOw}g5_KFH7 zMLBDMRnpVeg`=C`7m1kwVQ%{nF&*Xm)u3PiPa$H<3qTlCj_o zN6d5=PW_9nhZr$$GQU)semd8LGpxN6cZY*C?Q4_utr^9(_Vcr2ZkyBN%LK*wi7S%9 zZNaSX72Yp<2?w6n?oW1;nqIWL>VGKZe!LbT6!`7SLKSn%na3@|iI!Joj^Dl*3i3C{ zNtm;OHRfcnOs(l|Rn&>5>|~f6>WC-vbf<_a#u0-AC1J2v$%+D_I=Gr?B{|EflHc023E;tK)&}z}(-Sv1UV(Jgl zx{tmiixH0-?&scY=VVS2o!C3;BTT-V_&CIx`dTK(6iy#EOj5b_Z$%Z(HD41oUhsLV zavO2~pq;vwZ11fq`P>>}mQ=DGq4AS6rI(pINeT`T);_`2Y*$*L=RLXpjboTbAfIrTkP@MTo-iIHW-u zgQTr7!eNqO@<)FR{S9HW>Q?U#ll_q9Rb00*;>A*WG(tGN%z@nrJ#8rKVm1Em(>7z> z0U~~op?>h}YsVn#f3cxK1wHq4J{dF(t8Fxym}(?4zY9KOQM3%z8~tvDR?FS@&teu8 zU@9J0s>dsp(5?t}Ak}xwGsYhKe-l0N$61Sb{JB>@ACf61iY)G3jD_>?*Gh9yM+22; z@);PcTec&IR5OyCtQnACxs(hz4!ow+v$)RS+^c_|u$x(w)*xgj0v?`b-pZ+Gv5@cF z`+(ilIH_^A4nCDj>$6rMC9pfL{?OoOMMBOEUYT8L8_Gkm;nL`t^!>xqc}d&zHqeoc z0;`Ojtj6ccGG>6r=C|m(=Y(V1A2I~CLoWg>&dxiJPQ!N&iT^(@%&`QrKknp*rZ))x z2Qj=EJY#{MvyiMq74flU(SsfD$@bi!)m@9tE2oo3l5e?K3yQX^UjG*rloVmDTWvke zYrk(}McdJ?E4H=`OUf`(SC1Y=%iPqph8*L0p5RG#jwUq(oM7O;lVpYiqytZq!JRe} z5~6Hq{{_MH4_L$Z2YDSIBz>B4#qj)_`N`XkUtXalCsy+J{cn|C^hT?z0-o`kmty$+ z>wQuvvzVgNi4f#ODZ^}fDLZ_Oyl53)jJ6aq3x)xSolo#{bMwf%CZKAWO(DUo?~3|m z?t+&vy!OVJzIBm7O_7LL{U?byWC;TD7!9w0SXglzr4ce?%bL_;Uz1h(Cwo>wNwQvD zuLjgJ&IEFM;E01Q`?!J z{)2v2z*QwJezyJQaptO$CqJ`)^NR0wdx{(r_&-mAOxP3GuwDI?D#?5IuQn_EyKuon zK$Y9jtV!3}bs_CHG&2Bj-$u1a#T0D#@Q>1BHtkF;F;K$RsD_yvJ>o+?!GPPfy|5^s~+`YKb&r?22@`~v!4!vsYyd4}Hd3~^bqBF%DcZ~c`L ziZY0vaxj2paqJGTiNZpF2bReNv?oMpkX~&WZ~P?e4;_X#$57wOz{g@zJgc}j%8Id{{R`GHL^=$UjiEp*<#OfW2!O}O4JXwcRPPzOgsS}YZ8N*+w$I`b z59%7?tz(h(9=ulQ@(L?PE88cmXa+IIwMS~mGJ{C5?)PMd6`$>5gIOz$S%(-v@}eM_ z5m22$nzR}4f;fWftlYISkGy`fEC7~7rihS7!6I`@S#@#Ri+`B)Y(%?nmv?!p&icw` zmZkh7qz!>Mw`C8E?J9xcV0fxtQu}8`qr0ad360O1{~x>Vo+jKLsERG*=K!4htwN|^ z*4T?|JWnX;x;fKihj?|UJw9m>>zfWA6X-@BsNdROSp1iD7s+;~1EJwqcdo8p@m)z* zhGPclxJOxIKN2Bvbuvu8H#z=*voigQm?zG^3syp8YwsoAL!eBXPCFhPhBj{EJAWge zZDDU!)srX>wZD%qwl$7p4)2ly&y-sbcoEoM1@*4Nc7Q(1ZSI4;7Tzu*urv2rj^SKZkJxpw11{K$^cuvF zdaI0Ry`0^w{9lOeu0%bD9UFQyB+CcvFkw>QenRF#>Hd?X=@eghtZAM@T7Eq+T&1rm zd}y;tWAyB3@y)Pj^bLh)Zqxq%Ambjg=+^xP=?XD?&^aC^fLlH@gZ6*oW*kZS=am#Z zaAhWITqG0{nZE;@nl-)>P0UeCjTS0`4aW^cSI(`f&V=w z5f&y9|9eMrpkeO~PS9Xg@@E$BdXJhy+sxmXf&E{ygODL#UcDp<5+b!wWauk%@pNg1 zzd|s=%LRoDfsUj_r43~wS>M*c`cw{jl7hxF^U34))qBAfOW0jx;2+F^(jKUcJ%ZNJ zmxA2dv?ZorIf$oE5hDsf{U=C)5Ip$I;6|VZsbVHlJkLZY1yd!EqPpvK40aJ9V&TAq z&Bfx&XL~;n`chsHW9O0bU4{p{L;Shq69W=+VC{oB^H#8@9E|wg4m-5UD zPv)#*U&ockbJfMxB&rmyROI7pdiV1Jh5CM?a+Yn2h?UMKvLZ;SM6lgTcdvjjcA^~9 zK2dO+mYGLD!4z0259KI?8=PFZR_Cmx*-|;!C(pOiqM&z(LYWIv5~hUIYXcXqan~d$ z-~AXhu+SyQ3WP{jd`)AUcots!G^8tVXDtbv_fe7`IxnJD?Dk!q%JeWD=TmWl0(e&_0>s2 z)?vkD3^X3#X zNG0aMEDsiupwpULgO;YLoj>=XhW++~+&O<;rn6GDTqtP(qC_hluf24F2cDrnreFcY zp1GjtjSqv8LwxWtD>n-4mzeHw;~dmr&F#=Dqu)I5%voc@n2?&gzKkseT4IVohG}e+ z4qSsf7>09O=*p6N1t(AY^b)6zn&t8Z>gxLWUz}-ZbllLrs!3!}Lj>H>q74XALJ+N( z27u6YLKUrZK5)XBkk@tW^pkOTVa9w^c^vlFVL>6NG`6cm$p)@C%M+xZ-_+eo|3hqL zoucRnE-f3zr$GIgOt}IsC5uzfKSe?_TBKhG<(kbdP!ZMAP{SE-8QWRT}Z)Q9SK0c~s0w%AuN$Kqy-BgF?J_wC2_q z4(c8<JoM})zA_qhZ18*^+&k{I!7Dfk$Jn^`RPm?-OAPtJf zhL*;$*}MOllQ%Qc6_ipg*^OY_FrR^!_klgQ|MB(b!6CJ25Imw!1|cAmv=s}GGj}BL zkVP_i5;)jcPI4B9+y97vUiv5HJm=5*J|2Hs1*i#uS9bWm+LxTPsRL)`Iy9 z0kcviA`B?|FA^x{!1cML1ub^|^1`x@H1$&ODE|!}(L3J4;1FR+nfX^rgX`C{s|7b- zapKO%uo1b-(JXuOe%aB^1DEbA%NXV)Lsv|Ynw_J1(uR!bzN;~Qzlrw4t>EQxm<4L^ z^Abh(*19j5MZ-Y6onk`W6A#%un#Yq#{7Y9;Lr7(HugeALR{waMd2AC2ow41U+PeRj5sa3#% z>+CM4wCo-9W#`JP`Ku~D*kRQnc%OzdpromVk`}s83l7b3@da+)?+BI`Hxws(6}jl! z&G}0^u;B4YVaUo$BHQb?Fw^|We=ZQ*1H=8;J@C3J_mly~MEwXDz{|49@I3EtU|wks zufa%eAj=A@lPQ&%qB@hU`fE~sVYDC1&OVZD%b%00Y6x2o8`46wxcAdiTjhu!)`)yauJQHdQeeaD0%T4n{qynbokpNbA>%*JRH* zr&h@O?ghdQ$ae{rDXy|Y@^0ab3iYP@R30dHquuKFMDRSlaYnmnfJK}T8!AAZ?4pmx^Gg z{q;hT>MoD6m%?%2t$kA5^Jf@zDEwM6M&50{q<69ItGmLbf*db1dK!=X6P_4*S7>bq zx@SA@G4xUHDFa(7cqum}tSN&N1Xh78ezwR6$ezoKN8oD-UFT8IKmJ zB&q2>;iIv?HkLvhEuOsEfeTDJKbbPK2N;vT-d?^wulIrT;kDIl%!Ri+J3D?Kxbd2rkl?3{UY?`S02&(3FU$9crV7^~Z04X#}byH4@A! zG*XVqIQj3|%7zt-BiAVMhpmfl_4f4I-N_o{|G#A>)#ln+V2zlsh-5tmm7jf)kSF?M7hW_n}%<9 zJagIujskf(OfV*a%9ohp-v(KLi)4RZ6vtfD)5<26SBuB^(dcQh0iWNm^;nXi$;Y?r z2_z5jJg=Nykqw?QL%rw(J$vxh=W+C#p>69(fh8g7)}2+7jVC23XXID0y&LvG5+jZy1Du zN9s{0wPb-bCBkdV>PhiZ9@_=LGonWUcvpBV7l5)_`DY*T?vBsgf7l~W?zLc1PjM;5 ze>X>;SjWD0RV|9iP(mttw5ha72i1*ei-V*Ul|BL80O5#9JFNdI4$}kl5Rw=@k{Uk8 zMDKPv=SIvakhc3X1uT8Zxo%cv_iY?=9z%5YYy+If2so#imfxXYdHyT(m(q!vOcEK2 z)&ADW$!kT6*Wzx^(SxLykB@48Y?0Pf6}w+X?2m zp5(T2CH3~_LIQ2kmfeqGeaoLzsEeF3P9T7-V%RibE&RE;sV#hKEe@`^sWc|_@3es3 z%Va5n&Bu42=LDF3<>TfM;IAa6f*u&R8pM7YoAwi9R4xdT*S9QXh#ZXlaIgDl|IV zAH&)JV?C$IN@h)yj&55kZRWL%w_K`?l8(+M=33kwe6Uu6Qu8QB6s zmHXY+$iu)fb49kFW#9F;b)NEi3#1c8gjDA7afGiviiYy(_n3xhypmDjf)kC^uvq9W z_Y?UyCsarY=cJxY`UW0rlq6JmW#?id!Y3f&Uz4!FSAjHXrtQ3jSa2jr(NDvMz;zL3 z&!HOowk6|T##)-X6?Ox;sVL*YLPb7}G!41DYh%oXpY%w@n&WeT!RV2t3d|2K(N5HN zYg`_I`z5Xb`6jOb+j8H!FJ-s=di_KsNe(bO*qAfQ|eu`P>zNMN5 zW~yc~Fk1z7?xl|^+}{WtGt(-Q2Xh_;id~G6yWI2u^vW>E+qg)z4UfgXqW?7YPPQMi1py%dEOe}1E+U#o9OlJv&TYOwm^o1U^IF60PX z729j;_|jdrs-3^11vxc_kMT&7tYke#HyT+UG0^n)?9e*vSzpo%CZ!8S)N3ZZp5PQr zA_;k7E$xJ|K-Zai+F876jo{)W8@E%-k}l1Vb^+c%wjYted4=9CzSPOpxsiS!x4<{c z&k<0w5m)T$LU$ar^kwGFPT+Bqb1S_Z?apsmE1FUg)%OWr2;mkGONve(XI`s6;3?ei z_I+!%2Mdi!TW!$&69)-7U7ZKZ7EOKsIL%n-xuFw6@t z$DV!h=um8@>)GL;o{#esK37op$(uB7&)huu=034i^fo~1 z+y2{?N+h9W{5MfIWweOsgq#Cp6kA zEUc=QXBQ?+yKkWPX<3Vj%=R0Y2)LS&*zpEkxA3OnoRNT;P1s zY16NBcj(9R#v>V~_?Ci2aNt4!0j!l+bGstATBVp?1Vqx1{xckDg19MWbGE6mci5NF zZaIEdQMV|ZFA*qJalGarXh;$bN6IM;-*>ZFHAn;&&L#seRb~TlZ-9ln2{Ldz#N)X# z($t37R_4e`ByGyT`rhG^J%46JZ&r@Yx50?9x6<9{m2EODsGCgd8Z|mg;r$BspNwpa z0b@QUGe9*)nXiM_W$v}_Pf~xcv-sqH2L|S=;>}bhv6?f=(g=VjJc0!rrxB!Racc1T&UccI`i%AO4mry;IQMq%N>}76i5DY zu?1$`H>5@i($kJRu6C|_PM9>udcv?wd{obU3y!Qno z%nq!9u_Ha)!9w+~B&6E!XqxG>u<8D-z4o*5%l<9*^~aF10eYh+ z@1k&xn&;AS%?Qom^REkWqQwJ@qk(_(>p~I?Bzgs#2WNp`4=#6k>>ghHMEA27`5f;_ z2nYULT+sT_de&V0vfXxPci~h2!*1{6Q$}GezP2;L_?PXIJG)-;W)~+t0_t<8;l*;* z{#b-ZyEPPmRbyBchE*nxX$aTKtf-a`{4nAiU)(OEaCGxVMAb__kpe4a>_yI9 z8`kjAbwCg}5N?*v_4&vmv$8#SV`SM@^tvO!}{I8XfYo@W1B z>S%Y}nBT{df~~>)LN!@LkF$R{8!2j}u!TcJ$rGON`n+VHi+%c5Wprs#uu~s-gN~mrXE>qLiJvC&DQ@WT7`2e@VK@)9v142 zNePHHE-Ah4{hHJNdP!>>>r=W!Bb`n+dZRv3)Yhr$W2%UopI|ZQp_>QB28`IUayHsA z0$}N1i@N@UDCk(?I>ds1M!#aB=K8V8;v&O!RVt$Utg=mkm>*-)7_`(A8?uiOI zD-hgqe`6BG8xrq`{Y1!@CiqySff?KD#6)LJ!pi(zq-q64T=0xM3v<}UlZBH|2l*u8 zHxFGahyy$7h0bs%TDIv;);b?P{da*o?%m+VbK?ae*NQOuW`q716M#;?uJv z$NhJ`^_>rYPUD^>)(k7hz%nlr9rK$m?y(B+w_xo@{9HOYxz+($&EMAuQ5{uXOTmdL zjv416q|>3V(4xBGS`p7}tl)}YFH^bpN$tR!lgfsx zhZMur**RBtSi0DL+O)qDeHXJ_RfXPxoU938w?(au(w7 z^6IuZ*6&r@6&}&)w7Jy_+?LN-vjur%)o-Dy)U)Y_bZig>!0n$LRiKG@&p)hFxM~l) zfON;2quup=GJCLBZoV_S`S5nn&W#$H{P`o_AI~URdnSmNFmCmLKgyiw{}r?BT=8;+ zerV2EctkjVb(RR}1RJZU{d1n!YLXbR_9USH!`m0pg*{w2hx@;!07g*0RSIFYe9sY0dNve(fVplGK{ve!)=%bl$R^Y1>K>o&d}i9Hic z@>jY|EK%-{PX)YuWD}KEUFL#s$Eya`P~}Dcq8Gp|g$33m=SAz!Yliac#&J?+$ZJG7 zWcg!Q8-|@@n7n3`gNZ*ldeZ7UHm@~x;6M34sQgZTBVB3Dy9ol+j|IOtB_^}KK!%2i zsE^T9NBem}j0?{FQ3nJ!^<^aMGx?(5QX%%3)KZR%!L7Rx+;cfV>7B zs01eNqLnOh=d=MqP#0y)0J}kQjSi2B82fp6@P|$%OAC$~XySbanBW`bIwGks7#u+u zMe)3ZpPLdQg0SHO|HeV`ks5LR(2IqNIwQ^#E6;dc{JI48J-5_cD)Mq z`^z)0l*`TCIm5)(fYvs=9@T(LspA4KfT zU7qWgxk_qn>zD0HV7M!WuN6A9=~uig-ES#L<46c+zdZkiVkK1f$fn#_e8KMcSBfc> z-S~evdke5Snxua;LV!SU4elB|xCTvdcXubaa}qqby99R#?hrh9aCdiiIOopEySv}M z`|bT-d7e5|zv}6pneLwH?&k3WlPrEo> zp+{vhL^Q$pZsBe@${(oThGNu$MNPlai`Ld!JHq^Aqu5Uk3#3?o@u~Y&OfVt^@Pcc` z6Xz+DWt5I;BcRDm2eAbNQ#ae7HT{#a1IGJa7BN}DDpPp#0WHq<2QeN#jh|B|ug4-R zo~sE`b%UgWgb^FI$2EUW9W)6m1OIn)V4z1zSBA8*6nJ9Wet2=7-LB7Ck$(8-Gzd%xG3 zzt}c^p$TIFth^%AbaFejqB)+uSmao^nv*4+f3{#fgCi19xLG$>9vP3I(9htB#h~2J z?}_!1^5#&5B!014?W?lGsO~FZJK%M(=kSQ~CvGSHELWuWmi6_Q*0oNV)B%1q5eQA!twRyj$ij z17nAAIdilU)k+aS_^>Q@c0h4OrUQ(k_fs5s)c!#M!B=HH!7X@TN8+CtSH^LuwR3W- zAfh70T#kp=UL;WMWzn$D3*wJ9*BH0j4gw#Peq+6PRW+(UlK}d9$TmH-qxYFk-q|{H zth6{!Lq)2ZuF_P+aJaZTMdh2AoLYRV|2;u%Df(cl;*8G?b#b_i{-3WnotULr%0na_5etRZs)zt(fD&{#QIN?x4C4rK+mw!bzt z<<6F(`fK-}|An8jQvhEKd+ek5)if0SU zk%4`baLTt|5UkjovLvNw6tV6-O4b9F1KO608%R~MD(dNM0So^0t*-3Mrvet0H8V~t zX_ebKe0(J=AD8*wfB0a?s-XCZl#Fn22o4+yOj`TXGQ9-nnf zO?M|y`fdo7mUWAM&Uh%UVltlPLX)yHEcrZMmCbYjMc&{G0{zBKr~{d*F>;}3D~qF0 z-cxw;%YD?2B<}B3g}p=1<73%Pk=0)><5w|jGa)WV1*~~!oCdyo!{%M~tCJaH4VYPu zxAy{BANpc#8?=A-eDpRp4Znb=2{0|ny08K=E08agZDELZd*Un*%BG?EA z+>!WI^6GMai%~^Ch)x?xRn}bmy+r2Aw@K&uL{o#zFHmiWvWG=3UAWvt_NVZup;p#$ z@=z;lVW{afycl45O^n%Uj94||j00@VQHD%{-%ilH$p>2!1q|o>aL43`?A%sR-#HGM z)N}T&`1myCrFmO~%bafntSM>I+DiBN>hoa3OjH7XBjl^_J)d&tbnD4tfDP!Xg^LI( z{-yGb@y+nuVJ+d(=EFqJTY*Pdgeuq;LxB-=KuwFlSzFr%q;nd-Y_PLV z`8#EoMU-A0Y*=v`w>Jp1xrkhc20_;EZ-KLk2pHviVo~6;EtRy)CGF|A7IyZ5Wcb8ucVwseSkOZ^@PoGhh0;B0*RQm zoapaYeI-Nuu+kx_MW_Kk{mgDi_y?@J5wl~WFo&9ItATNPFRswL3e)MRCFU?{#fQ?$iV9R~da}o8ftm$aZT&zh5M%G?214!lH^< zIv|mTOm56;RhC+1nTdvtD!DW3d!E(S%dr z%p`lDn>Jm|bu>3UZ->jaV0B_RWL@F?`@)Pra{do_cskz(4!MlxOq2J8ynEq@tz?X* zj*-n0`ZGm0Tmxp>*an!KC|UtpAa_!zV=~safMc?efu!24)jD<2#X2yjDcTxk&XSb4 z=QcT}hUo2`_{~N5@eV$4``Bo;vCs{v<%>Xa-p2XyOkfh379EK|!P##h;9|qKb;M;<4AWi?BrmNRLq^AcM^tHwa zpBHC3_cyPNA3vr8x1i;VcsDU^7j=Ep{&WL5*Mr=hK%O9XGZ2j{bHEMZ3TU6{C&(w_ zf15_PRo2@b9^rdF^e+Dw*w?#US?OwhNQmzVH=o+Cusu8SNJQ>vZRWER&0TjObjRJCGUnV}9&wqc6sW$xxW|dc!HT-?X#PGCv)d zFSp4NUnm^ou&fEk17L;}x;Xo}e++YRv{}5rLO5S7MVRI09i@RXxg2Y94xW2{zt=>c z+gYqc8nD>McL=QTY*$jBw^b%m&$T5RKiq#gsg1@}d9;=0Wa_e;e$%eO_GmhMn#%q+ zh1_Z!%i`dGPb0bx?84zKGJpT(1(Y!W46v@W-JO?s=XAu5-RL44s@+^7R!%reHp6Ix zW^;2IGa7B>VAcdd&VM-3{~uwxmhymWhTVxIJX?W?)Xw<+vFZ2efpFJfO3OCr);Y_( zgj^}h5)+t%S2@!kQg-`_z$Cx+impZR6@G=|m^4?Lfozg#o;C}4z6R~gV6cViz!a-t zO1wi^7+|Q)eTYg$Z{*hjg?#8#^jgS`{`~_9U0eofp$@kC5snIh2##rX<| zkCC+n=a{d}MdpDuU5q{ay{~Z#o@9CO(iW&nFt!h$om@LHzZM}FhWs4&XBJzfhvWO1 zUUq10Vn%O7DVp>b;??TM?>DNZ*iO^B0T&Gfb{rhzoP*C7wn>C4Q(WqQ& z`CQ1ufTJBOeFn6V8%Y~K;v^i0?9~U1cz|UQqB)k8*#*w)^MuvvIdrcE^2r=we}y9=?5`ML}vd}H-l38m zkx5HS<>KbFcla*{7P!`EZx*E+cT5NPIhAZPG||Pa1o3MH{=ZNadLT1nR25wyvuutA zsR%C4M-2uM+?{p4Gho3eBen5%aj1vd4rSkxZ*90;yx#bU)xb$=8H*&$wqNU|{}_Yg zMna>#)bwlCKK;>qk!{>VjhSBre*6cN$$#E@_x zUVQul%IGN@8nZc(NowIVIA8Yf_r#$3|D$MN$ly{hm8MgM#oA7fq4$2`w6x4xb0nW! zglFdz9uAlPFL28lwv$F#H<~0&c&io>3b#nYN^)9=gn_j?UTWxi{~+Lbz}wDrt#rXG zqPWxhi`%OYqxHUfcdE?%D+u`oVS*KOxD!gf3m8b8xdmZYvwmd!CTTt|jqZ`c_BfgI zSAGW9@r*0=W~#A-?a^+G;^X&T#<3(7MHTb1VX>y9B_r6$ci4$9G1h~#SLScT{;Yzq z2u=qe8g2It!SS*Qnr6%;-?3cGj0{B*pKicC?#|E^=#`}TlsVkj4($EA0&M_DF=|Jo z1Eik;XWvh#V0l>Cw(Ur!O=?Cp%hx})O-uHDiFw(VmoLc3{$yXY7bztJ(zd+cP@Eu6 zOaV72*Gxszs>kyJD^JBsz#^3LRRplax9CJxG#yG&_1L zyVB7yRLpJDs?Wk!SlLRaBi(PlV)!98>3WcA7;0X#&A>v6SLQq?9qqvv`S_-&?}B73 z$^&q>qL!coj0~~+LM}n-QbuBQQyzLYXz`Z(Z>obOYl)IHMDsLJrru>MZcS2(<`sXF zik4IX9R9=?Q!$9qsmN$Rz5W=`Dn?dNk76rCR`f*zd`J0;(FW$>7c7B!_<3dM zSTjKrxIK*YFqL>pg#c?9nJ$c+)fOoC4sf>6HTvgTlW9 z&er4$E03~!Hs0VmiLya4Z?=Ec1S^fg*6)YDN+EtJ4q_at4tlCv+KK%~&l!JST z?+Wz(O`+DVx}13*)Sl1%$UYq1NFheIQfM8+63>)0fFr$yn1B9C>CU&vt3$a>pH7cC z-tN{arAu#u0GzUY`mbbb6&A>lNk`yyFFJjWCGZ_O`?9KUY;(~fHf$cE8-w*LuR3Z1 z*xP5YX=9A{7O?Fw?S9nIurS&l9gxDWE-W9aVF}$Ikb%NO09u0FZEgpxy7YAM!RPb8 z2x^yp4S7BL&7{1b9P;(E(c)W_-q^F$vyR)YTjL>Bmpb$l3)3tz!#}d}t>OKGhGih& z#JAs1!z?g~FG?nQWJAfV~s8>F%2E8b;E>#8*&}eQV5=t zJdAK$Al#$Ac=5*YzP|}?Xz*?Bk--o$mPvLD3!fC<+Y`-i-uo&oV+>a~e~0rLHoDU(b{TGi){y8SU$58TfL z*>rt~-JE4|?f*Z}Nx9Vcm()g#@9$SlIbdlXFq@6HeBrC*Dy39eLx5{F8=G_iD!$e? zo%2B`v95#&OS78xyp+e&s1AHV6JDwj4{Q#nIsmqY|2E!&mv=D3$^k})s^1U|5K`#U zSkfwqklU6L3Z|94ASCI=EVx5J1q!t7xj%64XpF?qfP!6zcRhttVnr+o zG|4Aul0k~#{>m%HZ$0)zcF*#bli;X?ia58?-T(#bUicCyX(Ej0dEf6&-83bb{pZrd zm*#G%D>=74Er2U=R^)9V3P7tW{LTvnc#b^*?>T>w(N2M&CpIH7r}Mo>0fd~oY8x*F1ndygKOZGi*4pt|e}GkT8%cGTX?&B$lYV0ih_d&F(r?;0~5 z1TWtoh$R^X4e?-Z&yp_Wi`2;kEqcMw2*ZLn^$blS+8@^Y7|50@TZ5y zM(;w{FTz`I8^lh-TNe%Pa+pXFjShb(By6<5ja-c$^___1SbkZz$c&zEJLNzxnbc&x zAuT^xgJv+!17`1$J`I1uW$WPd=}vkr%Yu<+NR=YTLJSD59`)0um6aMe>h@K9PkRTX zwllMpTjIV1sCJ0ZR*BQs`v)S)F3|<)cH^$KIE>iiuw1k2a_=P0pgK0&Z>44GhyZmt zz}?;$2-I!7<3_yWg6oWo`l>vB8izag_=`Ll-H2)-i`Yaf%B#>H8w4di~4r^sXN;qL?76w-TfxaG15G&PGvklzekqMk@$>IvWltNL0ekYhD#Hm zqWbP--j4x1^Y8fh_W3AnXABYk9h_}F(HytE^;|JXOaN-`<}UQ>(`2tQ~6{8o?Y3V_;N@2q5Dv2NNCdx39VX=1!tVwah#ie zn$xj|v9)7m@ql|Cy*K}q^M(iHSaI>6qLbiK5*F2w;EMGyd805f9l?!JFnQx-`$Zf# z*p~4_@`ib8sQflp^Cm0i9mhS#^|>VFgzpgWK_yAa=J%K6#dov@xtMIvf(dAnj2;|u z4cn{)uffAnTn#s7MWhCYPmfO%BP)VOe-lWK%yf=a#%*Ve6}9)KW%1Qq7c%edPgcVB z8g~uaEU0hJwQrbLL@6VA8ZY~`xSuiws+G*2f@rg0B@y%6k8$7u+by538W(nrOJ_4k z)P1SQA^0&6O3pN}T=TlY*(q_&^iG8@EYK>8ujGGUTXA{0UlBtOsO30deyMU~T_%DS z-P@aD@kbP|2`aV}|H>I-0SusRYo&@!hQ7V*$^D{0yIavu{tQTUoiYUBT`r&kjLrae z>aT!5V_W=2LY28G5X5|MoQZ2h&neImO>wgaq$K#{5F3#s9Fkk@I6PvTX%Yw9gR*JD{mHOJ#7!4pfnFzs>$3a5POtw@GiF{yWOYqt*|8n zI#_`JLrH4xcSnNtt>_S9)^e|kr~W(Bt2-Z5^_d%B(z-LDZ@1n`EPFJ(ycsSW+f&!3YA&ai53Bq= z9iAb6H<-kA=OW(BjCyE!0!cGXUlfTS5022g2nNH;y4i(wr=UFy9_dLqsVTjE_~{nA zaA`ZP9hm)&f`diSioHW!7$;3~&R2<6>oZ;08(B(|jv^QauK3bd1?csw%IG*=Ms_Q+ zp?RJ_UtqXq3$(lH4nP=F!sROn$VNciyt3162IWJ!%W^%eisBLs2OVd{=|LtSk28?7`u3+eUC15e@y&@a zD9|-au8$qupydAfl7ZkJbv2rHzJjK3AK2>GP;ERU9o@OfN*k{9Z08W|R*G{f^j zlkMyK-nRydJj4hh(vmxD2Eo7!nwe_nPrB`9%av~?VH{y(=pwewMP+IRKo1v*?Oer; zARkRez)Ce8OscYSEF9p}9R8P(BRFKTqD16OsO!)A=-CiRnB2TjX&!Zx8 z-PT*Z)Jc%xmjI&N23^#|G6TF6+AZ9z@a;nT18}`P*tI=sXSv~17EIqicoJ!!*Np68 z_*>_pN>0;7V9s$+NiUEH7%lN#nOy#*!jk-@zF`H9O5MB>Sxvo^{QSrZ<(CUot>c_~ z@vFP_GM!vp_cpQ2$UwfI>OSED!@)r-6j@wI$9rk98kT&6V#m&gzZ2Kc2bG0+@Z#rY z{zZyg){mzqQLBR_9f==&nfze%c&B)Ehexex4IO| zc^oNcUd|T@>|wMeu`bV;QtSJt5G@BWOQbmMXvvq9YPlmqAGX^feq~}>htlHF&Q8yu-NpLacoRko{!Ll~)|87#OZk%>^&(Yv&6G{P9dI$y_oIt`1g^Tu8Rfv=X~C zTK=aZSh~(!FC-QJPj`5w@UarpUw_65o0WR#eV;snV#RtbxEFGgOSXjYe6+M~yr`(s z2+PPYFo4Ahi9S+CR`c5LrO&&zTuz(>P58)5NI}O5ji0(V!-k~EsLYIY(OCia zH*2IeLRp06M=OWkqtA-W+(xaMH@TZ(r7xdLj;L2m^V9wnf;n=aGfbWLc_dc38*{`x zA|$DkTTTHj*4I5SBnfi}Lm6=C*XEKRTC^C>vARqMmem>wu z!0iUVd0x*K;YSt4H#FUPdEHPbER8hcl_a7bZpJYLnC~_+1LnKHC#tUu`}B(~5aRWW zP>Eo|MybdTK?#i@eNaRtatD~efKa%`ba{|e)XJpwi*SwV2qFytTcEHF5iqNsV+72q zx0?ujO=@4mB9xUeFw2|U*nIgaY-RtDDi^}#KRZzJfJ|C!Aom&3qq~F+YS{8o*Rni_D>p?PKLr*Zon{I3KnycN1+TuO-%(O1b13W1uX=3 ze$l>X!M+EdUHc@878lLH!Ii5X(*<5L7a5Ncc(b*VwJ~ci$$DJHYdkV`w6O zLXmzp$;~7`{u1MvGhk?cxjJd2f6i=%^fESx+X^=+o2WW)Oe{)qvO1B)YWDAht13U~ zIL*u~=52y>g;OH({8hV(>x%&p&LhWXf#L`_%tzHFWSUE!MJ`jaLEsOLq>Z@!?`UGx zkqra}FcUpruU@6wg-q7hjz@QiAn%7!+f>&+tUQ+P>Qqmp=n+s0g3a zxP8xo($dz{UZTg`#Z~GpSV3CO+fR)hasx;{ii~=< zV$$1hd=za@V;%f5$UZVEHD?zUvwyKR)zGA} z#RddDvy(?2&z+e$-u98@JLl_>ifUJ%^wx`m!}R|=)UUwKT}&l0wON=#pc0#7>7XnV zQT)FHhar)TAPqYLiKfqMT18SMA49SOM`}vuL0cU*%}q@=xyKMjA2Ar&%IWdMSpL~q zub2vRG+wLa>W>-xTgX({_61bE-rQ#($1Z zkB>$8B^3Fm7~E?1%gU6}!0RfIa`m z&tb2bNc=sBBoRFKoVc1jarBB**^xF8B{XHwbW$sMpz=EF;G zU83Ec?5*1I?3lp@fbM-ZBq6==-r@B8>K3wf6J}*8gVzr&DvonLnT?i=3n#J49mKco z@slPG-V{^Xbt`>}!vK6gr9E(^&!=;RTfNU>>ixd=S6n~HLTnvQFI%KRI{8sem13Gy zJ6{@%L63g$b-#m#L5b?b44Rh#Wv78nZ#f`)k6Abi_e}gVATlY(y+$y(MvBy^^OYpy zmFIrP{iNSj5!`_i%5*`-i?sPnxuem7>m8+si=wByNwAkCNT60Q^H1;&xwq8+X#W#Q z=}W4tjvbG4tL1@qt#kQ2kD5(-N!^Hw)=qJmW5cn^=Fy9PS0^5JGuiL~uJKDX}uO*!?R zSbNCtx{(PwNkv}aBy6+e@mg`ZZQJ|eHSzo||K+)i#Byleh?rL2PB?k{0Q3uj)gIw3&eOn``OTFfh$0;P5x-5+$o6mj`8(5qi|Q?1rpn z(fjHa$g5j^p21tJg>^0K(6RbAc$&@bHip+?j-*=y%fxflxI4L%-4_@t>&@u#t6`UP zBRePzP+Hb0DiahVC~){=27ej85%H7~d;I3V zoc+8Tz4^Nfk`)^uQh?n@9u#%#Xl}+^V?}iQi{qpbd~tTUG6QOjzu74mE#NsA_%Sld zTFTb==77TRXN4f-{!D|KTIfI&);#_4Ss4b!cW2gDHL@*VroX-UU0nGA@byfiJr&{h zT*m8ebfSL7%qxRFW_~*kAg4XeidfF?&e?Erm&gB3$^+9>eL@N*vUUiPOs#ZoG;Rv0 zxtd^AB1KxaU+1o~E!Vc)wXWplt@tbr8eOZVNPZ&;H~Xe<+uFTaKFqvvgIthz*vS!R zwl5tCRL|@n=A@7Hnw$8Ou7{dy|D=T2-w7kJ!2FYxaScv9?jN4E_U=F*SJ=Jp+<@gA zO;tVlba)=ayx(Z_0TJp&E*iVR@rIY`dl^%P!`k{w-;>+1TJ<_##bbi5)gpdbc@hV6PbS__J#iWEz2FSMY;2PsrI#HG8 zn@V^*bV-)!5-r#Kt3YRSg7Mx$F&3w&KXh`Fk-bq$=2)>#XP{1M&V_b?(PSadx;f59 z&i*Nq6Kw`bQQMBW$Jq~eCj5goO5D1~cg&fWc@0D~Jy$~gLV?mXiwr#RH`}&j7ObWP z{YmLmMlK;6m1(ld2xoR<6W;3KZ+0Ap;3J zmFd|cT&=W8+m%S`Ek8+->Eaa$96~O9zjHCcBlt)a6Q)G+iNNmd11=Z)5WiZPJ~G2;jt7HD;J|V7XJed1ahn7 zDRK-I(ZyF8$YNpAi^s1Q?!LdOfz2|+M5Pmdo@J=KrO1p#g_Pfs+wnf>a+?0*{l!?O z(|X+D(zc3kOzT3aq`ZY)nt?K%O)y)SWKpZ^zsT7$uTTG}MpJk|HP_mGaSzB?U%G6b zwYp##rtw0Wp>`3bF=B{)o?=wle-bTzZ@grlHLe=;zO;*g9Chud`P(%akDZpE<+E%) zA>o9RcG~bovVH6~@slbawRs=OChquLgc*k!<}VS4J|Z;k#ucSXm;ORTB5(W^i<2^4 zW?)v1;+`)qkFViwp>h*RUQJn}oy(-jmD%v=`81hqZ7-Jc)j1u)-!2DA3*fqXy@ zw_f*YsgLK6cUO9Hf<7%?4-JC*ZjWPIXA%z^k#&8O%FrGdBCaSnm}d1(f6v}Ut?U*1w(fV>{=iOTIEoAsW27Q`*yUSEoiT_9)Y zH#&@Kv}a#W<8FWQ=SA>c`Pm7!gBuZ4VYGfGf;PcC?(dLv&IB8-7lq7-u^l^dx z-hD!@v4<`je9zwnsl12YG*1Vq?#q61*Fl-qD1sWqK8i#>zH`rTY~K{qisa#vZh6T6 zjW_Mg3KgFgovCbyY-BW;EMnA$d9Eke%Pq1Vf79xn-@50DGAD*ZKf;!-(PAF6?GSB# zg#jp-?-~Z8D-?fNH!e$9o?(UGEA>J#nU;-4l{Z{0jjW34_bv!&?-Gv1FDOf z_k(?vQjr&)tP34bt$2ocim^!#Ds=4M{xhayJG5dS%Bs>7X}$eI!sBCHl&wo^`g+B; z(kcy-*f`$gbPph+!}g@Htj17(u8V!X9TceVV-Ek92!`R@+HfjRRIFC|i=N(3Mky8I z!}>QF2m#{o)AAe77|yPY&EX%^?revjbS+zbC(9Nw3QM?-{EUCg@B)p$F_IBocAB-4 zEH-MIwGlNNjI1eq+7K#Na#kn&`9Ce{}r( z|JMHfP?_Pj&hs$ubxhU86X}YC=(lSuOqc6MYX&FD0;fsj4B@1iqyo z^@_7TDok2^yjWSBs&s9dhAc>;bWK&#d%1{!s4`HiD6C1n3tFt~i}SQUkQ~kxXzM=6 z3efd%{OXs;S@L9;3VPK$V@_K{sBgL#b@uI>|X8RvwI$X2Uz8Z+A9kkM$!cqeEXMl9#?egXr)r|r6f-#aZ)k%9(JqC$hn<;JLzm@LqM-EiIuIn($w^g60%uTRqHgxqhrwR zjsfE<&mlQOx}^LFr2J2&eil6Q`Y8<@H1TO_iM;X1m)|Eo!3}I>$>zP>lC&xq|9T96 zQBfhM2f6B;OEDUmCb$`^-e3Z?g2Lv6dcEp*RJ`hqyz8Cy0yGHv)WT81AKuVz2#5@* z?=)lIVNNS*N@F#c(*}$YSkd+gEA(ng9+@}(Tq<%})#f@;230ue(=R*b?vv^V@~aQY zUw_b|{-ULqS!Tqlt=YuZk*Z&y&!3kvOFAp|L+6LgkBsc$aO~29tZL2qm(8BUx@HkJPnBJ?Nh;0Qu`^dMv<4NyLcbn zn)+sN{EU(0H()MKHs5gs883<8Ju|ntzQb=a!bzG?MuHkXhu_!Fxy>KGHt6!WkM<{C(HOZ zhQbA^grq>~5JVQzAQj9ITU09x;KGLA3o6C%1XPI zDbWP)4tR7l;ur+Jx!B<+hs@RS;N2f=NZm!gif&&K)NO0^I?}>f2(lAg5!3_mfu0_x z>$A=z5WTj}LEc;ExAX;(f$y%zCLYZ09#07D30pxvxy*;BjGHKr8vzhE1yD;japY&n z-CgbD(`6%(gc5-RY~4mcZ$vwBGI7 zM%k^KZT5EA${(nAG})bn&0Zpv^RbgN2?4m*{%swaUcm*k_N~z{H`_=xtoy_G<`z?z z9+xMuGxXXx4L-k>^d#_N@BAKY=mfY$*}OCFspri!zFoi7a3T6st;A8h5M@|A;klFE zyuwfUjyO&>Au=-42KP>&J&w2n$? z9~|>e1%0a8If!^FD$FyTWJ8zwSHsL})?;ViFi&=hjW}JDwDE(lp}?ymC{do>3tdy^{@97^p?7mcht`H86Kja;)^b8(dJ&M$8k9^_KdpOvMKzB+2-ES&Lw z>jKI!xY>Do?&^?5sUL}n;V&G@MpMJ(_n)F#02BIBJy@C5=91ap#Dp~`8i!3kHoK>M zH{bYfj#9w+O72ybEjB8h({s;w@4!|fL6J-5pk0G8k5>xWbyc~lU&Ima6Uu=!P*%li6N&!qnkJe?;&l$c(#IMzgm2f#qO*r>a)ws^ zPQqTJ$$voJx~W!guSMRz#1+XQVEni2(*`QyY}4oz1n;tXpPAgR4_@{pe){f>Zk`D< zPyO}!pRi!(c*5~!ukpcpbFXpXa?!@)n1kOnHKSQ(wARCN$go&Y?1jI%3GfA26N*NZ zqa*KrG8-CA6Jh$n{ykzyj)pwJur%%(cPfg>l2{;lQ_7CHc;9;*|g9Q`duQDl)&w0 zk<12&oU0RgRF;3~VmYt;2=vD$sse$1aJb^jfp^VXfeAxzpX8L@2P-8dzVdh15c<1t zVhP$cgg#ni>`c`ncow>Kzuf1@c1+^et0!CDk<{r8555qF(c5e(RkV%&^_ci;f@EF| zVXRFt_&Q#=rXo%xL;<&-&V-iFFD@=u{-R23WRUJ?MSk$~38|*kiA4+XBL_xx?UzMW z3pRGGlDPIP*zMp|6<@b9WHbplc5_dpkAbPM*W|aWi|&w{oCIymy?FRdPsNbx)`3aG>cKwd?yt zX12hGzz1e@w{M47Xs|KAMnA(K(w+2ZIOipW!t`iU&}@@^V8MAk?-Bzv&rThWjze47^Y%ktH`h!#W_1PdP-#}YR{NRkEuS_A*OTn+O!et%LMS%g zMaHE$?*@{JX^7lj$n7O0y)sE4K;mC0Hni62C#3$FiU)mY4XJ}*8TEg}Q5c6ff9YMb ziY+8BsQcSh6c@`?z0UPF=Q-YH=Q+6jDwIhR;=(}z`9$yUj1NLO*I!k|`5TbB%j)%_ zMF751iAc<9$8Ix2;&)T|@!HcVSe@d5kVo`g%oCV^47=aQ6Wu$+xjF)s^lL8KR)cpxUql^qHYFi6~8IFqLlUG$Lpj%t6v;4BPe!6zVC%dQmxh%Q{DiNv<`$XExOjZNC;7Nl<|9C3!+(d|#LLFfw|9r%z}^F<}Om7Dl>cRMoRP*7!9 z&5^KV2@b-2d;O$wE+PHPV2>CWOHh6R(j>e<2a50!T7>Yjz!8=-U{sOK1sv|NH>BQ< z3$(j%s_J-D^(qh>R~eU4B=r!0$zE}fzKl`^bKP8sEjTJHwr)QT85G{fle74l0avGJ4t@U=gjzW^E%P*Ad&Jqj5~T&eeJ+#ZZ! znpp7}20vVlZXW4D9;2D`OmA)xMj$;ehP{%B9(!I)H(XK}v`MqNHL>XBSDbg}(e*I~ zSsYp>^_BX;x~rni)8)vU9L$gNn2S?nN>$u9QIc%6mMltaRN0oC$a5d}O4c&* zd9Syvnv63H1oGof4lWiyKq?Cj8D1Kfi8!$~u>uR*xK~4V1Ml9Jg*9AFzgl;mj<6M# zB~v4Vi$;u2|0QlrsTf>?YRz$$R;1@X@Ls2urMsNWV4v8d?R}G2u2fNKZTjsf(Oe&7 zVZ`GBw4h-JvEw~Bg4md~K7qg)bvY~G6_?8eh(zw;35{FV(Y&6bxq+arlDXQ-lUTqV zUHGPIT1t8E@2e#}^`r_#RRgiYJvtLCRkxIKK zOZrIMiK#{FNDLqz12$n`=(3NN5r+vALHncI-8;KXJG)V)l??C=`21uP0$fomHv>o9^5}Ni!P}(nzG^tq-;-7qsuAXKXn0Xzy@{z4 z)T+5Mx}bd+Nmli_o@g-OrF}h-R3wxSCjG(Le{ji5`-48kBcVwTK|eS&?-{3zQK9wb zj~JPP|M{~mQ{-lMMk|G{L#TUQhvZScMur-+~+XkuQ33`-(&KP$(xw z0JYx7`WhE#=s6$NB>j~aw(rg$TbR3i^=wqKGR(rJ9 zRO6`HLm_&46`67L63=b{E=Sy6&C*VfRpA4Mip&TP?US56Z68N2dNYCx!gTA5R-*G_ zLX&Rayzyc{Z;`o$VbAh%3{InI1Fbq{_sP(KEmA&K(_2LXcS29odG8jTc@4-!M^hE- z{&R!xL>#dD9VXw8v0q*ZvCE^Fy}bH9Gbwr^f~%=1`<@b`mU26Yp)e7Zmg9EQl&;2uK3v)FdBS1}xTAb#oL2h3chlS17lY{Lf-cg|DFoN|6_bTeNRE(Npe%Ui$@?!ey%7TF~>^9LeEt7Z=>LqkH;zq-)mJ zspd6Hdsd^X+FFS5$L@mmRs>fPmMJS2*85P}!2O4J&Lh7>1~9*^1rW*ge7w9ZKOsJ9 z)?I--J=}9FliKqxe7M%j1l0&wG-kFhC+Ox&)u8BqyMF#|OyCRU{MAe$BG*=-{o@sx z`piYQ$8&wd)oz?)H<36cT0DtO5>qLM39gULG;e?_kQpH{nq=x_pUW-_MSb@%rmoR&+I+%%rn>J z%%2(74n9xKddj#ji5F?G30dP|@knJdW=uU<^1hw)Eb#Yl))@ImU2&=Ue@<{eVW+PK zT88*@@c-1bJj(5+ku3L2_UY&oUWtQKHixgcj=g!>S&(U4n`zs*KK$m5U(4oLW|m>o zp_laPRLF6&lF>*&L?Mx}F!e#Eo1+Z{kXLuLrAJvD@7uV-ybTKZ_sht>nWgl$mushb z>H)bGx6#bh0h<-tjooW$Mo%7SQq5jriB4wAsFDpa3 z;4<|AOYRp`XO4m4{^tA&+lyGR`0`%y_632y-<$7Z84^xKpYvlyOtrv}H%;%0hQeMx z+&tBwQ-oqPKhIvdo4zpmAc_~E)THcI@Z|h5#^v>@pF`6XzAvLgxIa#o6}{t!zTKvz zivDSu`+el+axsnzoi6XwU)$+7HyEv5NdE~du0DGDR`QeLg;j>SiZ*o@wXoWg!+2d) zs;28V`)YMRAM2GilFhy*aZ2k-*ycZsHf+hG-0eF4G%KtZGC%Pm$oXzrcEHHad2v@K ztJ&O(F3$yn`u1Pvf@P?m84Kgc0nxQ8J`<;3mx9+W6RYEIwNA!dhtJoM+LnI`-x*wI z?b9E7wjLjNgd41!9E_SUn>*c*OIF_>4rfu6GQ{uKdrU(>>>89L`n}^wzte!GmKaPw zX5_|OiNzyLBV~WctlSH^(oS0pK)vT#VxGf?LBzhw){xFe99XQq@lEbwEXI=l2y^ng zPj|3`5g`3JF7ewDhaGQR5nkAt@dZ}1XYJOibdJ)Wv!49#^4>zn96qmBuktGc_u<$N zu0H3|){hnVyL{41hJD5;zwu?Ii-L@$3!A~OKjI1G+xELZ$Dc74GZSd^JaxVD>q+R# zt|xgaCDRL+ee->M^dcP(LYAe}_V+OAbgy1IE=n^z11d{-;;iQZ_#FY?_WT1G!hy`S zVOKUWx6C`zjw|F1`seYum3oxxQeq!C|L9mmU2mQ@$z+?q?V2y5#l89X?dux5l|W{Q z*B?9U&)0AK*?-RxiaJ|$4SXv#dAV3pq!?AzVjtx?OSXQ6vBIwTf^{HHi?*;u@#^`# z$?Qh9g<^-u?c!5LFkG2EtbhE8WN*45Z9(}4P#BF3vS4Mu(jAw}=G}%anqbWhmSQM^ zFjMO0>(HCwCL0u>qeg$9?9=fj-yIpk-*|goI)d+OXA`c?mtUV)GBd_e$-;FipvE1k z)`6T-%bWV9wBVPl|D;uIUZ2xMdanQ+f&VX`Cd=cNNl*YNMEgy;x26X0hRS%|CF$IXX4>T(lMcx@?7>l^+sd-AvdsUdQ?*stC~x{J za~7NGzQx#rgNvO_3f8KHjP!4xpO+{4<~|K&{vyw!Z5_E}31=K|F<9T+sTWY=CB+Gm zKbMt*p>W23=mtFd0m~{{ndQXV%;AjpOb-qywu|2e+aaXcV5>wt!Cr{n4D5^Wq-7{f z=`WwoT=Xoz8l;Tzj1dJoAbKz-K1z-;C0L#d6JdZmSVe|3g zLXz9=XN}v$2OEcQ{t`~TWfLMW@tI?(SE$YC;~j|(K^we zpnCo61P`tbhVkbTv#ZFW%lNsxCf@QmTfs+z7xt)~Y zIqsCYTos@{6ym_Sf^a%Lu%jx4K5XEl3$|IoLxqkYx!U|hK3CY*e1MCPQtPd>vti@G zuQ^|Dw_huNms}`b#k-3`a&SW0fgyJ*J0Q=K!H!eCn>)q0_jbGX&yLiW23%4%NDtHs zu`O?zm!8}}$JJQ@G?@TRbrioz&+D3ml6TB;_(XhW*IsS2yP-y*bln#baE%VLkKvC;lA@S$ebdore+C7qPheL1OgSOX{g97V6>U{d%| z->t5%HB@1zVXN=*5fvYqmN5!Bt@C%d1n zr4{_R>#?}PYX-H0z8?JEeTS!+y=UFOSZTjve`{NIy>K47{2?hufPY#So@+kmvi%&R znD@TR_5`r|WcVE>YF`U%lHR36?*)9bgn`Az@?fy;Sj&a_2Te+58YWQRqqzCp(!L4j zBZf{J+PSj}gTHxmhM5$TIcI4%zfk*k!0m<`jK$0n^JRIOlAa(URteEm@&v1()~qrT8vDi?yZ?jgw|+lY7pI3*GJZh)0oPno}KrfY#eXWJ9RCb7)d!k ze@MU7b~xT8y}Du%(CL4@zA*%TLeV4gB9GmdK>FwvG5Ia!GDh1dhDV%X-*s0rE^DWU z^PokJg>pYPd2X@>Wx19AzVsU5d|G_DLS`bdAp+HaE!xEt^lfCi5mAesHU%ZEdSqT5 zb(cOC`z8kCUo}H$E?+;TJG&m+8QR>OUfgWc8~$?Ezt@d@dXK2H-SgWC>ls~5cO$z1 z+2Y~(+wm4M{?1k+j)piT1AEtw7b7UUG?9mzt~qr=Y`TNl;(so#@aJo#tOCgxV_&^B zr3<*VzFma1_>v!m71|?WY3~uDiCE4VOwU?%?-qSzkNa=I41FJgiaQ$( zpX8&run0Km{Qfnr>1|+FyUd?oZ4#=Vi}wSs&(}9hC>bYt<@3x!6?NX--#h$RQVXT* zdLOj+{oCQ!b&Kk*z>gN%Kd&qns_hJ2)cS&Ts3|hp96Zu_R_y{cY@E|qDwqj*Jzl**frJpFha#SF`pKH#u9(kMK znZPQy1zUU&1@M-h-zC0|of2yJiy9%knSYL7d82TiUn}T12BsmURo~T|sE&3{vD-Di zk)EdyNIa?o;f*Qw>kq7#EFTo7+2hs|I{YuT@`nzGqu_mg(mzCeYgv+v(r1X)i*ST| zPx^?aka>YFe|Sf_I{E8N=Kng1J$>Wp*wv2svvK#0#fmE+?C|Z21$qzhy=eWdUA*%T_;!Ve zu=OmM59u+!lfk^yRK36EN4NQ{TR^(b)S$VbBT;Gtc71k9Mu_Y%U<$>BlX2j7q160cTSb?zczxgbq8Djc%DA6AhDC*4FmW z72Pj*A^4Q**9pHc%ts(aoTh;NI4VofN1z%dz7zBuPR8Im@G*@r-p#JcYJ0`a&RIw4 zBEJZ3Ov1j@_=LT0ivlWCxNQj@b~B{$g@oc9V-i3kr&DJ!#p=67*; zI*}1v(;&z|9!{Wbh5D@2V4-YP@g+6K*-9Z?L|H=1$` zxUO$lAWL;GZ+1d;N>4ESU`4>F0Qfydn?6beIK8zN4FkC!45R`R-~z4=tPL7O+5!X^ z$pq+xqv3pmx@xSnFddrIIwyL*XYMQOqJ8(Db_rJY%t=IBmKuobI4mST5lKZ(&}YNt z+w>mxJ6H&R!Ap1CNmfpLblTC{!pZ-$L%LtX=C(xQcHA3JKAn;bBT=zTkq5?~F_iAx zP;Dzykk_E%Xp6r(S$ZfB=?W95>rHq63*7(hHY?;ZY4~si(2Uh~-r7xkrnDaHFn+!= zOllaN?fx^?{hJJbwE0tVZ~DPLO6%RnOl{w=59$YCkKM2ynO_VoPOa~vT&!j=h?!hJ zjoKpW1=ZXiD0sb(tVPu@S#IYN6wxhMs!8KVF{^IJ5qyr)BkqIS@2HUfp^0;`e?A^aCf;knLJF4W0bj}%bdoE$_Ig+hFq zpgUo2gYIN#h~By6vkTo{wGDspDwCMQPNvCI0j$lKrw>h_&6W{L=I3v zX@gyJtf1J`0SB!7ghKf1vVCZfRcW>5NT6_@*1OHq_DE7Mae?zfw(v7XN-&WwuC(Sq z0S$cBH%lqoWK1eIj3tCQ8dtH@jod=`TL@AG_OW27CQf4Cw?C6|>bk5n+O+3aYl_TD zcb^$fSLvRKQfFtakf|TP!ctxQ$E_HVvpCW8=L#EFTPAMB!MN2uzrDq_JDB`#5qKgq ztSKF5GWX5{v+z#sd$ZE#1U&8rWnBiXSg%{SQ*xnWODSqiLjsfzw4Ncgy$nwkQA^JpE&Xk-RYWp0Ak_T+ zPIS|pNqk~%kj}Oce{#du5%s;5=fOpOO<~q?VmW@ZR(Ik@YA%`OjIq<5v5#lfTo)y8pq(;K z7F4@iQ=&&z$YeNEhP=X|Y`}UlKI5uJ)QO_*_#_p0KZc&yS zf;07gq2+=dZjsyo?oAC>YU?}vsrSjaZ;KI?^I%SoYV%j30Uh+t5FNd=YyoGqALA>JAn)nrqC!G>-B;n9;4t`xTDdq0vZb$I@Y~?$I4_fD~I1 zy1w4$*E^!__v>8?sTsIN>#tzA5*yH8{|Uk#71KVn-g@5Jy#S%8*AUj75P2}Li3Q_a zx2eOTKY9AT$u{ggv?3mJfyxP~uN;=J8`om}skEKaJt5uI3O>PqVcr)H6~d2{8=&AN z3-8addVo*;AK)G<8y0$cw62GLw_1D4D@A^&ob0}N;lv?VSgZl(^L8~WoO_b=c98H1 z`QwC9OPm*23MGP2`K%tuHu74z@QUDFgKq08e_)f^InB2=vugAi$MbyNqU*cU*WnFa z2oPr!N(y~iaQ(pYn^^5h*^_|y%;1sjneGBnam=I_bwJrWC3RmqH>z6J{fCQ^`?soZ zw$@s{fiUmve{Fwrg_)G#WWK@6$e)Lhs1rQXh z8mu__MDUds!@=MUK@Z*o-_YnV8dW+9w_>Ul5{0MIR>=)JVkEMcDs`*rth%UOm+1KO zi3U;L#HhOsr31oa&GMyWBbg~v%Rtm$K`gF-^T%_wd+xaJX4I^d+fMACs(y<1TO z{||T;USO=HK{RZ~R6534+Nh%sFBGTKPUw=uEoPAoA^X@eyvo^>LOahX?qAFkL=CY| zusF53o9+@*DV|8E(cIcxvh>`tGsC?ih<@qAmwLx%*(bchM(kis3R7qG4OZ`H4!o{@ z{*J<#4>`$q)}EJKEOp~2z7O9I$;<_scl|kC4eT`iBTgy*i6%d3GG(%-M4$ADZuymmh>jN&l%e>$r25XQJoU|IITq%8`%<$6+yB znaQ?dHUB&CJFHU1sGQy5)VeaeVm7J4r%wOz+;&WZ52A9#uw3-NQ1X@LPbf{D zi9O2XIU9k${eSh;9C7p5Uo9kI9F16Aef=D81aWbL-WSKuq6^>6X^B(g{bNd;iR0|5 zy?sOE6^3?1g`x^Ao;&Dq28*w4YAWp6AjA3pZ@m6Y}8 zHhx{coQJ^hBdqxm1_>%__K;oc_$>Uoq<@GlIdZ(V@p#l_6!$0P*^ReY@UjYal1{u@={ET~*Ycm8ZT)=ad{RIo_5IspwV<`nThCpd-xs@o zmo|$q?vXn;k?uqg?){Q^?3PWrVA`+QXlO4rEJfrt&V4yTp0ytp>#j7^lDBR;>0>Z6 zMt~%DnjGS^c$~nrGKlIW4mWN~9<{#iOjMbv);#xMFnRuf zJyyn|`BIUrEO(fY!Ehk%PeVpLvZ)`+fen)uPTSSpY&7%M%o&chNd!)Hxf&w%BjFDM!oE$oI01kG=H#)x9uzE&sVaKdD@ZFNjY;K zd}*$UCQ`}m>@>-kP!8ub)d#IiVO_qtZ)iH}k?M=RbXPX=kX2ST5;Mh6^Z(*a*hP!> zt28U4nxd|5Kn~W!+5;K)ErzcskACxysC!V0de9rc>=xaZmc6<53`^CM{!Wmky|-}X zN3KOggwjl1%3EFaU#wSb z4;szJZ2F-|ULQTzr>0|~Swwjgmzp2Atuu}ME1;ZEKypl65C19>F%f0Z_$8%sIBoie zATGnjQ<#oiXaKc4o#Iw8%vU~?WKa^HSglz{ec68-=XTrvqh-(HA8kp-9y(*{BDiKW zVO25mpOkYQ&hNk=o!Es3X`+zi-pzg4iDoTI+0ellTnr{5=NT?Yu512w5KfG*)p-ZU z`2fS$X%mJLiMK2mJzh0#Sq>Zas8Qi}DGqhql#BYjBbBDjCN1qvZp1Dd+H0ej11V#% z<)5sbK0M8tWu_H~9!w*5wK%MaQopVwz)QRL<%%M1q<@PlTE)xy*V4cc9X#)zZb$p( z6Wd-1dD0c)^n=)b&)g1?v;3HATZGI4D(=6~^@ZP~m&QgG+}uxXHY(cFYurY>ZSpHK z11kQy&^~5)_kYm;^c6Q1`zwo25T_^1-rkX>;m|WI1PU+4iccuKV*;w2N)ky6+pQd| z3XT-fAret`iY?@ZpCAo!n3cyPY=q$jZw%cT^lvkp4aj4|7_oP_^bNpa?&PY9KpP6~ z{{F3&+7)$t{}z{O-b@zCvyEOl`VeBK0H)JgXf4Dl@ZM56-uZPeF%y;yI1?773JTTayS@UQ`_dat4q_iGDz53OY>}Z zm&P+PMx_$%5aWZjPuEfJTzBWi&UTkBvrM|&cRZc1KUS``oJuqWY|ia3U79syGi`oM zaNitmasRp`?)HODywpNl=DuQ}M7yml@^YK;(qwmtMR~l#=bTB|sU@n>RLP`jsnj#} zheX^>i8wNeIQF)<``;66To)mIMN|sJ$lQ{_89|XA+snpg%YMz@VGZ>zTPDr6^)5oD zV${5}1eCGicq)Bi`BurR5UV(3FfEfar4k6Q^&VQUd6C#iq8pxF>k~LIx#{ivo+ToI zw7)$RdNxEtN)P|q4133<{D}Hk2%hJ^TM)+G$-0C?^(neHAtHe`dZLHvc>_)smv88N zL_(F~i%+TcZ{tIDc-C^jxjxg?NOL*$;hqQ*}u5 zaiD@=jYbR68HZ$ku1gf5)gMO!4lQEG32ALGvwi)XAY7)~U*fM-%%r>*tws*Bx0or5 zNXSO0y+WSSCfx^LMa;Rs-vNEma2(d4Fi54?SH^`sLTkP zdf2Y>05HlY(qJ)S(tTY?9DcII@sc>dD~1FLo22-Gr*+Jte58z-0&QSz{6b3VWYsp{ z><9mf{p#S2!o@7(FFuM_39csnE4T<26CP7iFct`i!R(K@F6X!e&QT@u>XL7+Y#h}Y z*|N)@aPg>E*Mm1F+$Cj}8ZwMS?oq5u!4jDV{XmI-JcAv91FbHB(T6gEwM|2C_6G4$ zku;^7=vS+i^gn3i$RlAkQ=&?NewW5A9Y(#@Qe$QNYDphuZ~vsWEd<&ie&z{bzS~bo z1fO}0T9-pw>$FM2pAmu=cw9pAMTQ=+!oNHd|E4Iu@NPtV9u;{1!I&KOT9>`|F~hz2 zXVzw9t^EJk^G+lJxv!tcJuzD=I*Pj{yt6d(O?O)3-T=L*@bt%fwofwWdq3DuH{V%f zcABQixmqIW+KJWNmXKdwBDOz>)g1Q>ooi;UpT8UFVYTB~s3(He=)cf+pV4onp58;g zWV($#zwt-JTU%@zMtP@YVtbI-#51BbA0S2nDx{D2g%soZ4;Z?U+B9B;9;MuqGw zqjyCQg^lOC-BD_rDn#iO}MZAQSb)3H56Z7|>6A{xA zBBby&JC_CTzfQb;UlM0mQg>G}XIGMBkJizXXrx2|zA>2F4{c3=J!eL2DSImawgSS` z8Uj0^LO^8(GeScwe?gzI5C#`TziEWzelMczW(zHn@Y<5}dMV`vmG-KU_Ie=W^;yPC z9TpPNrN}4kHB;Bs-@R8%a)VRRk+63aS=sKE?rIZbZj^5@x0NF7v*9{R`|ua5 z{m)TVR0_7&mB6HcdgiqxlBb~CA`jS$h@x{U_WpEU9_E2gJ1r;qr67(oGx0OC z6z9+%oPV(wp^(5460Inojf&0QAJ45kTU|ukYIaOfFL;-z_;%R>{2&maKVCOoA-i7d zN`rFzuVX2huzL)*pV4E;4Ujipg$bLZtaztz{3tO)#Gc(CmPznyb0OkbdW?Hs)N3UZ z%Ph;1&UIT`p(1LG2{k+%C$I6W{V~o9j~Z3N#*H5Ti_g-2E{`RfiRd%CXqPOA&ay+y zHe3u;J4e#4Pol1y@0g|QTzeVOJAZkdgrTl-Q$2!Q#}M{4b;!_w-l_MrOLQp#7PL#Q}>tA3C_ou)5$0s4WMNt?9qQG+rW6WfA3b2RMGH2c2N zhbZXfGuq}5oHk?H77VUIMmY1I<>5dBx-tEKTr4YhoXtNj;EV`zVj**ljWaK@o!>u9 zVINTTO&(^$q$~{~<%fTzgk>=+|Arj1k+?0%qz}I z(hvNZKLS`;ywY4M{jgy9XE{)LbB zN48d1MIrc%4YM>!zAbnAGL%5AY(IkT+cJcBw87_Dw57q&{$=Vgh%9uBk8dlbj?3q8X6)JL7zl7H92_XnynlWxG68|LGz%N>8{ zp1&)rF4+|SJMTyqc|zHM4d)o5V^xQvC=jS8h}6!jPHzVJ$C+;ir19V=LIlbjAzyhkG zhNY^9a`(YEEoeJzf#_4N@sEB6Dj7H8}@o+_gpqC!nlzk`cMu$UEX3)HI}S@e0(y z3jvtsW8O}WFdSct!&z=cR8n-c1!HfCrXMCAF_xll!b@T!Mt$6f1oFJ?$H)o>+~mQn ztGskYb$@yzJ>6Plf}Rph}WQ!PG;NDx4Lx&_mIvTMSiJc`W1>v#62*0Is6M4S$KV8OSag;`4`_rJ!1V>dc4 z+?a}p9}Um&dlPnx;bfTt>t&{5=yD5YZG|e51sSFRw{BBF{eawMoU4dTD1^_ZLyfrR zD#521UK4SJ4xnx6!2BXTH9q9ks}c_&eg_8(Q=SMwrc=B$_bY}n5x=(eI`{yOP$Xlz zqgXlwwKGhPMJ9wRagL(VMr7n!ZE-|mI%pZ+D2@#(Qn#Ao2$HsSkwT+5v%>Le-N_oWik`emfV@hHyvmTg+F?Uq z@nWUh&YZ@n*4pzhuDLmgkG8R{bKG%Brn?YUq)p6;KpRj9~ak5aMpX`?q#)GGkbg< zR5nwD63jNPGD+6sJf;{+7<>>=OKXSx8n^MlmX0cK$;+$xP%|KZjolpD+h)7es^$8- z%0+*Qb2)r@hs@dQC>!rIe4118noQ~onbhXjN~q|Hmh1GYGA^Xrk2=3N%0N0Ma(^9~ zUxxpsK?zZydAN`Vo67cbngkN!`_jv)HsTXS%pLJ&>i%>I2ZdM*PvwWq}jI1K>b5h;_QeNrnsiTt@JmN~7IPnqvp0!`=5nl^F zB>m3+B+IKv2tKc1C!Uiy%}Ww}oZU|R=WEQ%!~FROL(i}m?7Omy(8nvgUPrHolXkAy z$3K0!C$IR0H(9G)f|743@u`+)d!#H?*KZAVZz0S9nnl$vB6W&bgGkcW)$q{{W+Ayl z3$dYn63^VQeH@ zViGJO?xhTBJ|0-Tr4kCZjV(j7sxDAbI1*-uljOu6tzXu;nd*8ux8;k6pFXy+rFMkR zy~Hu0afc|P7E&i~jpnx(pCHHOgfEfmcTe%7d=#LTP!5RPoBe-5a)Ci7PKbYDYe3(ze z7vtP6)Oz?gkYUKnuPh(AaSLHhTWe~GL^8j|LngTPy9I&nNTb3-iB4`e2Bi6k;b17O zu8$zw*Bom*(lJaB5|B)wg$HK9?BAhQpCA`_&YGP56&!O=uTOiycXkAnNX?G{bD&xY z=Q_Zxq3XlA&&T`1{$f3UAu=3qWvuPcd?n`m$hrNE=Xytb#{R~KN=S6p;EX>@so}1W za$?lLM~&TSVPy)^tLHHZ1O3uwAj8H~R58e~hhp8om1cih_^plNztCl`w?782o{O#& zw;f8GIdB=tp%d#v(22?6%Cg98V)t8M=_i#O!u)Wk{Uy}s{u8YJ;VD1q?}K_jV;s(D z3@${?u~A+Pmg~Y{F$Q!Z=5JAcVquOhu_R4-DM3Gf2{K7hzqIYCz1480e3I zQgIhK4~um`%8`JtndXY(A%NxBIpGErH4wm@C>C<8Mt6V4TxKhzl zO@j}{;p3H{t#*udTZF;<@h>ryR#G}WI`OVQcoN8W1y**FT-7J97TYw%Q52L893NZaAh_Zn!Pl`eZd z+#58p7hTatEAa|x>jI_Qo(c@yU|HhPSNX3DZme!3j1mWV%DTdkguBfzsaOdVt+A^V zl%+6;=Yz^qwBE}C6SCJ{R{(scS?Wb^gAdkFxK>mMFd*dndYH@$o3_7IDmT@a(m6d7o3B6e%& z_rrgpF-hUzZxYcg6VS$w|I68XQyv>4N^H#2C zJ+ixhNCpYZ*o0QDdf#e0zU{GjXZIs1DvP~F;<06AsWmGs2#6;MsUae-nrzY_YKvP) z9!lyTrW_%WPuvU2p@MVhQw;N~g4O>XtoC&N<+J&pz~Kf>S5N05&2C0lPb^Nu6Ah(G zDuqfa=E_LQxtQG3f4Kzme!Lyfme&60zSHR?wG1*=<^_R|-!EumW5(A||9~1BtVF%c zJ}aa(`KAk$*=Qhod+>q&VO}e&F3n5JpOmi*FumqOKOh6i5JX2XV%yMwK(Z5hxM;Le zAC{XB|4Yn3**8U2dH}htosESy?34LOhh;y`-PGCo`4=6CrU&UNp4PfAfzq7P(sZLu zt^Nq9&n*wHo*06O!i5LgKWJ0V*pE`f}$=rXR{GK&x zD~?c$)jg7_6(;b8BaeppFUp9W5gon7O*#3&iYlR{Iik~z*nflRa#ZOg5sfVPm=Al0|!pcb{$c>DxpPZ_!fWlzMKDBx>fp zsO5se2W@cCQrJ`#O2Z!MXS&N~HsGucszApC7v%)4MMMn8dkx?aZ7rrl%ss<-$M*4h zI$Skme+(-XoW+@E6vFI^Fbao8(%=b#xt3$2VFtL&#PZ$15k5$kaZleCtkIzy;crXP zxsm@5)LLNyi+s8Ax?%`$RtI0U)*pu$D+eVR8u9jlgL~~tEKc9kibn7`_4I-%Ps2a3 z0Ow^%lXCFO2$RRByTgR&!6Ex@=}jhQDI?zvpT_G>erCt((bL`8o%xZTD8pF6q8|!F zBH^)4_;(kf_ZwlmIZ8Z3RDFc@_!1?cFfTOGO_hUgD!G_tOYzvijE`?3U;eLMyW7h= z`mZ3l83PLtrkOTxy6tJ`Kd#YfBdjTfa>dc3JEHXlUwdWyLE};H;wauT?!N)h->OBz z&7JT&7NB;bUu0k>_CO~93netCyS2(xJ71zo?4AUnGpwEOQYp3+||96?YK=x zT$z}LBzhISRLoif;5RYVhC%2THK~6fXEYyq@m=1`P;)rDuDyCv9;s}YB;C| z2ssuOh^B_3P3kAkz@!#-N3gsqNuyyFSNyO99bvTp1}aS(uk-=kzc2(oy*H>zt2Pz1 zXnE4<_Q7u>{pYCgaf-t??!OTxIwQDn%GWT^FT!jYNw0u+VO!e>J0% zE&WO%Hj4hAME}lhDP3)j1iat+o|d2}=#Xd>6OHPNDe+M31fUBNJ<$3V{XP1ZM#k#uZ)rsX5JpHN4r84bfCHIJgCbF!LqZEL<}o7&g~N zKr%F1ybLX!xF8Z3BfHA(Gk=JFEjT*oduc5|zdvl}a6+E2nkS1CWk0+m;Zs}WlS^72 zh@Jvz9X${8>OtB?Gw={-jFB5%934l|Q`}t~PBKRCBYFw`Y)y`4RO+udHXJ=EPZ2dS zLi6)o3gD-g>OyL3Tm`Fnq6pv&pDGQrL*$zRz|afU?(a<(t_-<=N?GBSR{tAEVQTSwvD6*>E>iGsiKKC=I z*+94!qQI)wY6i?Z9ihU?)D$$zF$v*lGBCz!Q2e0&J^7*kf{Kn%u>Aa4OWyIF0D#TR zjYd?=;{8QhVC5*$z>!*5`6x;wfAE2Mt=$ZCgj*yAZP_iOEb9~LHP(_=Cttk&wKlxEMLfCC=F=%oWp1Iubt!k-*tv=8`&(-3l#3BZ;$592FIJ+Z@UC=VCiVaJe0ZVrjxslqI9dbd46>Rpn9|r1H_| zu^Jj}11P_ndRPi3^R%v^5&w|}8r?yoZjVW(#lj|!-+PC$Na%j057*erD8R4!Lwlg)F57clp|F64lb8yL=MdVUu;oG?f zA#Eu!2*SLYI@kA#WcnhpKO=fa2VvZd^Zt8^nzIl6F_qB%-M_x@Uta_5BW#qcYkPX#?=_Q)t)0iU5gVZM5scc>z%Owl?3f?lIRXo z46)*ISw|}*Vd|Na`LdGfo0Cbfq5Z4B{x=;%Z!M@M$c*R=aN4JtQrBn#qcevxHD{m> zBd-_dsYmU%m$pw?vc34hr~FjQ0VdaUN_r}{Sqg9J#xZ-4tXH{g( ze|H9Qb@59lT0rRsD5v7LvXXP5;~migWa}-Sn&EKC^B*Ic$judTD%|RrD$bIFg{{wyx;qWjxif!>3ks4RJ=`V6NIlYn-%Cy^hxlE{ZV6KetvnUev3Vzq%7RAROHuiy69??n58c51cJ`F*#xv1XyoL*zC*A%*j+)-1(xDHCH z#+p`@Q26cHbKJ4Pi{R12wXocp3L;+oFdKhcD-NC=3_JxZBR&N|vez+8AaR{mFi0$d zI}waKru@?gB<7IDYl#lv+?>64BoKUel0!dkkH=7w8w1+ygHlPqC2; zYsjnODT%*Fadeyf^{I_ycyC>2#E_=p+?xX^?%3rmI#5!JP6S6mTv;8uq&n!5a*d)( zDg`o{FJVKMw80u(QdM+GU;IUt0LtnxTwY;OSr^dYbFHSCJE!-kUSE9Dctdf_T%Xjg z)heNghT?w#X(0;IdwuXc8^h;F@bGR8o~qK2;fA6^3TfrP6aOoqj%y<)H7QWR10}uZ z2TwK8^sk;!LK$o3M%UEm$Ws#S@_fS8uU5e2vVJEz&q7{T=~ii(;(%Fh%5At|+{5(= z7i6$m@_?&imsVIcOz*MT^Z#)5m>b4HFC0{r3eGWc{D#T(WsX6U+EY^^Z&b{=B#UPJ+4f% z$%}V2RlQ{yVg9xL<))m5%e}$4PN+4mL|mr|w?fMlZctoK!wt0_E(1_pa*n<^+;Ul} zInVxm&r}SjQCDnBET-$`vjC_{q+JcI2tX$RAJ)G0Vs)u0H8DF7OR1R`1ixgZi+U@ z)aJN7HbQwppkP8KkO}Qy7=#ck)nYgwK>9rj>|8LF1B%8$AFY8!57Sf78d#(KNyD*& ze%mJw;!1F{5z{R*=v`zLvgGHN%G-zn^F#e$n0B=ncMr7GpgqM>y&-O9>>2B>d4as* z_USEhCT+ehM8mO!zQn(QjRq@wTre3}f+ovHFJ?oOfN8D70S5-REaQ3(>As;2`2kH{ z8($_Plf%zEDyBM$F2>elnmmbr1A7ftPDBC{YQ#-39Ffofcm0bF0yM8V8d=G@Qh`>i zjPmV9Hb7S@gnGRj1vPvX5h7Z(=Lg;U_-gXU{{eSv@hW;T_1A8dy9A{kL6~O;tY1M( zd5gq>CAoXcwGI<-p-q<78GS5(7qV#rmI-R``iUt)v?OwX^B<@r9tVt$uQBp;utd=) zuVIw)mz=-6<@gtG3;*&qs>XyiQx&bhqu&XF=~wcy*mcA)8WX$zoZsO2)`ltX=7*=L z5f5&=vQ)zC)5Q`!C{?DYnaQJ>?P3z51`_HA9}IkXU<_JWzZOJp9yfTdCI&_5?k>V0 zS|7VN9rpb?&p!Sepv}zM>tLu6W1~3)%$pnW0H0BA2}YSH1@&c>IpeT~i{hIed>Me( z-aYuh)(oV!++)#EviC(?TNKG#gVz zZZM50u3-=xvyIY4ObBS5fHe*FF~tEu8Qi%mJ0W1ccO&@qp)oe39%*p@rDm; z>J#O=DR&3)j-4r)ztNjX{thDKFY19tb=X0odG?RQ;D#&qtA55jV0Bx+P1^M4e( z>v~%NL@S+%{ehzM^ra0zf;m}&l(w(pMgN0cu-C|3slt*SaT!&}q*zjI$N{d}Vs{wg zA_l39Bwj}V=8i1XN{50(bFl-ApqzCc75I#Rx2W|od0Cg;LnTIrY(L0{l0eh z|1+d4r@B%x30Q%38(sxP0dQAV59#9YDLQh-a*5MKsVJYkk!jceGQ(YC<61vn|pz)-hL)m-Oc$i~#TMb8J7~?oZh5lAogm4pBmPE@lkV+-b4mO9hU^ ztQmA{tRJ)A)>A$^QPgC8o*YlEFRx-{Y&EN~+(}aIygip<_(G?tvcD_?EdQjHIhXC- zZ~XeCj7O~T@~;mGfhKa{4N`aYjs|zS%bwh^=$SJ;Qwp3_a-XLh3+XhZI~h29L}AI9 z+_hI&<2E+C%B*A9va$WFgtKBvUnR{)<6A)&S5+rjnb+DbhRwMrM~Rh%_&n=>J;O!6pH-I z@`I3lePiUpyI!?ut#N%ryTh6FV=+la9bxG>QZ7L~5cnzZHz14-a1K4SxX+q^@Hau5 z+kytbuAA#Zo7=*|XmdO3(VAB8Phji@b}H7KGaue>VH6`aC8ovU#qqdJ6HG2Am%~F= zu7}HwH-MYkJ1SpWR#a1`Suw*|!Sj5YqV>D9NNoGC?rE*7zI#zwT#R6jpq{^D=Dn=a zZG`Cjkl)&C#aOrK-cenxUM<5ku2FehZXKmkRV$maXpvbl18yCgGS@lpqEX#-{8ROm zQojy4O%NrNQtci;TnjA(rYMgKcoj7I2`Isjgp;J-ux{O; zo`N~_EYcc?N@FfYBlI_)DbW(94o7qPO{29DDB zVN~`yxzrH1Pwdkp+lnU`9(j2)b0Of@b;2|eNmRlH?(JgdTJFL_(K@WcZ}k27(N7wj z&{l7Wn@_s8=WTlZpDd(fIeB87nA0?)h-`pNTv-+Y@gRDNMqmC#?Eo4_-*%HHW-rAh ztgyC&qN+xy(V&m~wf=I0UL^x>!Fg2kx2ZC7(od$wv*lmXAC~)=nY!D}VmCB>&-Cw} z@@YNOf4*0FVXa|hC-t_Olc#bsNh~ZS+0`SqS51wtSgoSq1YXt;&_XmSU)ImH3LUC@ zT6PrU+OA!M4v5&G1GKsz;6e%}skRC+gLO^P99;vh)9GU?+Z&=EY@Yeo@RG1QIPm)J zguEbL*Ou@xE7*FGkoQR`%AAt(KLaptAt2n&6+o;#tv?j%b#OcIR&Hk*xr3hbG1zxr z#r@~C`Nia~$@7QRR9(fGhCVR{fn=}E*H7ug3O{*aKCt>OY>uG~$e%`q-Qr=A!tNl~p?$ua;5Dr+y?{63?Csk@0kas_W!RT`L??~5CADPcL??7-tgm}_ zf>scnp!#taB;1@*D-SEME)RX})5?MZGQHAEskeqy%l-x?&;hAYJg&B#Jvq+~sjaFe z?B&z5oVFFZ=LXfc?ReV?KLrcD$rcZ|Nl|m6UX9JkBQ?z}kNa@^Bt5=&O0a{gpr(E$ zzcv2N7vVQ^$ME)VQ$FUg=tjdk`hOUE3$UoV?tPeMD47{*=waxV5|EG>8U&<?mlGc4=&y4IBpAwX9Op)G+GYa@jXkB53I*WX>MVG0#JR6Du?yYql?hSWvZ#|10ErExqUl1`@xG;DDaJ?qXEoAgdn&FJ=4a#C9rIy z*lQ7G`vShn$eJjUcw3#hD8tYWX^Lg26Au`7R?qZH#tD5u$i_HbvddOQZGbaZf{e-8 z9ZXN_oBA{g=@eyZ_7B`K)OLcoYH-BxX(9BC$&zQ04FRM}1OXYObgSV6z$7YIE(&J8 z(pT4*?UBlOTA#%i%!zn%cpz!`Q5|{pWc3Qd-Q#hsYT_-C>)T@LR~gFwfN?(YQTg;} z;ycf+F0v;H)As@IVsIjsMjJPiN(A#30Y2@0SVNpx9|L0+Fd>8vSeEt!VL6|UciM>` zKVUxGwtIH-trx>>nwK5FJVL9ZxbN(i_aZwj6zyO7yxZ#^iGCNO@#kx&Ua68!^pQo@l&z^ zZ~nHLsx$D;wG8ChfRCxokY%LwV-tTo12JS0wLYMPlr;UWO9M$VQG7Atl{Bq@P0{r; z1&OyLnZ;+Ncy*}sCwi`}hd4Vjiw;WwlVz_{tB0#j!AQXF=0v;?o@a&34-S99@+I$g zW^Q^t*63$s0789!_9Vc>@^QCvVzqDl0iW}(Ii+F-pYsk@bM4MTz{nINWib5qeIQ$+ z$sbvKAOnhrxyj$pK&Ue%s{$#kgVY5Fs;=i?hklMhkY9Wq&M5}D2jg5F2mo#p8|SK5 z5TFxO=aJSNs>rE9F=)T0g`W^cEY*}Hz%H?v?xiz~)2*)0-ONtPulgQvx}_cquRSms zGNpN$1yoJ_Y_0vbec*SGfj4Hee&Pr$FeYCJrUEzkN+{Pei_@onV5mt^Z`HyN9)n5b zZNzzF@W&a3$n1I!*Zou$LOF^s+qVXz_q_1^p{-C^tQB?#c?BT|Jh8cXen}v1Iw~sh zinRE5P*9gt!?9VLyU zWdNlgjxu;8oJv@5#gc_o#Y<#nL&d8FP5@*?<2&+TJPlvbV>}@*`v?mn<65xMn^6Wm zNX!1o6W~nO1eF#n74I+h)($ws3Rm`44|i^Xe9!_jnx>boH)3^u~IcX%QSbd_Mu;~`?|#%VVET3TU|34fZ;08<7wkoe~Ub0z`yn)x$>c#CDRZNKKC z<_qA}x85mCDaCSs?%;Ex1}v!?-L+1ZeTaGTmnl~f2S zn#28*Xn>RYi0s;xPl+QT5$3PuyQ>{@ZA`_-MHz5^m;d(HQ}V=RBx9s$OM4?bi2HD- zBQ=ENTFQ-^vKdM>8U(3~WSVuxZ*FiKY}~kM91tS^k$~u_!8KU}vZ1mF|9of!{V$yw zA~2oqo4YUR>YYQC^Rky@w8$xXL+q(?z$5R8g;Hk8XP-$%z1ox=6CG>Gl-2P{L?(sd z1Y|G((33y)zog2K+YmhEAGbLGQF_I^W1ay2k>KKKRODzzTfLh$7Mk1zg3z~zVg!3% zn!Iu5{`TBA0IouO?*$P=K*pH>YtSoJrxZhe-(1@)Z!4#Yh;FCJ7+!9hw@08%{KJ)k zhKl*VTW8ohZ9nT2=pCA?+cHLHczu1u6th7$e*-(wfjA+DNu2Kq$(cWTfbZAv!A?rM1Bcd+M1#=R^o z{=sT)&!Hpa7>5yRsOM%~cNHSxL{k=wDND)5(s<09Z#NAk_fis|vzw=( zp%Yai=kf}hCJc(XY~L#17i`^Lc=

U5D5gp`33MX^}xLi!XYQoQVrP38eL;bjQcHXPm#UBC|I_1G3I^rWpaFYZKwe0OWnj7RKJCvy+B-KlX@-8nWTY4+FdIvJ~Fo zBVgc6_52W0WZ-$$pWynl59r|>R)9bMfyb~GxaAlnB0J1Z6M?3}yj`s31Kkv3Pu(p+(h>mx^)#p4-2I7Nw5Q@0< zp-m)&8q2sjDbj*$zKyY!5SxNy*h*fSq4wp~G#W{e$)o@Z`gWcIbF`ZkP>e+9w6hE+P%ofgm+`Xn0X}?$HY_*nd{l z`u?Ifl#F8f`*Am8u|%(^CT|rSnX&dga;d%|TKg!_FksC?$s+f8sQ~AA9O>GfIN71D zONK8H%t~55&vR5lWJn3~Pc_sggEMD-7RAqcXkmh7AlXrMe=4OSNvzq))t}-K>3v_c zTUl8}CV3ehH1j><(4UNCvh4F^%F^<1mrTMclClkz08sq;p70dTc?xz)tGoBrPvD^H zxhqli0*_%6EaLHQeBd8T-2JImZhGDLc*>Dh7HXRA;zNAk@Hus=*6zbbAO-rQTxh*D zDs|e(#p9*xig^2gF%2s!xmC|8#O&WH6bw1}$jImwWF&%VbT;*Eh@h58U~3B4$qO<5{{buTm3x?hMU2#gXYIT>i|6nig3BK9>z`jlcqaTHb^0gtjspzp(W?Z=tj4H*T$T9>rtpQe>fwjNA%Da-#lyBU zehc_Anu4H!t!{g)DMsDxvR0qm4tO&lT5US#B5fJZbIlkSGLJ8u8w{Isi zwc5FV6KMob2pxh+1ywSM2m?zB+wpeh?Ffm$fl%6ecZr?DUOewicWB>Fa_|+wv)h%%PV`e{&)uk{1z>*Y6@Iu-2C8sY)|rK_~&G z7Lha=>{~Y?p=%7qn;NwOdi@ekkChY!z5grXsSH(z`(COI;D!Wgi0wrGNe+j0^gbg} zg%JA9ng%uGQg@A4bX(L?{O?7E?xN{SL2s}3x`UTU2_e70v}39n;*XbaY&l%c5Qg&=bQgs~U*lf{gZf68rNig*Nl` zJY^#ot`w0)$Iz^AloV+Fwot3UCfpM*7~$7a^!!<}@S)8ydb@mMC%naS4f84-37r)Y zKZiR&vK!7=fJ^e`;YBFYZOSfrw+JaLu@=IH?dgc!@A83}?i2T}a@XUTi=Bln+b4vU ztJHVP{DqaChpHKsl}Ga~3;z(oAD_5PXO+!bBu6~%9yp`2oC9|GRd9f_|LWU6P51L> zaGpOX;%zY3<+W$V@3AwyTPH)V1O-z$WIna3!JlsQ&vp(gP+WZa2*h% zD)C+L#(w6K8UBeWNH4vaifZTk?)qLLrJqB%OW1E!weg2;D zG96;CsbFc1_?crRUdSUQA#4!5sra-VU2VcJyJ<9AeACmLZ<-Y)ac#x(`}5^V&(=qV zjtnujxP2*FOg?mL+le)ohHBC4M22hhhYW>N*?9gf`qO3gqpk9WkE5KJ;9WwnLROzS z5B;eDufd0xT!$fPdFJr@p=qwQ)K_RVmhTtbguBF`uH(6DM$nG-ZHpeC8RHs>fBubgAytA$pv+@_zBA?z!+ zF{J^Mt&q?3Fdn>7)a-Y2X}S$4g5GWE%WY-%_j{;(lm-SXCNJECt0G-(3P$R$O-XX( zE|3O)niiK27<(h=3h((-FLBs%d-`=jLYK=6>iLlvkMviLZ6@rd_zr+4qHO+YytJuV zWt~j@?b9LmwmTP`35#S7N)Kb0BQZzMqKz}!b|z_r_D2NMlzGUTLMINVV$z6OV6u81 za_#pKADZ-n#?p7LXG0Z?MmPG}Q9Om6z1USgl+1M5a++!!UdF;VBbhyWKZLhIcw! z#+l3_a1Jtnvof$BazK&Nu9EoR!@#WKLrFB(-7VBMjB8FTl6y zt{V9>o!@N0^h;EapnlK&gMUFFSY4%LU$llnG7s&2)vI-3q=hedOIQ3~UbJb$;}w;k zs-yLu5QCwPxL`)vCd^?_GktZTi5l?!u0){O2RfJ*U`GlQ_RM4>N+d!w>}FAr(O>o8 zoe=yHzt+Ol3IaTmx~i798`Waot@wsLyn+tO5ZJq_HCo408l)AKQC8YFEwb(LMsE}= z^c5>~6;FL0cxb^0Lc2SD(t!Q(LHuCJH%o`NU+pDjMmO8Ac#CgcBZ)ca3br3#A}zC% zpOpW0GiML|g)jaKhq}4yh3g#IDWdnLs8Q0je7|KdP9Uo@sX82W@yzOJBnjaRG7lzFTcr&YxV%FYxWI`g zvVaL?PiBP`3K!?Xat*P1N7t#u(@aWL_A5!wZ48*zEs0cW@&5F5!X+k8_f^riUNun1 z+Rm?SXZEC#uZa(sg;I>}N^zf}GBYXkn(k5dzq2l81qT>}4kfxo zcp=hvM~DvZ2xVk!dJ*GPaSrHlj+Vb;IZ%dnC05pkP(Zc=S5>PSX@NU1CwSYqC!>G1vC&s6B67x#d;qWl@!q4+uLh56X&@glrtr};~ zQfD0Hzje=&82~;Ic|@ZS9+tbg9qB?;tx9NxvvdLm9*A7Lc-B>$fP%{YZpUBl)h`JP zdLsIeWnp8jXV%jK*S1x8BBOB+(5MJG+MXBtPmn~W)wRVDCe#+pfN&;?glw!i-cR^u z@+BFRJrY*i1 zGo|(Z!kYkVX$|TO4r%uc>L^)8GJSBQs>jrJ<+ZG&%KubZtYQCa=pm-C(`nkoW;;kG zVW}ElQ#38yYU>KBAP4u@xErl9y>Ft?Dn3Dg19&cGy+OzOE;R@BuyqeN@<~-;IrUIRC*60OP zwaDptNiiQaQ8CL(Ye=X_iGdLrLH3*Ych0v*E#q97hc$k$mn|#1Rjub#-t5y7V?fWng4sk<5SwG1egvph9UIv%e z^mgl%^fI`KL~B0HHUd!K^<}BmR>=oO4?MJd_E+bpvvH|EDgy4eW`Z|0`e%k45}O-Rv8)!oeR%OIzBWV_7ibnTbPpN1hp?*q z>Gr@85dUk4L@S25)i$sV|FQb290HH<--lL$7!@;=BR~JuKSL%&^1U6kmuQ%5IN@1| zIt$TYh+(A3n30@E+N!00GK@o5xd}~sjV7bAWhLhqcZ5v)^l1}%wfe7`gut7rS*$zY zcuXoWsa%Q^8kY9Q@d)vNLj}4Y>Uncas-HVk*9~jAw^kLBRn^|`VB|C2i%w?}SJI_e z=YP5fPMlWSeZ_ihAVHgDO=NTCTu=Hl@HLaZHEUe}+o}e%nWZZi8?)Oxbcr73>*jTO z-aN&)GBz-y7qv;B#EUanA?YykB|;4nmv@Nlza}2^13lBxe&*U21aQz7t}+5-$KPN%jkHr^X zm_$qYeb!-g((w=MWfocffxS!s_JZY>B>%wPw$}I`*!%Sid$NCEj|YG~R{-`1{=i;1 zqnz7{c9jv-&pch}nBDOxtn}a-L45jy&XXI3jWC6=Kn*o$IS2# z*<&52{g>=z3q%Sc8VCLp_7eZVUf|!bckoZxOR$%J-Tyc2ksa?AKf_+$->?V!2ljaW z8|;Pu2iV*CC+uA?*S?)}ajR74xcy1`3Nj>gw3?qj@rb{mHNc7icTkL|wEqjiK_QJFiUC68 zMW1M;(xSDxu(QxGL*Zzph%*(S=SKGOrSFo#o_CKPU3}H6JoLB|x@|R4NYL*!?P4xU z`u$g}x=CL9n?#jJa`sMTA_{#}3t;4BfJq?|xeXq}d0SOnpBEV1L>Ks}9{>Odc?5&j zaC~2Fb$qt25*EJZWjG^frK^esHhs0sE%RIP%`8)+ij;zws;N`T_Dg3d2x(fC+T^F5 zUQAKK{l6lD`4hZ$gE$zrrfHv|s+)j+wpuW|eCHX?>LQJEFI?L)#7^#aoCPCt1K0eA zz+$rz|EgTpAiIT?XJ@*UYn}6ut*z0@{ET3{Aft|i_g&WEsmpnK2A`e?%i72FK19bP z+qWKPlot?8+K4>{P@8YdCSt<1ji<`?gxZ^2#pb^3;~ z3lvv885g(LkFyQctLwvc@c5?Er#rL#7Ki4To@Cp%V3`c~qds2p&_~}{=dLzdr_u0m z4PP%Ewb5Rb`8F=FE^?El#?>LQiFw;mC@EU4D<<^IDZ=CD9{L{?e^%H)%q+&bVkkev z+H*Y!dXKJI+`f-LlA2)LD9U~?p-wAid%0u>;mDUbo-vI$@*pq4`VQndu%zIO5{M*? zGEJcX(K|@?XZ-(N@Bf3Yr%_?g%C64EQ3`S_0>t&IZ?Yoc}=i**!n$Vk@ea0zoBHZsXa(5$p7ib9@hUfcy z-)xq0ZVzs5sZMkR0v=8Lq*463cTtViI3>YRN|<8a8YWUtba(Qcs=XbcDTn~c!{^1{ z2j`Aw_he_5>G!`LZk+^Ee?ymx(kEY|ef+;4`LhO8@BJMLa&kkk)OSc0B59#FyB73A z16N%IH+D$tNGCi)qL-fEzRDDKHYUFPOUC?Fa<&odmW|Eu}FgsUP<7ZGku#&;m9h`~D$Y}}BCGT?dt!aY5Txksk41A#Oc&IyN*T3$yI%zr@@HZk9O>+5D>Zg3Rh345e7SGSB_iQ&^a zCga1M4JQJSo&>xs6cdA=FZ8L32+Z?MNAL8)2eBi_&P{?Nl@_)=C@a(Dg}sZTM{sZ9m6M4~D4;k?w7=mSnC`7 zq80hjHxcfs7IEtja$gs5-diga3RU!4S0yV09X3D>^TW-qq+)a8^KF_Y=X z{!8{DZHQZNiN+P?Ldn3V$XO>MJKB=v=~!i}^19xcO1TxsVn6M!2KnU_NLO2>bN;91 zFO``W>5Fe8_|#4k(%lL!Cx!D0AV^zOiQfKgjsyk)RL@Es5&O!Rq{ex-RjOaHyw`6^5Q*65NGNKvn#uho$&unx~T zJ;3yC`pNX(V*v5Jf<6%e_-Y7aG(dV*wnQfW6PP&3Zizj*hnl-qTFpx}Ec`C0D%PJz zS99!>qSZk3KLrK0ocTSQ1ZUAS{ZrmAHt;qS_MpAfO&i2Q_JJL5iSdy7&4$Xh|y?I4|_#gr6{E zsScOawxk0gz0pig22=1MA*oNdnX%fUYV)BzNZHpaU~MWrcXm9v(sHW|sUL$}p>Zmt zsV)1fJo2Umr6=#?-v1TXD<0M*R+@95C`8Lov}`Z7Tmb7CmH583o+X;On?I?=n`&Hi z9NG|YTv+aoYz-&=+xM0xX=t7BUrXoLD(={McJqMn@T&1Ye@@`6#-Gs1LDos@W0lc1 z7}EJ3Ql$I6I9|__Tb!o20#KQhMoRVf*WbIfRClO!8(XX2c+0#PW{M4e_bbHBvJ&2& zvnYMihV`nSZFv-S(K39(66mD{NZpv38B6|Su|#g|o5V(F_F}E+(A55FMKb{JvUMX% ztbm9YRTF98Fl(%K?WB&Cs~%KYf6=tbzy=?zR!*%`3HTX)MRvcZ+sTj8Yk@Ef(|(gm20 zy~R&uD1|CT&n4TRlI+hMW4B-YH}ly~4P}znmQDjGQ@$1W3&>03S)X49@Ale%Q!j*|O|;rQI~&&*c;Nbrn%XZ|4*!r_DuIRbx0_Rju9_PRCy zQ)F-IACW!C-;q7-|E(i?A^#THOZfN5Ufw?X5UKzosbJJ*&U=NpJbDHyxs*g$kWTob=W|kudQ?y2@!Hj zr500ysJk3}i;Y1{6-)+3=-DPkP55R3OCkJPXc1sz{%qLHGEPEc3>gGv{T;5({V4Qr zP19xpqDAQ6M)N#AOp?L@J(bUmRcJktC|^&gWnirf=xcFEE#|z$*(FPU9qR5d_5;yE zv?<9XKtQtId(kB}4^Dwif1a~bui$(!fTN&Tf}e8m-$?III!_c97WO%}_s^)_pOJTc zWAK=RRJycqm>GReD9}KUTdrr%n|b!U-C`i07uP@s^yPi=$MZs-J#P#1xnC|=NzqW+yPfE;8p%!H zfB0yb{V;bB{cf|sIi`k$-K25skf(%rL8p&)fFKi50seZYnWzIECCMFjKnsE1+iV)| z@^K()vyf~4{wcy(qUk%^H%^Mg@ohh7S4?YcFs8YMzl20$J@_(`{4`B_aj3l4Onqao zTMn{G@B9Xy|DeV{+`t=2z@VIUn)l6ziZ%#vz&Cts(5cVnZ1Xg?;$^j1W=7;T`Kn36 zs>@9?gO2~faKV>qt5fr}ONUD&JXStKHQpHE^a3zjcyQbWbC~g9jL9x{E|?9C5o9R+ z0V!r&o~k?Zg92wfu?A{o5blpE%0CG`tben;%`yJcMN`R<0o2QuL5Y7>Rx?HzUJ7BV ziFY(!4!J=Ih>l_!OMh%H1n@ixY$U}1K8aYEs*DNc;7e}KyUY9A5Nk7tg$hG{y@v%U z4orXkI>pZG1O&+3b4u?YvWMj`nTe?RQ4Nld*X0}|hra5aw#`cv3`b?;rFe^#Tz_$} zdSNPKtfe`lY<&_HOa3l_CTd&g`?nwwi2g4LUhT6=ZTqx<7?1QnJ%5j({Dg(xXB93D zM^n0SO&-j8ei9c^DzIEnq9^xk{>vI^B?^x$aPbxe^y2h4i*6AuL!T&3+q_)i&>;CnN~`JJua|AW1^ z42mmg+dmV6BoN%)3GOy%AV_d`cXxMpCxg2Och}%LxVyW%@8tPEZ@vCyYd`F6Jymn= ztGj3VFibanPBGX0>+?fdq2mBDd}2(f&7WXQB@~Okz#mI>Sy>h+VYXj~3UK~E)uii8 zLI{naNp`_0dl`%U^Y^_sRXHJlEd|R^e6$zde&DQMI$O@Z!OngV_INY=~h}R;?Z34Fdl?<9B1cI$?TYoal*X^qMJ@4{j;Wf)C~SQ}ubMm&8dnCT0P| zD>(l+2D8q~h_M09aX$ZKk)s#nxs1w;7X?xnaaVCP;P- z9~|B+d#0~Q8JB|!75Wu~ANxeOl(Z6Cw*B=a{Z=Xmu$CYBlu11CFpE0dv8fW(B`;X`kmO(-vFxZeM;3)xP_$E26YCn~^klk^~ z7&5PX`-Dl#v%fT+4R+n>8|!*7v1s>qg@)$k9g7_hqr!v8KOs>3B;ZBC&9`1EGi6@2^oit}jh5 z7f!@G-k#V+R{T#fmVEoEV50RXQZE5ZFF8JOVlbU_Db!+q{3X==!>c3-526#3JO8iG z<>EKq6bY$`@KTpyU=SJd(!?$=5-|^ACt^F!QRbofjhkU8Y2X|pPBco+Eol!jg{iJ| zU?M!!r?~7SZYsoEO83)WpF0s5aOpm})1+NZa0#DVfU|s;QSOigkH1YkXWw^+{WE?O zJ`X|#pX5B~)%Ny&_r`PS`0|8(v_*!2@8oRobHxB|8nd7%dPh>x8A?pdjH>Y>k0q=->tXxf82UU1%KUo=rVuvYyYcT zPbub~ZapZ8|KrwEn*4vWTaWlZxb+mJ|ASjE;osbP_Ww8CdfNYV>wWo$TQ7oFo?rKk zbRBlE5<8P%7dP;i=e5)GwKL%_XCJ<`o!b{IGW9yDNwk2(7U}oIxioVX;aJ~s#RsI?Ih@%lI91FL`Z37qgc8YjZIHlBUP=?@;W0joiqC5F2GW4QLkOuu>xdFS!0c zuhq9F9eXFKb);9NZG5Z;IO1hGhe|w($2fQe)ZE}-C%V1ZC|6Vhz(PbP8p11i@Of$? ztBBcKF{hq5T+H6~)J+RxXS`Z}7Vku0!ht(AJg<`fCkPv zZeNLqN>svx(+LlK-S!#w7wO&RqDimpj9#Fa z=(W=^C~5fZ%9FkGBI4dS!-?JhJpH5$aF4!FWyN@PUeuvEEfPYcvUtKq?>W@`${p8n zvVQEq9}YW9D3{cURAXt%xbAC=fiv28m-6VIypSA1a()u&iEgw*!%nLG^%0xmN6Sjr z@0W}S0q*GG^$gtJcH-M^r2F6**dM|Z@+1zvVq%7jp;^j}w)6eoTS%H2njC3PoMoL{ zS(}cKFije65Tbc1&K0!Glj#?Aj@{E!VVb@C`@|V2dLsP+{IIzY-b``6I+A1?n5bVK z`h+07+oZb~C{Qi}Zo%MP@hjpsLT%%{kffByO(SD(*ObKrbCwjoPOTkL*Fs_5POn}! zNm1$PhphFjbN0+#7SCGFxJ$RH{x2$ zbE>KCUfkkcjd_rs|4CkYO%bO|_4tF)2k4Rf&s00VTj)6s9BRT&Z0>U6nD+Z!nT3pT z=JIbo=zu;! zaFyMd^~Tk}^Cne#+5y}iw!T}-aX zOahs#7Tiem2+B`*e%+ql8-aYblKR>C^VQ+0 zZNz8b`1nm2BsS9?J*;}dFtXJq&`Pmd_q%Kg7ra*lVQ+J!c|GIr*=}9aKOIo@bSzC) zf3)D(v#zV5me;K2C2N(*V=ZM@i;C(N=L{JN1e^xaCaVc|YOjx2nIFXBFN+i9E%$g8 z(znd0{%p(phZI2esS$AsfKzy+8EMH6l28H*Ai6%cU)J z)s(!f1Cr3X)6zDqR~=06YNKFy;r!>vf92&q3uhrj5a438^Ui4~E^^F{EgK>9*U8MwuF5=50&tgE7V%DE7o3<3pK<@Kr-NUy=rT%phgfiyZn zNHg5JMG_&T*1wg&YTUZVHj>!Y?6Each&lMBn}kq#4%2BB66w`!DV?yNP66y*|Baf9 z4b!~z){?|9R_3%e8(-;x>8iT13b9_-9JwU**3@--umn9n9fAu6B!ZE-q^`dh9%Mu= zDb@!uq5o%c?p%zg`OAMm=L&jO>f1ciX2o2ZP&2s}q6C12KF(X#xa{f-I<2)c^X-Ya z(k8R=Ii~X_qW5J#Yh*y?wbSz#w=LSv)Q2I><1r3^j>=smVCFGHAY&c-1AQpasW70bFJO^c~Y*2|#FJ~#j zJ!t8Nh3_1KI>(ihn$hcn>mw4-@a^-oDqPLH$t2X$?8Via_so4=TxwZL?lFd~HU2JX z8{vJWPX|4p$wxN6{)-Q1YTu*ak04%~wB9xQt?%LyG_w`+5X9N^a}@J3!lSpl2`4Fl zGUr}v@eS8c@(GTlI@?C>*mORb<2t|6xgq{yXu%s9X1sf@9pOP`1CG)#v#l4vR4Kwd z3!f94frF33j+Et#oTAsb3;WAi#*auq;dS<|0Ykt z=%0sa@h^UIex*QL$U8%UD9e9hBB?4xL#yIPYJFpLYv@eR*N!Ym(m4mZDcub%LsU6+ zHN+9N&9J`)*;~2aP`nHh@Bjdz0j9dPWUpzj!hB&mi>mmd> zKKbvEa2#|T+<0;Hy1Z)96Q}D4gHti@5@6sIQ%Ram(9xbs&XbzslABoPRpa!e*Nq?4 z5FLtCk2007kkmGR^kouk;4S=I3kBUzUIvWp=#N=W^l<<@HEw_ivBtUqtCc7rt8$&i~%=6V^!GSEe@CS=HJM7+^omcc^$s5a=viq*z#WV zf?nH0lQgUD#LzWbzt>}va*$|;clEu!_)v=k-{Du+4kikBI}s`IsA#1?5qaRg`z#S% zBEN5yd=Qx+6Pa57_yfhKH&PD&x8iSPtsXbtzDukwq#SI4X^#olWRVHhy}ii`903Dv zoRqiK--*J5@2JF$mG5Yj2HzplV{C-Ff%Un^@9I2~u<#y|;SCDAc-Bcw{%eXpI=f&< zXgfhn$aBo`{DE(?h{-nAVjH2{6;Z$A_5Ad)noa!hoAWz4>#I^bbTVoNs4w&J(DSJj z?z-ar!6kBcZKv}2k+~5mWDjF&Vnq-yRdf)CH)|KwF#;R{8NX}Qf*_L&#mm!^7y4D9;!Dq2%=iAfw z*OyG`b2=x*=CS?UYPln;#yk8&e|jV?Un?=LA*MeceCg@Qs}tjc0N&>T<3ydYPM4QJ ze09af{RN)!-Bo2%#ioPknl1B;gWqKGeXAO?%q1FoDpVwD(jxb{JAYlZh8MTa*6NUWaiF|zlUeO&6hE|!Y~78I~ODMI5B=~ zhvZgDhS(o#L*Lh-*B3Ql?8XXYN(iTQg8EYs*MPY zA2HC*H!8pmuW6g;y%SG2;KNMncWR{3r=N?6#|p7gquzBkP#26JDgw$4120*=Cg`1< z8hAAlTo`DNME760GFT4O>xgPK3#!S!@DoHP6~xI8#HIoZKTisbK4*oDX4Agcet|L^hsEXa;D(7k~X{D)EZEekF{%oBQyES0o+y)C75?>&bIasJP{ zh-#IwqK=v>4rtzk_iFwcEumDO>| zmhSQ4RR$@zt@7+07Br0K2zzA!>VBU(n9410-fnxsoH|g-C&8@USF~bIfHE=bUT91w zRVJ<~vqLl|Z@=5be(zrdM*+W68;{R$U~+02`}tDmr1v?-@O-^b-YXwHD6P%n zJ^1ln(+(`2}LeP8~WMNtvBQI>R$6j|p#jHbe71JznMS!D;L>vjAvgS$=2OOb6R3AR=qxt$> z+w8IuK#P8IS&}#(Vzeu2zmY~jby>pISN%s54%6QzZB%fR#JMb!9f((=jaAfJrCSt0`Rk5F|#NU%MAYjDmCgVZR!aI^n*m$Iti%M0tjsz2X}|@<4hDpfownf7L19d zR@xcflSa1%o3{-Y&)@wR3@e|b$}t&x$>D4@@MsXJT4J{$1X}gmOmc>rgwC$u^?@c^9xRJfBdb1w>9><#} zi>WuOUoU|AiJ$L-QlGPcy86^L9pnvI}agRf% zgF7af!Td(pT3oYC-CA1n;?Efa&#>jNSUB9E)KFUEm7t}vSWYy(Af>TXQf753t(vu< zvO22Wgj%52n1zsH^-7#-LT>FCP!i{dAw?81 z^RIrS6fuL)(R?N$jFQs0e%}N!295(mSMSON$aLthf2Vf9uQ2Y@0>6pgjJr1m_3GmC z);I6QM|Zz-%m=7Py0VCO@5W})MyHnIY3*}6_x;W%*sttL9anAQxc>2#8jS>Izok36 zwb&B!O@I38{?LYe*LKreL0)@)4Qie=k~GPcOaHvGbRY$^7S{ zx;u`MLhi#BF?wi-qZ&_sTHg;$hb zivxKq28IEfp%w6=j#iw*^C%e6zco2?Eo|l~2!gm3nr(%4yXEKyR68K5?nd|syw(ne zHgN=d%R1wbg54pFHXCF43FGJ<*OB7CnV0x;*=6`zAoPNi*@wW$m`WYF*;mNMraFYnBmF zPbfkt4KeqxqJNa*J9^|Mq?+{KcQps;@UFd#n}bNbwJUDRs%?9n9VFyGi{=Ci5KJqG zx9S43m`C{W?5s@OR`-?yNxkhW;0d8Tq@6yCS{6BI^~g00$i6G~WL>{@BkLe%wZ@1F zuy3YLblkKZ$8Zp^uy2MEfu5wTy8glJB3}-!^hA z$6z31zh(8uxmHQTq&Zee!Q7Oh@?}$B3jDwYJ_^Iu$zDHROY$eu#a#=Ka4Q*Oj+B|? zs}+wl9MzONVPh;|g|?JcXWMG^WU{qSsid=C)g=^V@SN@*s%Kj7941POwLqoGh+!)x zvJJr8O(Q1@`R>oRJSjyB^e8LEc=)ID|qmR!R zJsHP_qaCs1gH0TEG1eHRUEcS!;?!>qAoX5y>R=(foZg^B@YsU&?guw-6^&Uo5jK9R z&>hKIMn1zdtPfM=)VCO_Nj2hv(kb@laO(x%zQ3fOV@3-yob<^}U{ni;I`*>d=IK@z zYjO@_9Y>8o`4DFzyK~_~b;h6JMcZ>fn0W%LHBF2kLwy>5wZEX1dfK<~sQ^AlK@mt< zwGeqskDJ`5)c;|Nj?YS$Xxh4NcpBZZx%tHLl*sDy6>Nc|F*Sn~dr-@DOi2RjPO$V7*m;ag+e-G1NQ`#L`SW;|vNRAm(1jhtCL=?|nE$@~;Dh@9Ewh&F z99RSku4M_zJ;~^Oxfb5j?WZKz{1h(w;Jby)m+cye=zOS4i1_5_CKHE1D4X8{gLZf! z(BhDFwo=6HpiZKkKd6DW7@1oryq7l^f~FR)D3y8s>y*h@sy~9tU9KS+g!$`5|0oM* zxiGk{nE3SNge;dm>~|V@y3h=Hdp?O2^;A9yPxVFsSs#U1wHTt!R8QK?1qE-Ln2Ys3 zbrE;tA&8D!Fj)o0GIP2+od-B_z3CR5YI1qKQks4Vx{q*R0JMrbRP+wyh~f3=1&j>6 z{1_I`&*S8|LM8qdXY$g#vkt1;(G&+&>Vn7-47Mow>2#0>KH2r&2Jj%aKD&V;u5~*| z#*_B3om2ga@;JX2w(cx)j~+aR^EhN)!zDX>z8`TJa>>ksuC)dMfH;rK*s1|JPIQY*zCNd`&!R^+*0=PuN}$xZMXQIRcmJ8BcGhSl=BLGdCM092eiGvUgj z_tL}lxVg{=!shoXShTnOQK4bQP+G`UKj#tY_d?=wc+x42^!xiAIF3z1nc!KGrr9E zyUNf|tYKoSEySUra!cBl*NCAPFbCWd5LVXBY&GyEBCL+yZ}tEY>}Y-mq3xUqWS;Iz z3S{2zzkJyH`Vj8cpXu zA~}4^F8aTmQU%Qo zO&uu}>xkq0iTa-JlF4c!iffNhQqxW^EOKTDB~MSZ3mw0g{D^E1p;yQ)b4~mOsjyGG zpQ!3x9^hw%7elFo8f}`1DaxDL+Sj8Q-);bSmw_DFzm@#n5-G_Py?BjmWW5!zpQriB zdC#cOH!b;Ga#{PV?o;jp)a5fTbLTp#Lc#I#u6L(saM^Ni#;Q!G3%Tfu*?H$>#ZFLT z+>3Y2SKz}dK}v3yb8(k(ahH4X_fExZ4eAMcbkjsw*Y>f)vG=3afTjxw}zZ+#k+E zdAFoJ-lItGmfD{0&p#i##jSUemQCEY4T4|$c1>byc?2$inzmJZMAy!J_^;MHo3+nw z?vm*(1u~`i*3TP9TnYJXVBKWRGkpg(9rt4f5{hW3Wr~VM<||#8@uDsW1O!FAM7V(m zJ6Cs?^*ospL)`h_%6_0r&oqo*)6v%d{GF16sAV4PDubmI>=HKl@pKG!wsl25>Y!=U zYhSYyx06lhBMrnsy1Mt~$j1!{l<&U?<@|kh`H?>}>?5-6>P~m@XKfGQdPxM+FpF7J zU-GnRjTfJ7Ry=aD-DIW`@RddT+4<|_%BlXD|zuTG}<_d78|p|7Q5C_ z66lbe>1)I~Q{WhiiSwwB}BeL*Dlc~IzDyjJRlszXQN#?CW-O_<4B7-))J!H1p z6Q@7*kQFg#3?y{#SnS811A-{X#bG^Lx|09xr*5Qyx?3RhiS4LwBnyXC{7Q<=6sWmB zu$_dq>?`ek<-l37(dOr{<{_8v@+3z~1CJwUCRxqytTvYj?klCoL5mf1XS}#ZtMOAV zTX```&DkJv)oY5G$?4a)1fTgq8pUSSF8R*ISgKa9;W~?~b6I5Wk6JtRI^zevdA+OT zX&x#(doPu&?fJ&yqk$gul2WWNv;bsK-8?Ceh&%YDK7mb0TMal>W%KEdb`Bun=|QUu+qHd?ezHpZGr zQAArRStdCSz?=gMXnvb&QC^)rkk#O6BiOQ4Se zMXWS&xnL@zyzvgGQjg46%k)8kplAJ!Wx68N81f3%i${=!@HZsm;)u3rTo(pma8{_nbFU)WG z&}AEKar<-^nA{(gOng=Y4qLfK8sm zT^Y6fSTRl$LJEe*C+NnMr$1S;mj1u7bf2a~bd~}oHoqv!!HP$~OmO6rv!?ry5tAPP zej3&UshP1b(^z>gl^tQH^zoC{h8yS0XuFCG1~YJ!?f+Ct8qRkQ7W~45WT19Imlr?iRvM=lpz0m&Ex={e^;0wED5GG=D8@4pz8 zsT5fdn3K#%gpD=B*|aDn`pN>Rg&QD`pm(Kz(%2-xbSP3aXm%|~DwrmoIkvpzwn`w; z!{dMSnh#2!F{C+YMWH`$$!!e}sod(>l&fa((*;5rGq91?CZ)zLN{oHB>G^txwX2-T zz6G37%>?~1O%lFvGXH}Y32~ONPpcTl0rDcLI77W;$JQqNv3P{wDdQE!iHL94nCr=K^>U5xnNb)p?p1*%aEz(n|+wULm!>l zy$dMJp!{yHZHr39XMekHT0w2yluGvA!~;T$NaP^XG&d|@L-7xp7!SPZ8spg66GnB| z$?H{_ahZ2h`mW>B2C7JGD%_6U3Oev?ppFywG#u4SSu{A;SzxhxqFEoMQ$Gc~iUqYrKO^+L2x}~{Nx=ZHInYAonP=$O>f+1j!;tPjc_j~!&BE**#4hT@~3 zqcn`M)rf=TDTVJhYvXA~DEFm1E+%~4K*S~B?AQ~ zoo19Q^XD)(=sI9JpOqH>e6AR#KZi$K+N2FDd<1@NI3;L_#^kOgslB_8y-d~tzt=Ol z*4vz3C$%)oSgeA^f6qUrxuIuPg@#~PP}6|6q`k&`?lHg1tzpN=EXGIk2$*_dn09u9ipv@KN1%m2jq9`mB{Cs^0rvY)6?_TON*jtF zxsE1F4fFQM7sm`*M70a%T^SnL;!WeXj>CuXqL)Hb%ynoUDROo@+5F zcbd8wo4X>pfSQ4g*6;M8UdRg8;o~o3F48)}>H0Kr>@}AFGw6r5UdV?s zul|v`Sg7#^%FU{2)j#F%+%af+6fgh*b4_ZaRHW2$>K{?TCx$Y%Pr|)pYB|}MO0p)7 zCu#Q3#k7OOx=6gUf%GhUXKu!XLAr)$;gB#1AQLJ%*lYa`3cE1#I z4y@qrEitM=H_j^Zpfn`UG7dYV93o6Y;#~IBO|2!G*Bck$BPk3E z+1{cW%b-Kp2d+fUL=4ge5wXs6=}>q#?cl@`JDZd06RS;qi?E?A`G5{1ZN%*C4zT3G8H)?)TF5El*4jLTuK|%qlk(JWspK%Yc&qsmnK%knqLJ6I&|C!NPAj8&tEvqFH zgPbkE^;xGQD`;vLc`9oo{&v2B49G}Baw=+4z&gvnlRflMz*Zx--tJUp$B4sJD3bp^ zLyxC#a-B%B;k7EADaS{_U_TmKLJx1mjLdhgSZ|jgd+*%s&TWE;dQFt7Px!2P2;Evp z^>Ix&EcD1Wd8wZL`j8EdUC8%j^w45xvW{U{Mzuwed`wL&T3Notfs9SThg2Y|j5Cb> zj(%}%H^X-~z_peIm|jYz9`{KwL7JY*)I-b&T}1qJbF8&wSH!_i-Obf#fQ11TV=8R` z!_Gk!!_>YDo8jsFk)d?89Jmu*? zHt+gg_oJSZ2GCraFcq?f(oJRgK#S7M>d}btQQwS`n?GSGEo<0htE25%DRcR164zvG ztSa$kMjp1Xt{K4y8c$mIF!maC-@!s>ouMTD#m8pZknb6{730SdA4lZjm109__P*Ee z-NTpsx{F_8UZqnPk>%BWYG$;3KOQ7!y43F<2H1OsTiJ{cF*yb>T)J;DSB@i(nX2SK zOO+}&m@C*{XlUPSpC5ahcQBntOYrj+tXJ5=Bzzt`HJ^I$E1S?lxsC12kX%-_xs+Z1shicJL0$1#bk~*} z&>v$$;3{@_)!yfRgR2-%IgU1(cGCf%scD9Oh4Yy+Pp>vLp$$_T@hvDZFAbg()KHD- zNoZdh)|tfKPw2r+d@US?Yh{?Hc?U?i@0o9Q&TwV1LP{d^CloPK3_?=VV?y4n8Cv6hRYtvNe^3lnck*!hh?M$-NPn|=@TJ|9z8K@+&4%tnV#L=ka?rHi;_-M1 z>iv`$_eb0){^b;hYHsgO^2v?1_nn61RhkXI>8fVuhIpu#y(VkB4Q8(*&@3P1qQAdY zv-RiSb~f`Zgva-BW@x+I`Z$iA@pq!^mxbxsR5-?ItD7c=zvS^_`fG@A5ZxrhF#onB zfPynsE>|^yyQRXM{g9$%Xp}Rq+MV`Vr}`Xl%&M^UbcVmK1H#@|+fX+?q%oc@am_jz3NIAG=GyuQ=+&1L?rmnw-~W*Fq*am0d_4G|b zt;|_B#(>t;K4;zDuSE$q6c28~lb~fOK#qWd1_vX%;-pYD` zPL9iZrjjOXx};;6sD+e4{Pox}LKLvlPQWOYpkqkhSb<8B^6<7uP3L zg6KdWPW*4&W)BWo(4eem){FW8kNbU|cE4-$I6pWS)s1d^<8=P}V!gMOqJMnhsOP;M zAMAm9X4zD~*&nO|6p^~PemP}%Df~giky=l_!*?W2E*X-I>Q%00(C+tTIxLy7I`oS| z@ve*XP1x#6!nlifoF<>w5gbq@RXvxO$sHAKc2H-Y z&33Id{$l&S=hV3a)=gA$kXv8S2O0G$I@y*xgywVxb-&w6YCqTW;?#e=`w!T?yLdzMyEtR@yD(4v4y@+^ z3iASId4Wb#-#Cm|tfMX*KcbL)iA56g1^#r!$0jB(NQq|5o{=XK|q z_Y}PGm70)=kYvKMeOl%GvaK*3b5yI{|$ea{p zwBnh=9(K)!gV|ah6=p5vXp*apgzapoYOCPhyZw4+fK%Dc$MNU86DdU)$A7wjKj`hl!;e)qA0on3@$dm?@%fBGn@H6X49HUyA zd9^gM@uuopFte@pRx5%fp&@OWYpmL6ii^#TfXcssr0APSB!ta~9z6r(p2mJnE*myR z`B2qw=is0w7FzXRdPNkx%3Nh_>cT;D5zgFO7xD%A!720HN_1#*a^ac=`o?HXtJK43 zW|k!e&XeosJ-hFAkYEp;hc$YpU%ECa?0GyTqE8mh=(_QJQd^+Dzg?QDN%U9xH{yKXd&` ztL}Lnyz}!1a?GQHRj2rrzSX+R+!Mb||A>q8N`R=%TR-CT(=EssVHvnt#IYj`86#||FleC%vw!0zr?UEIUftE%`%QWqeLod03ml{2)SeY(E>C8D zjsm~C^K!Y}8wa<%ux{YjZfLZLrqDL5No8UZ1`$QAo;}Q6YSuZv)=}f zIVb*L!PBvclIiE1!`;?e*oPcvv@EN&j7)5m%T;%8!~dyIN7rvASzneX>|R#Q^fhQz z7k0Y5J{YfuMu>M-I>|GvT5G&_xxUg(bt&66(?BJLN2#`l#QdF(HR1em((KI1 zz@>emRG`k&Y)cNg4|FfY?VdyO*O>y>(g3MjzWTf3C>bj+^L+&mb&p0Wby8cv8vllE z+j-ZfYo~wDEqe4lA}8MYsbiB>&~{84U}X(s@$UL*2=|BOa5YLU9u4vTCe}3u5{I}u5C!uELtze3O#>J`MQHZorIQuxVSlsGkliQjOx1#8Kc+*>xZ%=^h?4*!$}6bcZVST5c#vSFtGO(ZrbxSa>m4O~V6(Gh@oadYr@gk^@mRflA& z<8$=M11!jQX7FhAeD)Qh6OeXQzsN=ZYzZfJ$;u=y>YB(qanEO*$xCNfV50_l{U|&! zXEajDq$-+5+I7UqO_%-woHh%!=Yr>0JY*dOWCR0>rWyAgarQLfF1g_yq>J=G|7;&1 z@#qA1`g{6+{*(kHBMq_eO5WiLPl@&_j)4WNeeO|^Q3HLgT}u7PgVV8Qe>Ao#EWJTQ z5%aACi%3k3hf!*lJFt8?xK1(W1ee)JC4j2+O0pS5j1@o94BAw;L-1bdOFzbYX#)SA zfCoEFhiHcbn&<$)vSez#g{I^pZz9!z9nvl*LN4Bb0MI}{2!J8W z&+KDtMp;6bbrspb zhcp?RngQS|W8n!kvk@G%^fZ3IG6PeCdzc*;waQB8ure=GL&bwP`Y?86sQn6!T(k%I zFlG>g>VRksrMZ5I;+oyAXNrN@D4-&}S)C1E1(bd~yt=_;ThU6epoQC=|FPByn_=gM zOO9M1?W%>q2>0hOi3hNJ99VltIgZ+lkXf9XO9Nk5&?6sU^wBDfI@T1%TEcNiNq$oicNdKb=;u$GOkAtFW=S~f;=>1;DKmWPdo z&!{CA@gu2PHJFV?em^?Siye@(s!0MUM1ZUMg?Ol>!%WqxE-^J4qd>ZEg_eiRMiK!m zKN?sCrv{5G%^1NoDh(V-x2eyWy?Jd_!LOk`3wP3ytD>RR&*)6fI%NHgQkCN#(~(W; z2aIp4mMQk{^|)yB0Q~;Wehf{`U?;5QGisU+vz&#zlgzlpf++P^YHG~Kr&7i42HV0D z??1rRwB(v+B87%9R3o_9HIEI}WU9aK&+n_tw{Nj}pf85W4iMOT>ED9^|3eko@%ivk zsn9Zm*+>IIq2ZO$NCRJ?0a14t+bGn&ERb?Q{I8^)sD5#XPGH>skCLCCJ_1-Oj2oO} zN0jH=HBF-wT^0f?Q4CMA%SAVY+1E({^Q?05tQ>yfTe0k$rU68kM*&O5ph5vL7{TPjK z#eRoak@=Nsncy02SQ;RtPjhZr;)>PZ{0=8NT^k#(X=PM!9xy4f|51b^1W5Qc%&t_3 z=Vjkx8IDzSB?OS77|vvp{b?DZkY321fY*<*eDP`D6=@F-u{+%gf8~o+8tm(Ik>y7g zqtYNMjTFg6iY}lPr{p4z!SEcG0*JFm%DEqVPqRFT-s*r0c0uo?SQ4$WXF;KmQZuA_ zA78Ex!C)LAPZz(CLS-2r8swbkS9?kVFVkHsg_BSYyL;k^1uR_r+bamd4ku? z*MdGl@Kj7pq~IM+1h0iBDK%{Uz|*|tx{GuB1|Qh;(<}&+aVYTew&PbTdesG>HUiy! z*uk?z?6U4LvPAqIdHON5n)d@!0}N6B-G|-<0$;4HEXb6!Rg<vA%VhZd(S`@sd3__G;b zu0HFHe+aEHf$v?w_!((c-@Cv^vEyO24!%wnL4hiBR<}bh%evFr!IzD`4Rv9*vTD(u z;pg2ci$k7a_T(EBo|qEFp0gG30vb)#>cC>;VqM7d>BiegG}6RZ+dK?wd3H)fU*ocO zA$)&qfZl+nqo$nqH29uUqt)!ZU376tia{TI0c|}DiUohLNmLrGLW|uSNy3ZzMG=;L zs0K8dSbsZf`(rIjAQ|AoF?p9;84&lgV9cZECl%tL6?I#=p&H#&=v(HX7{JZ0cM#mS zaYxcA{-1h6+7WYuEDb;Q&ra40%2t@dbv9Sv>Zj>SN}k~}Kk&^}ELAHE^R8OpzE0RB zZTEF$uPeqT)O7EH{l;POs%oJnGLzAIY({uFRmbogn!=ru=Z|ITUDD>`WuMI42IY+U#Ah4#HY4M>1$d z*SBmGjO3j_GC*YQZF1i0x567)PTkM6jz~W@)j|I{pZ^ft;GUvBouriFu1T4ym*aq=cb#JR-vNmAy7gm9nEm_AH`fdj?b&AkW*v0eneqoT!TrjyX^Lb~f{X(hU@TTP*it3d zs&dshhQ$vbGoA4y%aVmyq;qYwKh3FS-kfwxr_;rPI#bIW_2)X5Tp5ARymwq8EN zFt(}QUZAng|Har_fW^^t>!NrD0%RE6-Q6J&+}#Q8gdhnX+}+*X-GaLg4#71Lfhp6;pcs#?9eW%S_Q?XLrg&rHh}$}g|<-YYgN7wg*8 zHB!JSaP}dpDn{*&#ii{s-0Leyc>f$(olBBcakeYXSW%swsp`O5HTB@aauXTzSin@DW|Yd1W?I zs!ON^HTV(+oMG*jV3$d<9697zQiN1aLiF)49c8ubjJ5b3 zdcwl@rBDTAt<#a}W2rxe>q*r!D1-@{iYa1X9z~_8cn+$drnDx#S1(3GMWXn&%NDDJ z5}5gsFcwckY0YgguYMIuiPS&GL&ARkB_x^_d@Zon>YAETd&Xq6sngy4)ywk z+g0*FE9g2Rkg8j#ZPQfNq&u(6Y+a4hq!p>#C=EB$jXdBZf_H=4UuT42$xWz9A|jtu zGDiPxE^omWg_PSWyrDj5jhvB9v+D_ zAaHc)a&*qNFK_XunO_RWbNb2ugYcD+fZN%R?@{uK!Nmge)*`Bvu#(jr5xN%OrZF<> zWYKwsz%orF)k@R?EqsaU5a7zTZgOc_eXFa;Hx@#SpcN!q#Sys7hop*%TF{FpF~boi zXKeo_18u|3qQD9fRx%Qq?jg#rz!#a0g_nEEQGJ_**8-{E+I7n@Bt?XsiAn{P3>iyY z5pF0iNrc^tS_Rb^Fiqhm$F_e(i{OV+eY1{_5{Fnd$w0}G8}|zM$|+H4eS>t82S-(4 zszWOA83I$-Eau}xD)~9AW%8&g@VWmfLI4yA6XQnP3l?qI^=}qR^XYIn*Sy)^UB`eJ zU3?_0)ZrjZ5s}yUM?U={Vg8Y2FS1gSgAhkFy-POlw{tp%T;2jjx`kXGHFbKMT%L|H zko!3$<71P|-p`kY?cM$(Q88Q7Q(K^0JXsOD_4XUR?yvWWvu^FXL!7)eaHhW4cc<>p zs}Awc$F`U9g+9cyV(qI4Usn7iI+FgQlO_HP1j2VJBaOzd4^`ebij6%ZhIB`Q4e}r4=M+Zf9h`9m%E)*4e z$*=;yWPk_n_vCTEk6awL%-z4T+rM}7$qorWcF*hL+TV5)@;>}2X_3F}otG`prm#*3 z86!GtacDIXE*r7;Sr5H%eB>G80o+_A$^oY&yUrfZ>ytm7-_K&hPi-Hd*f_D_9Z?cM zzi%{fZ%;7g+x*Y_UW_fh+vmp~!dXw!gK&Q+P5qOf}W+W(J?pC zIp5)(y=Oz0Ryn_Siw&K0j332w!e~EX^Adz^T_5v&#$^q^-QTUPJu4PL#AYgN%t)xI zKl8V(v{koo(yccCIGh^2@0|>p9z_n{_s)b&&+!8~A2N+h6<)iiF&d=&!(31Fjm|Qr z2j81bT46_$n%mFQS7A_MqF>TmdXl7Q&W@h@{jW-+V<{2CTV4%c)(=Y_Jj#)`D^vnb zkX>SnC@XXlLDlL+@(y{x?UBu^#7YGYPe@&Y4i+_+o*}6h-M_2qF>qhj&)7h=6{14D zs_mt6C6|vKHP-&^@UpSX(=$zKZQS9ql5~F`uk%as`u&={k5C{ytCyK;PT#tNkX7T9jZt@K)b zSuS|=l{Tm9mAs*)O8o0*&ie&6$&|)hbJ-1@x%cL{TxV(2rq~KC4P#-buD9}Vko8FSiynb!v^?2uTM=pn0 zHltFRuO!|TvIv*4Iz~8NxL)${`a-w)?(Gn(Z*Ws9UIoVGZ?`r@r#)JO@*g2vWq&J| zl}N{D1C^JKx37yZ+Oz0mVIf~{!oqbt2M4R(nVK#t9SNh|O6yFH8rFmwZF?7R30k!- z=jUz>+moIR3*diTsq)Xn{%VM%@D=~{rAv(6Zhixb(A!b?V_a|XC{$MhL{eb_PPcOmmD>d?qI?+;t%me^ZZpM%P zt5u&XBmMM0@~i1T_#b&}+Siu6bk3Ly9@)zP@h$1~wk5+&abTQRTn~K?o#P_j^}#T^ zhEZcR<75YMa zx-@mh7?pF@L2wf1N`9aXWN@DVtS3yF2?XrV56cW^njz|!W(oG=rjDhBt)h45M>bX* z{VEG%Be$Ud_g?+d7}A@Ou#_OeLxS{^tuf)SKbr_usRL(<{JAyodK-NJX^ax zNxB?Ytb!N?O@?#{WRg-nd@sIS!fxMmX-P|todbDUOU{h3xIS4eN&&7pSuLmukYebY zz-hrTsRmBbzh$7<-EWpdO}LdS39`o$kog(5|F2D&AMTy#zGUa5Abs#%OfN4&H-)_v z*|Zsjy~GbR{{g{C<(|MzI;zsQkOXyNP_2_1C-ri-QvS*B;pF`{^gzHQJ>BZ?w!Ce7 zAp@zYn(u$pu0A!OmKM(nKCaH{Ki~1eUuXM<1*jC}Yl&xvc%W5tH_m+15*I)_aSAc5 zIU4IFfVV-b;;h>M9vqw5>#F^CTT0*6Bz^B7s^Mzc+3nB_wqels8K2+wc(*<^a6jzs zMpAQji&^c{rcW|L>h<;WzMiL`;NG>H2x+KERt@Fl{YBn78}sX-3o@*GHccXJIvPbnv`Y5{*3-3*aLlGWnm_QTzu$XoVZ#Gqkrmx{Anha;6MeIJSl?O3Zi z`d+|U`a4f2yg80IOd)|{Yv+*FJ6oj5goE4-x;R9H=XJthsNcb3Uan_hnLY(pH+(?% zy<#-&XKO)s_3hD20_BZ)x62Y}A}@DNXZgj>|CY5__O|k3Ct9t|udGzv5fA*^S&kRJ zAV&$=RwQYVjv75I0grkG7C1NMaKLHex`&ZiNH5{^D|IOtagv9{+oY@MIB@xx zvH4iA-Simj(_UHW7?ZfdXO;XS_{CiJwRaKj>dw%Q-+s~<-JY|VvyRBhlIc|u{&=4% z)X^`qo(S}T>D7c}F`B|JBG11!LO^s1_(_?e6slD@dsJZHaQ0!<+kx>GLvZ78$Yx*o z&9LyG5FB*65!KsHpq{nJm_U&zXKper3}<}sg8y7=0MGee|4;JDL|5*B<2RxpoT&9j zk-u}77YX8caoa%mObDrEk^BZ;I6><%_k7 zSDonJ5`+$Ld3Jd-F678(mCzqKWEI5{YQTFx<)LY9UpWZn&XZ^vm6_3vhwPso_eR7sdfp+s8pp>V1> zaOtVN|D*$LK;THBPG&D8#!6Q}qUZ@*fKmC4&p2JdK&$%HAzk>d) z9Tar|ONq=Z#2TeE0jMZAaV%{HJ;V8>Iz?k_%f>*O0iaUV&L?Kz7_1U%faBrX(te*q z*MR>G`aXE4+)V6R)BSB~oZznfND1l}U!vjd*zLzHlR_KJlb8G8B5*@ANPg@p#kjvI zk})NC@_9F9@uaJ=^;=sgRamf~?P&{Ra`#QF6-((6H?1XEZ}k|xOjdmM&EC* ztUo=uv74+*+iu=o`nl?1@w-|RJvlvAPP+AnSvTJM4wA`Ck-HBSrVgomC*D%5$%Sk9 zW9;XsyE5(}j)L#R`Hyn)i880ZDTzz+W_5j-LHoco{2jRvL0C+R{=3}6F%8rR;sRB{-^whU67k0d`NGG4XT z=np)2kjCsg67R93bfmK^8lM{VOwE^Ms-(4VVA@AW$lhMMH3D5l~zUfIt)!mxOd_DY_?x97ZrL!c5l!=e6YAldi~lFZBN4t*7V$`^Ucp-1I(h0 zI47a+V+K4L5>fp?=#BD*V}>>Dm715Z8|4j^1o9Xf!QVB3&>M7N6?K|As(6|vl???V z75!QklKDx~H5CoInifqJW7e9<3l$8Nnwnab6;)cAI@LhNR2dhlGK+?j5!wR({k&dGvpq?I@v#1T%Li zEexR};hyWcl%Cgu*K8i{8=s^0^KTT!8^oU`>X+x=vY!nL^2t!xv10^YNlXj{L)^VO zi0_{9xn7N~ga(*bfHJ49Z)&%~hDxP;*Qb2nO-V<cXHrVJISu~bW)AX7gX69=F-GvKK|5L6 z2##7zaQvTf{Ihf-MW(DI$@V)bU=osQxk$E>p_HAoZb8}vvFCEz7=|AO`x&F9-HI57%nfJ*X_rKw`6kQu38*AsQ zA-p`DO(y&Co|rjL$;kUduCOgU>nnBN#}+?X*tDp1M^X>CGVXS~w|xHs+mjC!BOL_E z__}|q(%~4sk)ES-d$%4|8%imnOWH~DNuf405v*O>X-%}}#Q9Mx;a z*W>M#{fgFKZ9Hf?q1KlICi`RHRHNtzIV2WX=MwXIh{y-I5GGjXNAu2?@lc-}Fg}uE z0J;kN5`^ZjUie{)Nrm|kI|VMAG6@0TR^)6~K#19N+`*~Z0YAz+X8!&QS%@94s1^k6 z77xeohoHc=eNKlwAFfl@T%s%Lj8xy+@FU@?dLjCLPbzJTvM(MvQ^G96PI(@=M_v`b z%b}$b701_Jk8Vi%MJXu%N8a%*GkJ0#43cnU6QSV8Ba0_Ojgvt~veIPXVr$g?&-e_W zn)KO?kH}?^)(Ty(H5#}T14%N0MwoAIG_d-b)E}r|_5U&x=>nZ!uzD2CVxxT(MsEhr z6hGYix&A(B7#m$w2#~<@e$JFG0_Yp7B;4YOC_=7?!~pIOz9RYwFkFZe2%{Y1Cy|&0 zB#Sw=zvbn^il6+QqB;V(o*)B`546dHu_w$6r=lq>k>EnWuEIH z8kjp9tzC>dU&z4d*5&NiwvoECo&*_H<8M`RQ+hR72K_5DzDF0nyFgv0GER!T(oyrb zJvuVV*i?^jk0MEP?VRbT)|_4Trz2SI-#yGboGiilscxR(JW?H$M%% zzTo`my}|3trzMmh?a%-WYu<|@3B3NrY;X7P#U;2~r*HaNLZN@CSJSM}pXIp#lp+2X zG6`!aaaC#_+|-!U)Y#l4fmco4FNdGf|EiwFKc0Bfy)+n zgDeANx~~JRm{ALw*uob3$#mt*1a;*_uBzVU?UXZrq$aZXrZa2$jVXNA6kI$+CKoB1 zgcC@|jHIfITF{6m@iBO;un{i8n=x;><^NTcP7hl9zpJNC^)R5_*e1oOm7jk%bxRgm zFe6J78Y>4ZLe?V@O%d@WY)shnttu$7Pvz4O`+N%qHj9SH8*W_=9I#IDX)f?2Qo*mqYNwiMbSvr zII0g)fm)Gt@r9z5l1Z~Oz-0M-+arQ5b3$&1^8ba=KmDEXRv~X8cIO?Y)yCYB^eiUr zw|~h?)E8_We?5rYs7v+E0XP_04YMFcy_Yn=zuv*UL&oaWj zKAf;ZmO#EEjxctDK)gcs|DsZA=*eq(7dc?$qHVr$z#L{_TH*USPa!v=ioD%N(4}F{ zc0wq2QUcoilGP;hlE|De#qX~bS@i##9G2x|81&@OolR$#YkErA)x9TGo7B4A9j+KK z9ow>B`+-i`2`2Q=pu{PC(SjgVCwYxQ-tr7XAa>bf5K6g75}){8#F=Eq1MnAjZ|21` zNnaJYLamXwvaOZ4ny3Q?4U=63B43-Zjhn~eCUErqRu*5g-2%_y&!sROPuVf&a4GIQ z9=o_4T}IG5RsTkX>sBAZ9AK`cbMDpg^uRxBiMgJ`+t0&?m)l~SRw^p$Vq1X&_mXm$ z*E_s|;jiJTSWs1R`UGP`*Sx;A`bgk;qf(rG&(J08=7tQ<%{(s3q|81#brSu(!`_fj ztU5A~`N(ASVR&4V6N6>EBeKwYZ$%w?$;4>p&XZEVz8AH-VgN-oYFYvY8hU7UT#bt= zwZIf>b;w{1-h<2Hw8`BH(VOY5(&bR%@~bW99s}R-O-95cFLg3+3xOV0x`5K$>%ICe zv@=^bn*l;#X4L-kKsFk1Z(TmG;DQTbg&r&aH^$ngV^V(Pq(K!l?eC@hw*rQ1Vj_cd zS{i9HF;FXMvqo{(`LJ{rE@?KzG_!0t{ba_!fvfR@BU0oqE7XTrvkXP5VIboJLcWh{ z>Bz60rt8>lQU4e9_r~q7dtPjOX*fiDd)U6i_t~Qqw>s6$jd0n$h_qp!Z|maEvpw-g zz8@{+`^)8ITFP6oBxg_C(qj#?v9i10IjH;N6W%BH#1{P>9uT*Ce9uH7q-c!$F*P!* zMQKg4p*Vv9Lvmz}og|$s1Thvn7XeB1Jlevk6VC2s)5PZdt_Qq#L%zbr4UA6V;-KaAG zyeYCR#xhx*RGxI6c%EdQXkOWetoM>mLS3rPlImSvqiZd9#3IN$o0ogak+Z`H2YLuC z_qdA*g`X~?YpUw*zceK5PIK@0(6(M%NaS*z$&n&-X8UF^hwGoTKiL>f?iwN6u>5U* z?{v?5k@4ujy+$f*%dSZ`g_Ms24^l!t-)4*ThN_4c4cY z4h`MK=zkEIyylZ$hMU4@_Hzni{tpw$_uJ^4VNT8Np;XSN-9x3E(|rZhTpU940eAR) z=BzLF8w2+mEeArSPGgTdUvuv}`qb9~KYbWz?eu+G@J7$Q771#&pHRu^r?#q%%ND!j zVkLLa0OlPg<$;v}YAWR`i0OR$oXROPXHp^`XOj9m&Lm7R&ZIdhPAbW7zJ|iZX`qi- z37)26=2xK6aCo0(L*Z(5Wl9O5Xz)Fkm`d6cmxv0zc5!Q^+NmafZ*r6b=wvJ<2)w$c zskf_nd|UC`bK#-O`q{9_r&hN|oB2Xi)=TAKH%4_m3~a?I-Y|(e%EL*uHW6q0zZu8M zjT~a;naJ^4QeglpO2(k5jskzQ2$Er>l+eW|SNo|iPVW$+qJHNkwsVCXKTRtklnb73 z^$-#-bFkP&&4(r@a|RJ;YfXWz^>z5g4~D*ttuSE)7@}r#k*jS72 zelip1$9OAVgcMwjW@~1w2M_Pt{A~VJ3giVA{SgQXpaCN&l7TXN@woiit>DbQAwV@S z-z$U4Y3fSAYKkhlWFUh-4~fx;C|@e=>Y{QI2L#Ht>$r z8l31igaDB^1bQc}W)bizoY`1b6Ej=Mgw7gVdaHH7ES%`MUp6@<^at#tG6^0y-~$9< znhM0F3=WGX0~3mtxkE*4qeLt50=3vnbc=tblPxe%%LZsvCcVQf(k(toXJ25jn+7&$ zO=T!8sI^ew$FAPbUgQ)d!w3+eK=79U!G(hnAmkwGe!RvAc8f0E>8Nro`hN;vE5unR z&vJ@ZX#SIkXn@_ajU+QX9v3Bsm!Jv~Z%CJI1&vzL%urD2!FMHbWSH-ds^#w^|dhbo)Q zNu@azr{V4h0m&xQ;`+Zp041>+7CPz6ybK~UZp`#ddzW=y^id6^^XZ+EV^XvIJz zv4;AcAPchI`&+2P?o@nvfP@A%;f@R6%T)1=i^J zxLxMT9mf`AtqqSX{tu+0&Vh3ZDuSq$#l&gANp`Ct6TN1XVDJZ*2jQK=QzU~2yhVy2 zrcZCeG{hqQU?j*H%P{zpmm`D}LGWG-xh(RR3-%{a>!=(X6=Ppq6#}qlP2`X$Ihm$J zYMG|5c612pItPx(@z%5w71`i&SFxhie;YP*3&0O1AWrA7dx9qx{_3;#yuTVkLl^rn zY*;r6B_7vR+9eDEWg261DtVM~QZRcEMpQ#xl7J|P63@Z})dZoCDJp>AqY2R-orJV6 zokJs=Sk!5_4;tw-J!5d5(ZKL96AanG(zjk@(Vh?_CC~%6#ShecBXy)H@W0>>Bn>|C zgQXW)W=|x$%z!l;v7$RSD8*RC&UU;y)$0MTN4N~_ZAJnv_VSJrv`J~1qZsmXfsmq8 zyo3l-8HNb*a=MV4h8lh3I94+CW00*OSs+Obkk7$VPI?<{)xG|JW)BVH=A0E>bRUwR>jU0MOhi@aV3_}%*gj|1$ zE(OKI!#5-I(d~gpzDURk*%8;P3A0t}t#X8(h`1p5>w*Gs@Lm`G>Pbq}Mxh?DFBm(s zA?7T3>bAD(P1=0(Rtv8c89M(yk9*_=^eU=ItGm`V%EcXN$Z;b0E0f9mCf`j*PPnvN z(e|HVLy{UuJJMba=Cn*!e<#sl4;A5!D$l`Mcfp949H%G9M14h0e__r8k`1RV6qR8h zPe&C~Lmo8-kEtFw5Y;$nz!segV8m;l(Q(9^{9#xoCcBqH1{Uq;B{p@G$UyO!AhDu? zf2pI^5H%N6*#&m0wdptwVsXIO%}AtMp=O{Ory^IQp|Ydbi$TSvu#gYyj!j}FS8EQE zS%Cy(!&u0JTwhb`h1BWMt#AZ=7aL6>|AmwPiZbZ?&x(#AY}ya=>MB2r_aFg~rHp|? zHgY_`IyW^7gXTl&A` zL49>No|t0bX9xl%u_)Ut#*31B&CvRzf`R$KKZdLH4$w2Qd%; znS)e*ifV)M2VWKTl(EHO7m_a+Y2eAk9kDWSP|5tp#m0wH@rzxC6C*xehNJqs5LPRCB@7p_r6f!!v31Gx+qaWaZ_Fc6?i zj6iKM>N)iD8zkVf*{E9xsh>)s6cwZAax8-B%{mIgLrcYaGPnVQ5QGoQ1i;YHWx-Gu1px&frvA?Gg?5NvI8YI!eq>`4oLLFd{?kMy{b*ASrzS7F_}a_ zuf&{(OZoNnF=DW&)tI6RiWJ60F%kkLjR9Tdc=7ys@XY`?>eiXHjIjmth~QSXoJMC7 zp`IP-Ieo2S6Gwayf3<#P@SzN63k3x4CpC6(^cd^c$S^+ zA9o#2ql)ub2Unb69X3{4-(54B$=Mwwls-cz^BASQ$q;HLldS7rGa>mT1ii^&UgZ%3 z$AE*HKw6<-EsAT2px$jrkeG(SS8f{0)fsjKWv;9e3>3(Gij8oHcm0PMu(cL_CUve^ zf(8najGU_|{kblEPKa82XuzwTV~r;2&MKcoxc|DZ{GtFzyAyLYtz>9ALJ$Qlp97xY0QfTyBKZwj( zkt3~*oBFWklMkx+T@er_G1R+)M54s{{eFayahGziB9JP_)xgErI$vGq#E#n)^<16* zwiom@r0x7Wd)f`-_1Bf(XG0jezu#nsduQoyv~Vvw;o{TM`}vSLUTAug|LWr(taRn8 z&$VPG`>gu$I_xOAwPZD@5{qr@ufEo<{y_cKnFH#}H&~2$DGjIUa&Mn}&a?m*{w3pu-fuskWbdkea-- zul=n}GUfLg>r(L=EA9$2?g|U;3KOmlEAA-kol_)}e2ZSnr;evQ*S7W($HFy>nWk|R zD^snZ8@ROQ7ue#%Pg&JJ!gWbZAI7*P~+jES5g` z+M<~?X{AfW@^1hKUGICA>j>S^GbUV*q4|+pCS2VfH7mf)>4Y)ZwHC`m^5^_*>gz5w zhoisa!G;(#Ljr(OWg8L%6#Lr<&y?3)1vnAjfTD1S;QyB;04Ozwher$pxr_^Td!!NT&o-);xx2LJn4fy(T0g@G8newB`unFMe%o`N3g$<4&BhVn^{T;&TQ~US^XnMbCu}^-gBMGAPKU6NG~bi78Ke{v z#TFk__d6zSH|TkEwG3Y}F24g}jN3*3vIf=(m$)6(DJxIb7e?Bhk2A`xlS?qMQ?^A? zt5*posTr7tYe)z?GflPxTQ}UBKIX&Jj%cEsM;He0yUe5X82oUTtnR;x&=20n?n0Sn z48YFO#$pt#mCG~DydBCE_TO;y~RAB#LtJX5^((wE4 zUfr8OMITaw1M0J^?p33vU#`Y|55YoQ`wbU4rmJzA&=<#938ZkhHG23{%O;osMgkRD z%5OONgrfX*Lu1(lEV}vEOtJ}H$u5lZE_cD{@Y1`}2}OK~v8LKm98 zd7Fewldzy=y@bmWY--u5{tw8)_c!_l?STRE8edH8!;h5ESyT;Pp+PEZ0z)Xq$0}<% zLMg^WG>k0JB`YGcfZdgI0lRArp;*w|Q!&?R|DF6@#k}obO2_%P0aN}+1Ho~}d$D+n z#ELUhWXTk4mCYeY1n`IKcA~=4(Gq#=4@{}l+vJBtbdt5>V%1eaA4)P+%vXwz2>rw` za^AcJA_QN^<^+0BO^d#3cu@E^O4!dMX{(LHa=4?Ko_ziHm zYns5D-D?`HF2To)`r2EoC`%fx83lBfSECs^s#SZ5-0CInMaqj&fqSt+IySVEgx(E{ z$X4A4iQLGV-+`Xf8`xzA;e)xN7}s~l(PqFnx_>byuSYY2tRnPQ@)RrSxieBdyxO}T zAFI0`GqqHY1ow3r1oy20_5e5n;0%B(0B#3(jNvKZ3CHJ3AMxhTL3Sii&GCPm$(qNQsTj| z{@nfk_T`Xw&uP>W82 zIwB%yC9Hg(2>?-1FV0zyY&F>|Dk-`r28wMp2fsM;C4In9Xj?dE3ZQQxsNp)0WXxfo z8?nLEiv>b!Z;;a!)P+MSbz=_%`)$aEw0X9gvP}6i&vlg?3T0IqKVlk=(>F9B*Y09T zt_3YQMl9R)+qj=Kb>8ZB-~0zn%@I@dtx#UxJoTTi7%xYE>H)Vws3O5bN3++0%SH9sAI9QR|*B-$426{G2ZpG0Utnp%IX zT*@smR-S(W#ER}nmm-Bq?-Z*)13YI;m+Sd-WGjbE7r^CA>$(d?B9DJyH@Rn`Vago} z+WneV=Y@lrGHu#_sZ*ITtt**ZEFrzoiDjVrvGJ0A3S`>xeTm{e&$|9$5dlpSiR zQV}11IPA0@wc5HT)8MGx1?m00NW`KGJjC{5xDz#hAfT>wJ`AE0@GsZRgK01{=KKtr zM*MU;y1(h06>8MNe=yB7nLAyY>99TE4*HVaZe5Q&LSL7`+qR5&Nkf)7o#|(rgGq^< zgUJXmCpPd^5B@f`tNppVn_~wzA^KM;hQG}SQdmkqHXB8Cl(u~4TCY}l5d2CaM6#{u z)||j^kWy9g{t0O7kZ)Mm~H#J2*k6zBQf)WQkg$y$~4{3o$Ad=7%lO|3-2Oe08Q{nJfmR8xOg zX~fHVVd;iMaUbn`H~fAlmi3z(<{tIY(yU5T2(<8*OfbEG8uBLPIdIV$u0xab&{#j2 zja7#*qIu}VsiPHSSqG)kwk4@YpD#9XT#sPNj?u0K@zNHfHYkqVRgiVAxYb994(=qz z-mWc;x5RF-8J;>$vB#6f9u{1!ONrGk-SG4O8gR;x{T5r!`eo z+%(gNm$}KHHKJ~>s)pUT&&|Obm&fdeXoC1)vn8_5)>X4#1OW2G>pl z{_g&?foT)$X=$*Ic%E55KBFz0L40o}NJjf{XS~KpYSJ8$+NQc9%EvTaclA69n+)7b zevNVNY7G$Q4`fa;_YHp=F|jQqgYux5zNkw74=G!i3?x838{QvM|X|vSqrA1ZJ_`Z)ku0-EPX_DjD zjV;WV90F|?W&r_8`wNL&26ZB(`4_^jC^ISAQt$g6TBEu2BxOp#_yJg^z2+$F#`n8x z_=(4YurEA*hBTd~&x`Wjr`#2K$+*O6*;vTED%wUTZ_HkApxc8w0{8e8u=pONpW^)NSnHH-t!&0q&zLjLYTM4aP8(s zPgiW|qs9hQ@73*TBCf)~nMFXGj$}D34U6z8SfD^h&F2e+0v`B+VO8L6wT~CQ+vPkv zP@tM7SKG?B|M1SE?Kz(3SaVsBhUVt^j)>%J|4PvSH@)#hnuQ$U=FgR25pj^Fp^pZY z*DMmbqa7RyiHv9kR+~JcXnEY#K?I$11y%U2TTk@Bv)tOBpC(tutT-Kc%foKI0_#e` ztfdzMCvmObhdT*ss(7#EMsX2i8(}RWP3uu0i_^2STf)>TuI;BKnM&8v{o1v9wXbKw z<>dM;bGth348%&*R)48hrB$u@x;0PPvxc|q+b~S^u6HKPjf&WjG46F}{-hDQnh?NX ze8dXSz6e4D-xn9&U9`Xn&wQIkN6v2;KU__7|lg7`UK1v z#s1&`*>?tpB0JgJsV;~CF6iM766aIz_v3cycA&vkalN7lXA_05Y)Sb3hLO~~6RO$XeHIKJ7Dk`DyD;s$SqQivLTvxB>zq3_ zdwMhb4(Y1KkUQ&dk*LuLs*GgKcHUV9(vDRkM6I~MfUHQayzgE61|-4|i(FIgJ7fDG zWVTx&meHgb4&z$XN}PNl2IN8XOW1@OCZ6PE$DSnQF}wK#$=LwgGvU@zd7YbIBP|r4eh^XY_b@#JB1{u5T{9gau2-`)hgG z_QH!J6f4+e;uGYCPA^!DPvd=rd)Acc+Xqbk^ktcW z!=@WiEJuesB9^ahd&Q8qdN0=%lL0cXm@K_`tRO`;Eo!_-(Zm#;B~dImhFG7b zgo7nf3BN@l*vDPukGO$Ikfv&X*Jbpn710@TWPcd7?i(_zbUb3oIaoc-@?r8~lEV+9 zB*j`_j^agPj$$oFK=T1w3ef5t#gO{+%S;7f?prz|g6l}W*vn#3p>Ks=ALB@`%d}!y zJavwHSe9bfunN~tw-)MyWn+?@eSp47o7Oe2lr{)Ev!wst^!r`%#vQ}@3r*`jGU)#Y zF>PoT&>00^M|!^OHn2+ie)eFYeaL>5AbwPFEXe)9@2NMx(o^jZQuvGm;2!Ko%4Nn8n>it@eOed z5)|i%Q4;P?e61!Nvwbmq@q9j#X%}~>5ADg!I!>NI`O3X*-}>&2^uyNr-En>cH=-g& z)k72a`O(s1@9k@^mfn`vUSE61`_wP*%zh0&+CQ;p{S_qo%Z6i3%kr#pM&lDhw*sZv zW_`2!8CyN}SfP|hmZ6bI_5P|8G?u$B$@Z5lotd}hFWJ0a>L(_^zh`eZ8?hOO zZeI-}h=tbo7xu33m*D7enJ@TXY8gO8g@%~1!ageCR^^lExi`r6Ja6m52Z+rU3CZ1L zCUPke?84IBg62;&2^+(U?EbhCMiIelPse%L6LQj}c0@j9q z+ai~*9#7&pkhTW^rA~gcM7Lh(h&slI_WXJF=v-$pdt}!ZJcEA}jOI zpNRs0ncouigdJ~XiOT)9|8tc|%>HldSmh^6lWhM{5iVn>OwHzs{q#aM`8QR48)*;$ zw)P1Ihnm584&Kp%9`-QkpUkK5w6Q5y zRwcg}m6$x;XXSL3Vm)Oj-*G&QqNT%%<-dbN5yMsivCGq$$H`OK#xTa$A=gOsLo*>d zj78cqrEyy1(PDMa9m*M|WLQ4*WO-m%5S=p|Y_JkpULGB6{@@qgp8_d&F?ps-#}TB~ zdH?Ape)2ZR808yS0TwTS9;O8cub&D=JPpD4v;WH}S$~|Z-KqJ!pv54c)22`UK6rxg>2i1z@rOC$m}1T(kt~P>hJVM$H`a&)lza#zp_k^ zx%rL_W`eGcm{}!KCMO3-iBWR>%2I&ZhBm)br?6J^d3i?#J z_#%*`XMX%UF)sifnlUfW>#rp%Nj4O_!6D2Y(2qZu;aJx#5 z&pExjArpU?(?KCw;&~d1m5$N|=kY7U=^Dcn?YDv`+PjFV{2cl+2kCh3c}_&`1G)zL z3kA^_J~1UqQp(WymiUhn&zb67;NN@-*U4G#Pn82cCv`>wzn0J+n+C4EJGwtF-JU#j z8*H{5Ke~Ev)|{>Vu1+_IKgzsn2QTn`a3QbwJas5Gzs+Cxy8hHCT+xijS;KlR4UIT? z-7Cc1k{7$&l=CVxLZki=e=5DcLla$yM&;2wNR7MdQCTON&juBsq8o@+ynI#%8IXFy7 z5lfJRLkz}vRc7yp0*E3MkFmsouLDYT7+&`=4bA2v%0;9_uV#5?!=1@aWC&+d3A5I? zLTUTn^aYZE5?@P&=4r7I5C`b;_X`9WO*?FmKY7I5pkFMJYgv;cVwo1FsEYtl^&hD9 z0{sChZIEHAo@^+a)Sl}sc~pEhRA!BPIw4e4#;4x8{x|F-s+4s{Vl`7Au=o61sM6O8&6(_DkKvA7*n~7yyk#(C%S71#Ht^+gis3cv9`%R-Y zql(c=OMbLGk*@nKTT&8TT)aZ8ApHP^q7STa%Y=wtW9-C0(=U_NZ$>VjJ{%{|Q{wGX zNnzAA7IPplsC-uPV9V&!Ol3r~HLXt|qD2MLNs0}g|wC>Gim66kTmVYF7d z6{|%A9SEM&G^KHeht96!1c5;h*oer8Nd6btSQr$gp~0TL>(tr=JFkwpvM7G=3v0`> zeZ0Sz<*yrVt>e>`eGmiNV2+%WqN{m!(|*fnm?h|{?yltKeDT^9+^M2@44T5AA%GYW zW-cf0o>XTP(gZEJDOsTiSbk1yP6y@L@yesyt1+oLuZMZgNLo7YSzfoquMn>weEi4S z2gZumsf!#X(|3#-UsretSZ%OY{TZ6KGdjB4@D9f(3hKQjLq;Qs+?yVw^$UU?TIVS; z^Dqh1HkGva82cVpon+W{M`+>-tZkT&PBoW^Pp)?BFJ~#@(@GY6RR|&D9iALWDby}j z0qIKVuhRxh&<4LzkyRmMcV-{zh7LxHu&pt5 zk#+j`tFIWSpb+h{*wR*{B6cfZ4~dvoU8xcaQ|*ky|!oI^D2J>;5*-WST=k> zdU2%$2wwSG2oUZ>1d!`*W`>;O-$67+ruNkc(3>|>fgr^mgAz_wffCyfA=YET_ZZ|Z zH!Lo)zJ4dX2foc37Wh7}(2{$ZC^c}*d6QcqTIS!ngAK!qN-E`!Q;e_{>r9{EBH_nI zi5BSqPw}o-TohZ2b-Or|Oufw!@5EmP(b_rRq~{x)F}i1fYOefN^5|1%h{0o1n@^pv zzYCnHqt&Fxj@Xoc)OV7xQT2;D5yX3z2`Ytubd9E06wxR`>Bp<6(ZLviH+5*G;J`u9`cTm6u zl6^Sd>L*U^)Wp4gdG2+42xPI;D!28JQJD7o+53zheH-4RW9PlS*~O*SzJ9;7%u6x3 z%im4M+PJOfV~;6gyH-!3;Q#MpP6}pO;0@K{`~|`pW(2|xd*{wwJX^2*n~VZ|M@un} zJw-(s8Xb=5Xp)%Tw}Rw{q>oRuxF=p|4n|~2ts!M8)09}_|G!8 z2(w5e@(Ee{!lBQ>kuD*E&zXgu*9bkoEBt&x*u~dohL!eak`)CB!Yt=txf2b`@L>L1 zsK0NBDlM;6i?3}_fxsqD7{h4n)*NVb0aH+I;Laeq1Qwx0^z*1|Dl;m1@m44%JC))2 z4&k~#K@d->Dz2O)N|5OfUSexBUdHE-4AlYoRf3%*#c-9*lzJZ5y3y11et1h?ifDP& zvrhCk`nm<&O&_nWs~0H_MvL7^`$Hvjw&opLouQeTq;e0L%mt8ZtZb9GF0?n48|BLa zf*;ZQ(^3p~;0SXm$!J2UNms1`jVa^-Nz7XiMu=GTmO*cOr_Aj`JJzg1$2v&L!>*c> z3pcTFVn!MNC^+bzLI#-bY1FsM+4)O6aK#yCXqG^w^{ocJUT#p~V4MDmWznx+>Mu@i z$oVE@%Jyhw{>)#Rcin@0^r;k!5W6FrI68VK9`{xMbj_?D3U8E3KVFiUE^BCvi!GGh z@jRF|e(6it(ii-nUxMtO;|(2=OriK2#3EcNz@aF@i zj|(0sJzCINHGh{Fjwvxcn>mzD{avh$pl5Uq|bQxdvI%6+R)OL_;nUWp3C86PM!lKw8<{ zlwRwLyV*TadX4ut!E7e>IWg>ymjO<@qB^%+_?_tM>E1`0Qg1@Wet5(?JoRySOS$h< zT0~P=T9emsS!hB>5U(;S&85s}ESyK-Ze6PrE;(vq1ZGg~k3+)&!UJC@dK|hTOn3A4 zUq;V&d&4PNYGy666?Nea&wVT1DBX`w9;`rCclSRH!iE>>WvQ|&O^exKjJ-8tx+rV; z(kPl0Pu(>LOj2y5NG;y`cN#~Z4Qm=ZB?ogu6ltlkS-jZ0#b2t`qht5z2fi9vNSP@s zS^I9dQIbaQ*9^f#L|l03v)?{V>vmR~zCZK|vhR5}Fk*OKG?$kuwu{~wWft(ikYz?i z7;u9MLFS@(gKBZ|>Mck-)KJWpGX0LhZ|qV*4T-0)_@NU!tJ^J(VkxKDoi8sJ2)>zJ zie|scHu-tKFFkVDD+=QHFujv|u&$t*0mex0o|mOIpM8&;#?G|FdGluW)5@0m>hP0i zzOJ^E`$s2>Um&YH(sWeWEaj-p`Rk>{-y#dHuJIm1>^mDiH;1QImbnOIBWyej!c(8# z`85-VcW5wxY{$1T34mX zUH97R@Y?6;8#l8ntG;;94Hy~QN<}^@DXC6}i5RS|!{R$N(wn$-W5?R%vwL`;daU#5o$!JiXSB6%Bv)zbKCgPSt=j-pDqZqs((gLJGC+Hl1y?BggY z7=EQ${`@txOLB%Xdrh8+WlcmqeAT(bn%SIiz&(pRHo%Q}xx<>(4F6=CL2WERRvIrM zjvU43e4QnXG`1tO%c^7Ei1r||plhu1ocy?+u|!StxSruRraL2Wol*N}GI>92@m9;ESuB5w;0hXlOLsrsIu>tQ1inWY1}h%)q=9`RnypM4;#ONkVZ)M=%N%VWv?`Z<9)*1y}Gkc z_!TxhU%b2vX^A}I(SxI29o@>d*AFS+sEsVZg0tDb+<+8C+zIcD0bwv0Qnw&jcI!vC zAaR{B|02DcJSf5QvBvKo>3Ok{uK|x2o7r;?c(;?`M~sR-JiE{8*t9Sk`gf51Qbokn zo;XiU#ykxQ19b}JNtG*~Y1tGALuhfHA)Z4opt4u}E(=@#xEzT0n%Zuev+h_5Z52No z-|i31WV+xGFX>vu74J;nv5YEO99Cz5NB1zS7uro-dfnR&9>_2iqEPV}?c&GHk*P0X zi_%FY2AdOd>kl5p=%n&VE&}qN4IqoP77J#+DX)AhXpk*uV}SSNid(%CefAVRfL||e z6C>Nr%;ow^VZ*xlcx~urAYg`Z0$W0(OFP3veLoisMdnji!?^5ISYk&DHHXtCWfmQMX7zXc_?F|l2Y=R2VzxK6%zGT+-2|6 zwNvo%yAjz|8Tu#Cp`V( zn(CzH1E?xoShW2bocY=qzpn6hzwpbBYkhsg6s{uT)c4Q>-!!cSRb8hz!vT!hrr}sq z*pkIU@tz`Z2?3cAk0EbiDnjxwrsyELNTzGShm7}GpvDcZSB-Z#5~zEbi>WU8dtNTQ zFz#9NipvON)Ox~u#C@BliB=Q$_kf~y;50g_6K}JHrG9WL4ilsa_wL~gend0M1l)k} zk#Z~Mh7zMw7#&*VwzgGP@p**~;;uPd~lPexLK_ zw3>b7!TvB(w1_BwM-L+Z}`2O6p%ew8TrX>&^Dr)z*LM?a;H^Yxe5#Hz9oRE4)P!CUE` zsiDSxLQil8qg^O`u~t+hf@K5Ta<0i|$~WkcWgg~@F7qfi=tQS0qL@ayd6e-A8O1}o zS>ZXr^#SFgu!r+w+Vl%%N<!>9BvhjHU?8~gbofOo)ID?3kf}@}5PBY; z%1@xjFx;eo`FDye8oYXYYz?cpHE|4?0Lbk&==b>plWEF4Xn{M66;Y7M4&|%DmYA~O z?vLhSR?l?v5sEf~x=T+mn9D~I72F^{Km;j(zP8XRG`x@4pGo9S*Bgr~BS>eL}d=S|7jZO^sx#q6g7ynVe`qa2@(^j-Gb7cL60VXnIU?+|&>+d~N z>B4=HGmq6e@HAj{x$c9ro9TCP$y=6kr;U_#AGTD!WBHv8Z++*x^!@DT_p_D!cty~=Qy$f>ZSEGb8)Y2T?!U|Wk2zQfoKIjEXzo*$w$|}UU z%h6qQ*ySh3(QOj{JNTUVJDB^vGpj}D!tHq`uKx9SxN7gJ?enN~L5>LTh)-{*gxh%H zsozk^wejfCyrH_&#zRT_hRU#wXMpyaFEW8TuduiHz#NaT1<83F=f+AwJi*14;yQ7p=B&4#NTc+2( z)+c4T&MElDOh55}e;od>UJzawFmabs54$=Ml2_4tDooUN> zJ=uHL5vL`_*)OzhGGn^IJnK^Qq@gnY#hah7I3q3mb;oV5l4uj1-VDE@VU?LHD9^KA zt%k?VQ&+F}j>oh2;d%LGbPCFve^cXw$_FTiZ=>fY+>r?SQQMm`JdG$Y3HK#?!PQ&f8 zN=I5IG9rDm@_)Wwr%XbS=Zg4f=1v6h^|3s(J9u)Z`dL;E$&ZBsiPf0UoR#H`THC_$KqQm@fv4OUzV2eg}z|tt(0jSTSvCk%5FW4FY3@n$ri9%!M5KIvfBSEz;n+a2#WvkS?_jwWxh1)+itG++BJ}TPi-sfai zJ%#()iCQuE?c}A$>8#V`)W&;Swc9=6lg@l`PX7as-gX|%3BK@*8XMH1JmZV*HId;R ztoyZZ%{r1>f2+^EvMXU;s2YUSt_#MO5UUcAwH^6MreA2ZPh6j{>+CMYElqg`+k>Kk5kzXJ+n}JV|u=eH$7hs zyGi#TLga(+{v)-8zTiBzo7t9cK-GkHRgnE+vK`xrVI?)}Xm zrw>492V&l;dZ%K-bNsP%6xF@`y8gC+;dxnneBId7YuD6dHeH{vVwio4-dc+^H*f?RGi@@xmY;kwTZc2Ky%T+h zl{EQVosH6zTyyLB*)iwC)8ornx%sg_!~&WFm_NvVSbiqpcV4wW-bre9(eSp{Lfq|m zE%cV(^w))QriL?jCBw0Xx5W!U?2nSqTt0hp;Z+^qB3(Uk2B;{$CmWFBtR zkR?@EUSH~@bN9O*3Eg}cO2g6B~uhW+kp zVYFaHP7pKm`m&`ezSN`O70a$ar0~WC(J`MNT&)%}yw{cjPID#dEa`LJK4*GRkCPPW=^+CydjWbB=c6MuMFuOzfo%#5}%mJ5>EAX<|1M zXS}#3L_qrlSEE&ag`WEyE7v0H7je>yfWdz<-OD#ZHCHq}c!Y9=r*pfLzK~`>^d#SV zMgSZ5PmB#b%@!EOqcebEyw)3I7+=2%7EvN{)h|0wu^Z%fMepx-Y6dp-54KpHw zOc)l1%J5LlMyq7*`@Ufk;$tirm9N1o64fpZuqV}b$TGnm`F~?M`r@pG zKK|0JpAAV97D5(uFGgu{@m5K&Q%3-iXz&;qtUqW)4!~05oopD8z+6fO90&3#^{hA; zoV)dn2s@dCXbl2)LN!B@Et}Z%tQNAJyYF8&Gl_pcQ>{6XN$a(iBhI%ws%l~Ivotp2 zCb#4+^+U=-;lZMasg(W0qIogIc{Aw9#(w1{PS&Hd#i>(3V)I+{owEW_triS^ths6J%7iO>dU4Pup3r(pN_%C31*MD|R^ZXjgI#doHTMFIZ_JM5A6Qek9h&&YY9CWu5;&kW-k4wQjv-k=1JS(3-ZbRabazTP;4-SXDJ*7%h2Q*9LNo z=W&83);=6x`{D#c{wIi~+C$p##2DOY(;$HghIU`!jQ=1jd47;r^FUH2DOU{7r!7w! z+kQO@Dm<~4G4fR^y6BEjg#n!U8y8`)5l4?W$~-c!XeUxqQgmH&ggkE*UzoNC zG6RMI(Vfql=a!aXcTGXn(&_>Om|OCCCGUclux8cG5q+CHgUUQX;hN8)(a1tLRge_%Sg?&Rx{$J>MDJ98zMU4s&&nP3v?Y;vJw$$TNO7wbxlz(T5FW1pA zj$G#VCF-;1ftgy{dxS4!+xq{83Hq$n8|XjaXQ?Jk%<50Q45P7P#(=l$*)(_qG6vr4fo@7{!gS~h-;pRI=?m5h^eig}zeIl^0kN+x9m zSN#`|{~9L9d(Ab_$Th(JfEj6?p}h51h|NnSdcw*8%;MN>U=igS0Uj`u^J$L>(jcET zr@r$MwL7#Q+#Eqo7lV(*Bzlx{ZkXR?yeqn;C~61YyQQCqC;V@+njy@7kZc46S}Bt~ z3x^LpKCU=PmY{mi(S=kc`O4BR@|lL~O)x#tk{~-HeBrH8981j(N$^a$`hyn% z;tHsDIkr6nisvy7r3Oz%Pu3}q>7icTX8x0Dt+|HGc6YguNXpThA$oO|2%b0XxWael zXl|wO;N?GQ(-gcNvi5|Co@D*Wr!0R~hH)!uCGcEvhGHI762FwYB$&sQ0X(i^f^KT5 z_+W9wjs?B$kMasbc|ohO6BgUhWPlG^L>e5?0g`rEYo$K>J2=!#5% zf%_Sm38njQkf4)$<-VqI4r%yx-*A<_GS}kaCXMm)qs4cF9uYTXpSw=_{sT<9$Re8d zYb8pB@j>UXhy}NNVFK;%=xP#1`sbBIJaA>|&8T1yB+{PyDyholm+b2rDwojM3jt-h z$2vjAzdGndQ}!Q>XX61W;Dzzq0|0`seex&gp8xOY63%#bS-u>dok~Nz)yJ-^_mmD2 z-;&4>EJ105ZD{K!dXfz1WnLss8u-Esb9rtcgXA!91$2BCzypJzR~?U;zz?1($}VS( zu^i8c#~*iGioNNz;-g=iJHfT)W%vVKiZi=*GDvQzV@3e`HjWj`JUY_^GQ_{g%@^to zryMKchN^k5EE4_^+kA~`{2h@@wdehSNrZ(-#QVX497x!6gAFuTxy+eWOZQP-P_yMb zbFltPb`Ut=&8-(NNmQE5XNN!GX3u|Ai8o+PXO z+;aT*L&aWz)e?3G88~1Ll=eVzOV#bnBc)@0yhFN zh!-%D;(5e5$(qT76cru$k=S_vhz0y&Hx~;opYQ!V=t+DAjS9MZYAh28$AwgVl>Qv?w`CdDUS@vB}pz4NP1U3rzpcdYiC?kOfB$ zM=OxVmxoDPx733sSo|_oq^uOzYOqd>Ay|EW5<$Tk?NDu1yA)5Ly_)L<#Y*zFV~PDE z*E}`shJ4}cMIA!GcDGanPJY(ZpjgZq6r8wg1R6+;JR7?83T>GYR7=vFOQ!aRo)#Yps@snXND9p}O7n{mfZk zUBq!a3@V9$J6ePRL1G}h3DW=&x^}3XO~ywyO-3Y#j;($I4!4>K4^ZA3(oQw>6draN+~~tSJo-=jx;5tf_Y@AzmO@EYKlwY)bLJ_&x zzG)Jgq*5D@vQ`(QoX`*fDS7)qcAsxlD{1rTp)vmf1^*$`Tms!=nmo~P{sWhkxBd!^ zNWn0k_SY;bCV7P*4F|v!Dx9Mb=^n0B`pQb(MWzs>$s`rblBjMC{8)3NfInw46pzRr z-oU_J0PlGKoIG_2o!_qOqVQa31a%ePQEH3v&UblumFZ7&Xjh;O4$> z2kw8&@iHK=Dj9-D^w}T~WRkpM1#;#L10J$SMh^n}hY!Zt3PSAWVqO;g2^lYWv%ZhU zoR$NqgX0w+eyH#!C;6Y?dS}HY5eak7`~{zRkvtKGDE=SdD`Ca;x}*gycK-U}K@Vxt zCI3xAOh#H?%AGH{Y`1PD!#5xk=M3dvbr>(ar*wj1(jdGZLUH zrbvzUVLb`Mt0~^Akv_kH_M=k3@~D~>s{hLpMd#MKHY_+4iR2I$S@p#^) zD@lQ*Qo0<{{<;-&kJFAHhC!zg9usWnTI799y%7^^1<76yfiNB>W5le75wprwZud6{ zueKL0^u+nx2?6r0@nt5b@a83j6@hr42UDS> zNx5QHx=(TrEphSrZX2})$cPw!iGWGK=?RP%{DbJ$P`O&4=6zmZ zX=G;#GDa z*NJwm*%QR`@WdJJpaB|jtiN{}FsaN@YWM45RN`c90ewtJSFFk#g=9{i7Y4e@dg*$G zVD)<;pH3jspT9-==1-CSM1!;qqF+k`oc7mq1uHt-i(d;wgSYlcLD!$5|Diy>T%?Ta zd|~%u^*1-!OIc}dCiEm8`DZ-g>m5N=ZRoD;tjEwtnWqdaN#Lbi7rUkab`V(mUGqU8 zLm_)lUp)d}OX#@Xm2q+V;8#~=zFdsV`P21BMTOcNSAk4Vp81UhH~1gsH zD3c2hO^v7MqHK;$T1f~(F!lW=Tt58ooCS&8hi;xN~5J9d{WDnZpDRp;s+bPSK z8P`M*P%Don_(+FX&ZW|qluSMhANAOL<@s76}ySqo-wIr5xW z7rS^87`u(!Gk{{tsU?F|1XAn{6K7$f{VTg~WGgadE5=Sgfw)-3*!(}j-cP^-x8|K8 zs?~_t2X+!!Q(_1vRTo9o5QRLCbQ+%B(X>f-O%%w>e(Y*IsC=OrzB0%HTqOJRq6p@q zo>n@sj7ki~k48_61^E1atHBZjO+LC^Lm+m5=kdqsA5sAm=BQWgplA0h4gWSyNllqh zfcgJ(TVjVFno0yZpM(h6lutQsF9?!KJ^JR`bffp>-b zatjxSU-Bm^lJR6HW;>;mlYBX=d=b}|=zh}6$48ZOTcnvxvSLln zo>SZO>PO7~^69_=7 z7&Hk`18-(#QUlLggS|^;5{+q1ix$v(86OB@^Y9pPodDIZgxX&=erW_o~qX-S$} zG;vKN4XC_aKS8}E*C9YtzrqNVGqMGOa<{upVTXPrmU1jVi@)n{>pbE1TWZ^vnS?Uw&z0j*Pga-C zx-r6(`&o}vxIQKWD2(oJU_kv~7ivd+x54G&Gb(fely|}k&@K0EdJ=bAIcmnjNHT!h zd0ov@S6Awes(-?yam0ho!k@xcx{XwmKuy(10BS4W&b^djS)+}h5p%6#88GLOrP#$N zx!c`9$@RkQu#?tqlMWM16jt3>8}ar?k~l|BrR4?kP?&I95$Lgs%*>1a6GCL7?8GUw zc(dP&`80V4#!GDMtOlqqzUwMpVn+_Kl(Xd9#1!qal<)iPjQU zB@CMWo-JBu{ms{uoNnvE9!R5l{1dsx+cyghglg(qf9((U@nu# z*g1Y*eC54&XsX1)sAj8R*Gz*1eIfmOq_y+(n@Akht?hcXdDr;PB}jBXj4?A(M3&y} z`K`et(;MqnQAG%GL`)Gv6@v^h$QFa1;bqueUpzV#-syOLcqnK;Dqe+SJ#2FTwo~0* z^dkTyUO0yI$B<=jBvkGqdXa!^!PNY!E2#M*8q|C(O(Rfe1f52$0vC35s@qeZ^~&Co z9(b0qJpVOLkg=cIm+Ulrz%ygn6|JgiuoxZ-WU#{`c=y*Dlbx0Z^||-%FLk?Y3#~#~ z9>9A!%9Z<4R@EzO+^jWi^JLm>Y%8zvh4}P-<4PHl@IlP9kgEb(P-x874Aa1GZO%I)gQ9tQgXoY7p7>xJ;g9+^zd7GYuBHYn zQ6FNAyn(v(V8DuGJ$xaw@^zAhxIm8cMf<~Eox1~b%Nvg*8Dko97Qu!KJ~+@;qAYFm zG*!xk^+F+HhV)-Fk*4t5!Vk|j)%Om2Qd=L4o|RTF3S^7=iI*O)+4CEcL}((V46U z(2+)oPV~w)nHJPlvT2PP{YLh~3ih9fY>NS-J|-|g)rXll!0R&e#&^f0-*y(S?C(Iq zd|R-Y#3($q8d@Y+?HH&+Es~lwfD`?_X4DdKe|lXMC~7M1>6pbI#=Bo11*&+xS|V9z zjb;QQAu$n%L^JU&sZkXs z#=lUuGjZnK-I1c6sLpE3d6(1g4N?U8>nQ@Lb<;@oM5Ko;XLM`dpipC3H>#(k0g}jB zy%M3Biq7V%qE&jOZC`O5@z%T#?3Ed=rU#AVt=y4P_@w=@+X--CisBQBJ+(&HTrsp^ zIb6zg7%J_S&Pa2FE$(=uds4ibGD3wAEahQ&QOGXx?V~F!a+VizmJtP9HNd_s3mcX) z$H)}23cg|q%$aYoJKt)0hd(y%zdWOO=5_1%&h9fA^NW)%KGoUNkOJunUo66--AW38Dln)VgUaGY)CH;}S70T5bH;3= zi`&H%4z8Z?@EVEdydNj8S=WPT)KA5dKEW8`N-=Q<*J`mYRtUI)-JLEnl#Qh8dsl!z z8#3-+XfjN_r}+4TKL4tY58EhW1YCogf;&r0^hIBST&l&2>EIHWq)Nxt^Dk)M5Xlme z;X32$1(33e?SIH;37lXgl4Yihy2!Zuka;xF>#!YJio5qEMEE}Oq8Tx!33|L*+d)^? zbD1gBMhz+MmA?|XtX1C=$P&4{r_tL;9pR=M`FlH3fDM>mz!C)Y*m{@KkwV6@Tj->o zDlgkS^dAp*$r2%YowI4q7refE@7K~=pUj>ypS?OvqCpBB8$@-c@?n!7;|o9h-th-8 zrXJa7K=s~s%+&k_TG?|d@VGWR9Omkci1P`(Uy{%7{+7|3zoa#a^*KfKJ)KS`dZQ*z z2;o%zDM`@Phra;y(9HuAgR9t5(hs#G`GDzPi@N5c5a?JUI>h{cM!#XAmikh%*1xvU zGbY|wg?${3bJ6a_94TNX_{s|O?y*ujYY^OVduJNX9T?+)?Rd+ZCg51GmI?dWiK)(- zsI_HF7_5{cI$%oX8dLCwi z4Osi3KbKBUZnQyGv-i~lVZ*SsM4ZTi$WbmrIvx5_EvlO?rQuHeO`~_k-S)ScbOlCU zzR7m5Z+YwRs1EaE+dmqUxe$$wy`~!+-p7ugbb6gpG=rNe4f|zZz{f@T2d=D@5^>|# z)E<-F5X09^D`k&aFIK$qS>?c!jp`wL7bym-UT0g~xz@q*^I>a?P)p=;c{zFqamaVp5_AwGN(r7>vp}%F4$*tS$P_tKIn|nm3)9hNqr!14Ph5&iIso6r6 ztESTr=sbky0Jnc~RE`Gx1Mi?t?y4Q|0@59+k8soXO6$U2x!q!Z+d{c(=Vm2M_WY6e z+;d9it})^zj9WdxkJ83^e?=}km%d)1ADA@}7!t@{ogqRxsg0CYal?w7XpMPv-HUDR z#1~1KO|Pt$m5blZUPahdQ^23eB(#KsO$YZaQ1`fK3!B|X3)MDC6ZK&BTPG~z5PN4j zLP8y%vBM8^IzH7;)WcQAPpJAKj8^aMim8oHbpro~aw1rMIW zCc}AU3<0U=0pmTLt1^`M*ipE4-#W5j-I$DB`Ju%unX5HA;H5k^Q$afKu{dg^z_D$QPB7Zct*W=$kQ3hLLV`gdTkEBObU+-uSsDA|JkL zBXw-`>fTh3)>Kdbw;tknF|8>N&A);&F-I+y`eWRLaiSZQv}zCd;=HG74+o}1TYP=4 ztIbcnKMWB0Ptw81PfKFqZ8xaS4Q^1L?O z-bua6O1_HiJEyg9g6eP+2DO_cH|X%Fh_PRW z1bl3le_+L035_#iP~(56P)#HrtfmPk45xTm$jeCy5rjYF0mnFqJyIdA8F;l&T5ZgB zV(k&5i(egkea|&1)7luuTb`M7_NIqd*X#V+!jil}{O7eL?!GTN5WJ{@CXblG^=r8k z5*pR6NwuqOw|+#%WTq8GeD^C@JRvaNf)ePsItEAe?yC%&GO?va$MvanBiL=KDTPc5 zt}L?Ku#!Trvtir$9}`>!6=ApeCFB@fzKLze{@ne#8q-|ysr*-hI}UBj@wW)&-1DZtU41&*gcuSft6x zDRF9GnI(4$(F}N-UB}H2+#1=vJPSKJZhd|BEb(%4ch)ej=|xjBUKi}erTB3Uvdio2 zaLf7Z?ES@Qz6r7w#K2C4Y3Ym8LW4y|mdkVfViz&3ZT;e1Q4Ds$;I&-)X8qFFMf(kf z$*i#<*Due1p_mC(-P0*I7GGU=_$x#fNo_1HIKn3TdgmkDEcwg%1_Nrq0lE02yB8fS zQmx5uNc}Tti@+DVP2zdXV@`Wd(RC|H5k3@eY{f zZvNn$WG;WE4N6LnOLUzbt7j~h51D^g3UuiJnEGobxrHwy~ zY!ME`*j+J{~!dsQ^&LSb5bS+gDs|$ zukV}+S&Y4w2q~zktt^WTB+_wkv^KjQNS8f2*(qsKFnV%}HO^$M(O;giyM>qlr4+V> zg|+f^uF>DA(Vsg?5bW}bePf1dzaKC52i7;Ssr`w2Y?FUXJszW13Mu$mJ5d&!Or+Dp z??cP4-y`EgtHyD-4rfjNR&5xd@6vCM3)}$@ihK?}yHxEc2T?NPZHTl?*gdZvIzr^{ z^xd0JOYfY`G^u0TIqL5qjc|i4=kEmk1&>(f@9cj~px8h1u3CX)_>rPo5&744I3p;} zMWq%Vd3+vNPs*9#QLWaC0sOvu64@a|<(V!xy1wU0>~X7W`PTyUE#wvmfJfr*JN9jo zC~79OzWcEh>Y~I>37@lKV%UX!FR-qwIUZ0SnYzd-=zXOn!L8~y8hhmzur540vSjgu zSKIw@=0HhNt}*;hHE$&X{-CeuV=DY5Ov^C2S>tA0(K`G=fd0dBDq<9`PqGm!e4_Z{ z>Pta3$yY|nM*o?hri7|DO?T|YAy-lKUCZCIIJ1Q5agKFTGqR?)y?x?tFP|#Cwh?zD z^ig_!ChmsY(_9*3Zm+}Ru#N-t{3l>vy#5nLVRnOW({c5VpSDSnQwDx@Y)3HHsnq~+ zw~!M>!)Hc6SGOZggW4g63<548Cy?J9p-9u|)lp@3PIuCgPR&)uqiE#VnBH@78<*1j zBd6}4CR#hwaXmGwSN^2Wvs1xY3@f7jOx4`w@F2m+U6UY&>dHlb3?TSpoq$W4K*(&| zgf?}gphFkohEd`Ksj<{3U#_^#K!rI^Gh1Y6%})#-%CTzRK8&b$qcVW*G(w9@=MI#p5zNllg zv>7pM;T~A7c0TglG`j*VCFyX6D#5uC+Eq+G=cozu_mBkOfNoIm zjR+oGqFtZdNFWi_6s^hLp(Z{U6^<+>TBiTkRHaRub;h^w*;xHH$Dm}hna%MEq*sgH z_(ena?hx!%<}JwNC=QqMyV9P!;g9Z9r%?>la*DELJCbrJ-VBCKCEvNg&EEK|dWkjQ zvGC3>fRuS{?~%BTQrC&}q(IRRGt6m6JQadWlAI*Wa5_75XbdlCX(jx}kDWr&#cittIzlnx@pE`OXHf!vg2t@C_nZ!if@7dK6$teAfq1SdR+ zFJItI8!{h~A~)COgT-wc%44Z#;tJ#7tNo!0cymicF9lC#Q+MJN2oRk z-@*xu78Bxb{MNT@Y)^&B*@I1cV_{_+pCMyHh>h79rCO=Jt_+9vm>FV2;N89V$IEmz8#M$sct5=> zrWyKY1olpww(Kkn0+vXH z_AaDs5yL+jm1zolpi!FSLXA(s#zS^S8;^PK$>=pZ@v{8sx{QBBUf;|!t4i_cc`(Tl zY4@Lz*`#&AoN%JJA)a}OzN66i zI?zJ^H`?$k^MsZn&m$-`i-v+8Lhs(F)=#n%pg4Fu=N1_xZL;ao~=ymCrdZy>qU+3AIF*a6pVn&&ich-}QukJZA_ zYohN7})!C{NiX;rfOHi`4GZ#jotgo@OzS6kiDz)ij4p%t^QV`Or3$)Rk=qC)?_l8rb6Lu(I56ja@ma zBvMTAV|RlRDmeMt3d>MD@LJFE|}}yJ;BK zV8OiV<-R;od86Ic%eL`^4`6&+7 zTz$+7tR1tHLqeM~wRBK~`+>yAL!-`d2ZycahnKq+m;VoEZvhw8)-?{RASf*$ARq|R zC@I|_Al=;{-5?#KpmgWZ-6h>1Qqt1h-5mqN%y;JA`#kS^@AG{BFMhu@D|Vc7_TK00 zT6?X59K-M7kZHKqr3K)Ia2d46`~&10@t>yAZI$)*2ZtnHcRfr0h3)NGs;qRgIe1Cp zg*ungr?fRQ3?+N*Y-8@b9nDjBUOT$^cc5<1>GL*W-anh$Ih)&K}Q`Vndt7H}o^`9kjV#Eqq) z=i}OFA~mR;EEjX9{S-#K7CY2z=p=>XZ^CQqvFDci`}|tbbud>>AF;Vxj7JFc7C?Y? zrQOb)*S5Q@z-clhzkfvWB3MwFPJRPc6je0G%%0F3IkfxOp$iyeG1j zI4a0?cMhN2e&T;ALNgBeG4_>3e1#E}|3_NcfsLs-qY3qU@Shx?P9I61Ni{V$r;gtr zq*Iv;7l+1eGKKGJpWa(+>?g6!+GMrQXxwV~U0;U*u^p_vM)Z;E;PvlulFoyUn*Aoc zfLerXmUVe%p6lu?VWoN&*Sq2MM2_fJr8sUmf1z}9tHH`>kG39C@xE%#EjsD+W>!h1 zGmHpGk3PZXOpue!dmhfd-zKs9yqt~Y{~~#Z2k_8R{wpfE~LX}nn&?54HH z-m~Ig9cq`T|9H=4^hsvv`KvIyJ{_d5qi>zp6B-?5rk=9(8jjqGZ4n)4P5&bG7ucsx z`s1tj*h@+&GPlc5Z+tPhWyX?{(qUtVQVj@IYZ*Ls?AGuk6TWkT2fzovglZVk6c)~8 zk~1)~!^ZhHwv36tFKmd4oilcDMwZQl{l5va3((J?^zO33QQKoVa0{2w+0xfOFFcz6 zKY|vX91-neX&QA{tliWoZjTg~l~vZN^XrL)CmdX&L*a`5h23;P`AMg$AN?v!bh8#6 z!EU|^E6Hgg69sg4e6$FC{{Y~5z{lQfwRGM*qWGuJXZObxn*gPU>8^9_&e zQj`3U@GpAv@`acmRA%H!mT$*>01n#pK-&3HaQ^HjVDD4|p@BLEfO zo*U4mD0Zk^?>$dqzHi&SxJwv6){$?wfAKo=^||R5a%vJY`}E47cM=bV`PRT-I5)e2 ze9+F*XNMIM@*obU+mh&UgYd6j=KxNHS#4ZsyheB``ZoB0AFj2vm9Em?)LI=RZ?Mm& z8j5fZ^=WKiArB|*f{ldX{kI|>g=jPt_H@eU`CEMA46;M6A*$fyef*-{>9S$K{mB0F zIt1pZ2e4r8IX{0}}F*MJkg<2~0D%1b>xW98g7o%$>SrRB{u28zAr%eUXf zC*1Z^j6*GIw%)Q*5SO{k%0_$gM?x`*de2{tMtK76Rx}dyfSDmdZ^#8mQ^rJ`VbasU z_F24@07i9?bS)WJ>wTU!_T-yv<;@A|_j$#^GSSj%fWx2oVw$(&3~F*(2(Ldz^vaPH zv?Byckrlm>0Nz%;e6`=$Cq9wV$l&{~lAt?eBBho}J$I>8u8#83%(n7*5tp@p*@xCf zCOhWrC0%BECIn_<%06w@chv><;peS@efT+5#9T8$8+bg<3E)1Ru}}XKat=82(T5G2 zRxtiMIm1+-!2p?=-c*794nlu8)f+wUv+^(<{qJz2Z0*hq z_%-~+|8)1OA;CzL{1dZN-JAm8t?5w!41>Zm0cUFpN?3ow2sOt40e(>x#0(Vmm$UkZtRyCSr#`A3|2Ke_?}!eQ%J?I?1ER-RXa+o}g~zl+8i4^Ix!EPl*nJ z8|xFSdIno-HQ9k9k=LG{M(+Ow5RdBDGKLO3)dwAf0g&wrz#yo`6tKG(O8{Isy0cG2 zYu}wal(5!bZNJbov9e{U69G zCQ(8u4=lsi8-hSuy(M%P5BTr6HfE_v>*bRKFp&uJQLeA~V zS^s1-D_GB|bgQ5q6fVe(FHw6a&OQ6-Qx|n_!*q+Y=DB<0w;=&S(UHROwf$-)clp4iir z(~g_Yn~#I)u64M_mS$O$#(&Vt7sj{qT2=vo6W>06E%N{{KUmEysGUfFITzDXk~c~| zmvkC+4Ewk2OTe;p;3JjdZLRG42YF8QA@P}1|JAPDWLW#F3;aoLEWHQla_nKwh?gX+eTgH5_!6VKRdG2?hCr#Geu zp0Y$lYh6Tw;hX?HjRY?wUc!R$>kO-anbi8VR5!Z&uZ(GL>^9>{G_M=5Al`XIu2{^c(1`6CSD+rB24v16)-bmjyJ z`}xy2Pm?X>FUt0V2X7Sj!jq04_aAjFY0jGcBz-qSa-Pj$Y$c^%Eg}21Pi88pk(zsW z3)8v_U&f=Im{ljZGOmvhaAun{{1EVK`;^iGxmafx|L4JYcoX-D+Fl^b9X@JX>>uDA z^#DkZWY8w@87TJ`<_t<-#^zakh$K3}dS?R&U|S9mm1Q&QMp7i!st$NW7hb9r z4;&7s*$0k>|Ngl7MA6Coc@8i;R1ZcsLQ7^yWlgPkNRgj0SpOMkTwzN_IEY^U{N<}I z`~nCX4p5{)~zI0Z_4PPuxxrkXRA>3Xw$$k!64?sIT&pDY)B_%>F^%5*U?6 zxQJ`(83s_X9)(CiO_Sk0%*%wFxNA$Ye4R}TU!1+6t>oJBvIOqLRgt%eE{JHVaELcH z@E&^s-gEv0lkEZ_F9If(g83gEyPOUVO_-#qc1WoI7S~^<9=W6bF=1UZLGR$Y`V$*3 zY|skgsK{=i3gQ3<{<=R3%0z;VozCfewgVkI55xNUhq2dPeTnMNI~&r-dkc0dFl zs4n~5oY6CZ1E(irBl3X@?1|#YE&3Kwrq*-^Dbl-raj;3?ATR#b48?rDSe;zpf;Tdq zC<=(nz}PgR{cf%IE#(zwduXH%vZT;9$<*NJ2qc8#JiPU$LHs1Vb-@Ud!%Ts0a`0U# zVZHrDnj)3vRyMq!XhwxXET+R&k)_nb8<8jH6rjB>bL;y@SiQ3;a}` z6))A8CRu@%93b4?nkS3P%QdL9?JFc+j!u|ur{>EyV;*lCZbu4;E8?ks(mO zi)gjQY1omF^@>BEXFG8k$GO>YGc`j`3~0-Kp7zE7pl#!w*W;b%-KOOHP1#oc|JN&2=lQ&SS+dc5cCOwF|p4c7LidM?)FF%h6GTQ3x`EC|KayJj!W7Pqyg-onK6Ik-%6NMd2b1u zS>77|451e3I#3xD-Y~~}R;|EFIL6~V#=|(p<=oBG+OfQ_&ohVHlYhc>%?om_IR7X4 zNqQlTg5ykj$##&mUYMAM=FTLPw0^wxD2@ka$0U-pZjlnIxW(PP!G;gvyyd()lcpZ` z8w3_q($wt!e^NdYXB&{K>DCO4ln!ii=S*bWW-W9D8;as?xHd1MFgm!0-j5G23myKA zU^=rfIMaM=J7ub*pt6jPJa}{1NydBn%E@7 z*UORA_a5kXEBanP0CHU=55oDD3TObMGr*ntW8lx&7J^^VWNZiqvfO^mATnX(66}bk zy50qHl1e$nMt~(^7P67@qN%ucggvOTYKPk)OqofiE(6}jmOa#Ri{pJIb3q8ovrVN??Em@LvMBxz7U=#jm16m_^5RRxu?X{I zK#W`|P7?SOt)(+LP;PPljs1XKIlsu&ZI z9xm$oVnu-3ZJ~|yU$G)!3;3d_k{~;@EdLxKu5Z7AtW|$eV+u#aB|eY>mmokvEnxkA zTKjp2Da;ZhY{f{{#Be=S^DTB)bamkQhg2Rvyt|E zXt{&8uARwjiKRGw^1-0e=Tcy$|8v5qjVloSh=g!K@_;>mQgj3Fg-MswQ=fI*TZ@(a z`cv=pOOe4*11*X!Qa*}`Wg^@BZIhvTP^ftRu5b%L!q}YF@*mAey z&Nr9*7-3@UD!#={V`dJpp01KxxytK-zS>NH`KEN`12b6$4quv*Q+BQJj6N%L(;B2Rh5VLIL*s20(GJ-CNZ&&?6*IV5quOpdgl<(l9-I7*tp(ahK zLpUlSm)l|QGal~U=Ro5}{6b7Ff)MXP#4or=Ii9@}ea9$cs?2VxOt7YLnEtA+G<-k! z+e(UNZzVT(aZ?`-eANd?+>OYmNxJx8J|k~%u<|~|cnc0E*P@i(zlIA`bmR1p_3mGX ziFVDbyO+gL1R-|wsC$=b{PDc6k6HD(USzDy2qAFF%@(Y&?*_RS#>08<97_R=@@~^Y z9%Yq%IKZ1dU>#nmWgRx8Z4!%(4eYN@6DMJt14Ae~Yja;zEFFl&RZc#;YrGxt zB=;qXM7so6R0tFnkCJ;HbAi=QXh`04_xg3y@|09mIG5 zt9OLCwMT6)HArP4_x^>!6usWl@(1BBTm~z-%ocz>#{m_C05V{<#D8ge@u!IL>Q51a z4TzPw#t>UcxsY~%@*(iWJWcBu*KYjEPQBbuZth##SQadx-1l`-M8I@#z#3bg2;T8d zR=kEa->BI6XT#rtdr(Aeeh#+a&@8Y(b;I`I#58JU;8jPWh##{*vH{;DpT2@6|8A{{ z&zN<=ZSJ)ErBp@QUaUUfByFo}v4ZEJYR1J}k>Kw07~}5eF@939^XCHpz-pGh1^c^Y zwA7%|g8Fp)dq*!%nUhKJ$FbLT3ieNFju+FHaAlX81zM@WE%juvUdkamm*9n1<@Xi6 z8|iVBo&q$0arla->=9tJ;s2apv*Y#i8lf~e5glB|N!$uEPB&T_iCQCfSXgAME#g-O zzD+1SG5yTc^fO4TpRLc!XrX@zgGP@p{D1t$eiZAko-`L5#k;(z#hP2#Ie>h!l%=FG|EQ14`pwyl`k4y%`2sHZ=Zo!xAfH_PVgCA3+#Oo##~M#B0Hc%= z7=S=7$z7g!6vA z)H@P?`SX^^EgQ?oC-F`(@b~T9w@2S@)&(r`x<89T)s!*lx(xEV5Ui*y=CC(dOf%e^ za}Y4!ZDaw=cY!6UpB%^3qfHR{)wFPlP{Mkt*mogGtw2LiL?u=SjMV6*XpPy@0L6Q2 z)7HULb$)q z^q1UWQPiESwTT6lwbUKs7&9^-mZZ6ulhe^Da$S89wVCws0j{w)g^Ff1sfS?A=3e>{ zGK^s1x15MDt4fp6xo6>?33s5!N1&qV7k+FZPHl7##HogCg^C5u4#x9*1rNz4A=p?R zz%X3~1%HB9sSHm;Lk%y4Xi7%ySqRbGf@Al*V>bzh?gxsWyyfnEe}_&q%~Ogw(Nrm9%jWcB)kVMfT8`x%7lsG8H+h4QfwfP zH4!+QtU6#+JW6?@I+4|S=I=nHt~lX5#lj-)V~Tl6SR(fDal4w^qka$}l+!`5I06+P zs=kOtcfq^BZALi&{K1i|3C~vuU92XSkzhZ5f*3fG?Mt4kIt&d_+l)ZW3%w*d+4MEFc74%Xk9Mn(S@^ksf zpt%bxw3{eG^jtSmjU5X8n7+zP1~=leTNu8|b|@bzt2#w8uReri2MpJg%z-vL zY@3^!u5*vzOupjC@|9C#iLnARvEDHi7S9}oU*SBUI8y#GhpF;5My{kE`@M!{vDJ9-Tum`&S+QKnzuCj+;*V9|cRw19_x;R|{U%3? zo+3}cnfAtpS8L|e9}&}iKg(mkEyjGC5ffN<@^JVF-Ry`yO+=NfHy|Tj^!0G~bSF-d zW04g?P`ratxbr~w(*xfV6e5|ap8$@%u?}_&bXB5!1l&>2% zFH0V{x@%E$p7qUWv|^e+j#cd-ziE%3Fun7kn$)dZ?o}QF*msk<{g;OPdY44id#q+Y z?|Od4^?@wK*H8_z#TsOjpc?8_Qxv-SvM@YG-2E?m9dvJ1XpYShW$9P_)W6}Q02B|J zML2)UEHDkClyTl|guT{EmKkxmlxDj0+UvNT@V_iV-B-b$DoB5nI+vkvI8t!6t#Wr> zbPt(;d0T-5YlSlY2;P3}BlADf|0GiRoFcDh&+F1^wXa+2Qa;D4VVhP`H>{?!U0mio zIo0^zgL!IQ*?*2_U@flye%kR9F3p`H2TIPD$X3y)r{OP7`_p|r-Lr6Z- znVjTOXx*^5PVaU&n#{iYV_9R)5>9*c%c@>t*k@O`hT$}*&Obp#4Q$> z`ZXxbyqAqT6WiIn0ip6fOrF0Qb|}`f1H%BJWsRybK{4K0Lx!%HD{vPi6e0LI{3)szpmHy#F4>BJJWq86H)p z3)|xw`IgU9!5F`bD@6cb&vd$z5$+G=ydfjw_0#6w>5MURTX6t<+SRUz<;rx)Mvc2T z`rn}1KSk3ktYj*0k0#CB%HU4tu7s1T4O1smrg#5!<~GxEW!F{f_PV^4gtbAdbHxnP ze>mZK&+J88yLXGoG=@9;{B?)D0(nOJ;-O&m^fr1<+Gvl3=~s%iPz&83)Nn_LC?+fN zzd)79=-Bi2?tXI@0{XDb;RA68)H&Mf28wAOmj^m_L973{a?2HlHvc#gq}Uwf-{n;&HB4zsgth9A{{?j9*fn zAjIF5i#OIxTmpPAxBzT6f$8^B{6LkLZ%6_RXIYR30?6GtIJ@K~d<7pAl2RXn^+c4? z{sy)9WAumICL(z@u8LKe%A9wDc9-s*U8Mf($6!Q&ga=Q$Jr`4-bZpho5obuwP)#e@h6%N7tzIzTl37spGL}S zAfxNP6z&rakgZvG%Nu{aWjAWcW>(M#PNOk#4Oy>Dl~+YOwI3Z14hZdGk!QB$ji#8b z7Wt4zAfJa}B;Q*UM=`j|9nXfGW*zOnOo`eqj^PUm>tOaONqS8U!OW*>@6hXz>rfNf zV??PeskFq1u_TtwXZ^K^CWrT=`FS!8jw|E4A#%2XL+uZzMdSDxymF^SDzkd${B@)J zk%y|T)0EBD>AkMWP1XgDugzd_-Y%%Uudhk{y=32`S3M__rWm2b*bH7Y(<|k*2{buWuXhz)78WKlsr6O;9c}9l# z<gGCJSRYvm9@fjuJ*9v#uUDlvv8RO$HNIc9k zR^3!)!KA^=@5t?V2fmnM{BV0dn(=8Z?qG3C%`c{PzEoP#(mvHlmBBWMJxsc&RsP@L z;+5BHcweI}+OM8#x|s0{z(e5$c-5&lQ?5XU^_sIq?oJxNczbe;{7 z2I9GNi+~b!?xlXUZ~8cTQhu7xx^a(57*6rimOqmHL!YU?O!DrLyK!PoxAWLiZNR9n&U(sBqk4)gpMkOwS@sP>L(W%`tRslck)k_}VOa8WfQNRKLTLV`jW zOM)4oHmLjU*yeVdvBJ%d6n4HSfYTfFZYg!bH_qnoFack7XL zz2ww+Tahu!=aK_&4$k*M#mZhSoY2#&3)tG&lNpu%7gupk0RnlcMhf)iGrgZ*(42$3 z?{3M;9pM}GUi_BiEk53#i;i63r)SrCOsn*#Uryp~ehB16@L&4d3$?=<(bSQ3ek6iG z9v-l>i_4MbchO&5*!s4*p7mA zdgeB7xuYz|QE?9mWNUO-M(sM@H$TP$1pGG*{m~W5->vgl&K`FI91(=!aYh-wcb)Rd ztaOJtBOm}x*l2)g!h1xM9%%s0Maw7RSfx_rO)T%q05mJHah`H4_)d+1Blw>wo!b!& zdskMKs!Z?W9}*rP$NP_aU$w(L=2U1HIUNKyq=^I1eX+78vr1Y&?{U*v5 z@Cr+~5B)#>mg56D|Bsj^4B4qRD)Iz4sWv9G?8x$FPf`bQxRbJeK6zIYtj3&UCE|pK z9^+Q=+dEkr!m>=KR$snaBNOuiviI$1ln;A(NH z99?&Lp78Q(L)+#6yMg}sW;8Q1#Azf^r%hJy;^K1Xen$BSk{`p|{L~i?g?Y5Yyg~Ol z%{|w&I->^ZOBv}I%e`@I>qo3F+#>rLdIP?C*?g$!4S0_r*8}gp+GYPm_TPn4(BI_A z;f!_M4$Hy)OXu8`KBu<55>FqYw*RXQGr?V9Y= z3-q#$-=~Y>-V$Q)#aC^z$pS-*?h>L09*{_ro-HMP{-q*PVp-qU#+l5eons4pI=wDv zPzYvYZ>}^m!%)F8kE&{&qJlaH-fSB&J@y(@FlGSfM_}elnfY7t&KV{*aMH!6Y9#W- zV_js9OQH5}X36IvZAx1gjD0zJa$ZrPU;w}TIh$-UJVkmvTD{H;Y6XSO3io)|Z>xFN zoA}hb7<|(r?bQg!4!^^oUl$bX*W7L$mO=N_8nA7A)IPSfb%q?mFwezmsk&i zkSv`}ACKhF@Qi239X$m0xe508?#`xrLB6-VHLy(n+s}C|pp&cf<3@UWc>73!kYKA% z4*hdjs=c>fdqQOM=iMGONKeIbSGYm@YIx|agui#rjfB6@{L+)e(?b`?P11$^M!D*5 zP@Wdt?dHB<5!`;lr&Z+b%!{V-bT%-?8vm7&`r?d^tfE z%0ybj@AUiXA&)OFPYhH^G-I+?8Y~v{RicU7kd# zn%Mq$`$i7N#XbTU@}+_29dCSI(QJJ0`T~yXR7Not#gZWiddl-g4hW#p}YrA`2As``?K=95)ChOuaY`b!ERcL+KwMWC8!Fpx0|aW&FF;A&?yz|{u2 zgC^NCjt_*Gf#FRs!z%&*XP>;ui>+Gyu`Qr>oSnY$ImeAx=} z&1E?_VcNiku788OD}h?N$Ri!#5J)Zb{vv~rgbn#H$thdrZZ0`y>vF<#pR%2k&e!%g z{=Lw0Mq8Wa&eUZ{OTbi7YR^_}qx|Oe7Du~$<#!wiUG~qyW^b{|x!8&6gl|MwU)wsg zy@Lv799yH2ueUI3*!G4rWth!8+?DO7)TPwLj3P+83cLWv-@P+($1M{ z1z)|;awU_hR^cq3k1{SE_u5WtUKXH!Lmnre5E+?a0*nN9<1bwIv2Xm@MnsEB^M0rH z^LDG&1*z6)GNe#xX~u|4t>I8R2F1Kl!=0>l2_&D43iC>PwXRS5t6};n+mVZ3m=_1t zdYnFX>e&95P~cOMaRojgg14rl$`Mu{dbIm1dy*;>oJt+d7EEk@3XsvxnYd-Q<`Swv z&MvO!?-WvSAC#qxJU(pWDxCiM!WEQmbiMuJ%*`o_+AtCy@2hAiJ6#R8|368!AU@)w zy0bQ~&82j_jtOf{{1`R`ZT3jcv{=uyz%Jl=tnfI?jsS<@(?hR#pMX{}A+ZaVz#XGe z&&NvHbl)R_Lm*FtTmqWLpKY=scHs(xj6EiL6Sv4N@2()--h`z?(7L{xvkhPu=~_ojN^ zlLJNz?~1S=E^1iDU$hl1!JUyQfyb_;$32hZ1B{BYj5Mt*h!Tj21M&g_j70K`2$om_ z<$ATHbrmh`3iIA*_h;vk(!U3)F0hjuMa9MCcAY$&c^qEgbtFLs7b<`o6u`;H z5h2&R@c9e)ZsY#Nf{UkJQo2t5cEWC>=|5P$y2(}_?*+cz#AWG0VE(t{+XgD)YSa2D z1lwWrIW@gq8@T9Bl*;tMwa7r8qy2LAFScO&Xx#aFxAD$qW4CesV!_t)h*Q8VCB0d0 zq}J1F(70Gg{LxnnQ(y&H6Z(uSM^Dk?cqTNOF2YR2@f~_dj+P?nkl&gG0ls8)Cefw8 z^z54rmfE1#g>ge`;lSD}loJ?aju!KOPG{7gE;~c7d0}2*aQz<8_385Nz|`q78!Ys- zwoD@%^u`sNnCpt%2v>dnxA_a)-y>|j@Q-!tIaR>n;j*l zSO(RI0~)0}Su`w(wiqxwb@PlOz!5ygyi>|+VWm8o2~`&Kp$WL=A2F@zf#l!+VjVjJ8hv-@7MBSou+#icR8BMw%>-|u zc83(tEfL{R>I)M=Lgs!EIdo`q*nj*aap|)3YbR`Pza@I~#pJZd>m2qE8`-0NmHpik zJ!4#K(t{G}yLz1m{Y?*O-QFd#um{`)+_B)g2Om6th7$8@!~q$d;kaANB@Y~m+^tJR zw?!$!O89inIhu2AyMAE26`Y0K&B|98N3=c1K^u=tNMG6gLL{$?d%7IIx&nBqv@4!! z{7CXqOr1sTPV#Y~k-8igj*WMfb8XJMhNs{gW3?CZcnixePml_}`dTPHxZ3J3tm#0* zi#xcA*+II5^FQP;j8j6O6w<6_2hR)a3cifuX1%P}y9#!hqAnrOfZ0`6ZxAg8;N?nmayEMo+v!*S*OecxygmhKQr*4eeg7ur9!5$@ z(C6!hr9@a%CxjyN-bdEf;t2nKAf$Uc7jubV((u>|a|$MFFiS4Ly4q~=dcW)IaYT42 z-oB473;JT0r#4$z{7M=cWnxrO+gcdZ zpfWG7`hKms0dmmvi!S`E`j(sZ{kn|S+)g6c8`-LZW-;@w|QW=4%Ro|D2-npnDaJM>;_wG4h zxpiB4{OOfl>)}Un;1aEsK@FF6883lrxK<->r|$}+o9~MV(h<6f9p6!um|Gmk;?Lf>W5=6QGPl$2hV;A(tq?;3%DIHaShIu%P=lY|Yn@5T{xaGn2j&vgpMg9Hk8pu1 zgd(c&i98U)nhMM+vblliZbxIm;_%xNlRA0g?Vtd z`IY#k_%-_xKE{6hEq*8vBH?hL&vVsuKuluX1K*EefP)s5HsKX~#Zb75WIVk6sPGIv zou&(eH^mOX4cwd{@Wdt#+xu+@?zbcR8|gXaI*sGaHy)-=Gb{yB`gCCy_zB zA5Aq}P#Lw!vbi_08st};b>%ViG6h;5Sb=-W1O5vk&nVACPa6N%itzGrxm&~1isv`$ zou6Ah=e0aeO#xR)>;={q89bj5EX6oS&4_GLc}J~1o61 zoxjUa+l?kWu4WZFw3*MiGgRFu@IgVNY}Roy`Q?9*%Tq-1n2cS9`?Ng4@kyv#`b`+) zfLDGA`R<;nvN)B%z1WCHehK7D`soE@B+>Zff=whIknSzP%Ye`&UmX)pQ)be3s5|71 zeTKdL2=j6}>>74Jq$i5KldVT-+SYg@VU<4i+dANafa}}A< z-Y&y?R5t!ou=mCB<@^f~ry=Fj5KWGTJ6na0zolvinQJ$Djy0@cnbNWKJ%IWls+Q7*8Bl4L+GDByE0`S4wq-8PwLR>p4OqeJ`4MLB8pF` zoRV0D6Ft{L6!3vRdSdI6^ZkKvPO>0Qy|2v`5zx_dMqqT6k*6r4tW8B?zD3+^3k=ki zNzRZkFj`oezp}fZdGHRAoQ60^2bA^9$m5-A4Yoz01^I8qQNhftY@hG-KihmxE_Zv+ zt}5WO!i3@8Fqmq3#u&Z97eV;7S#$F~)$!f<)e$Yn>RVeTGmCFJQ?R$}#Up#5+8+k9 zr%9*XL0in)4Wk3j19Anu924XkP)BVI&Z=E(virx8>4!+f_VcJY5{?>H_6BT9B6woVmEoXs&2et7rylrSy&o(FD=CoHkviiYvOku5ANGx z=09(Gp-k%W(#velr$uj03qIb_RE4tl&?u9P6J@W%H1mi6=~9?O5!)Q;GIM(3{jnI4 zwzm8`M!e;~Le-_vV=>jaZLMH^Y5}U&4^1*nQccppo-Y@9ae>rqNZgQ_88Bf6C@vPD zzewnM!eGjdy@}4UuI*d_U(H(V9yYs+IduzsFFMswbZRP0vmDg4#tVi8z+kGIy@W->FqxyNS9 zL40++#_*{=51#j?H{gJ>Ut?InKr%pkNYMTlQ4mRoO6oNKvorsCxGz${(RiIwRpt82 z_V%-SM-y8?P99MKJ3Z*`QhvcDs!va((q^x3P`fx>KNobKdKPb0wd;Snr-j0!4|=LehgFSu}%A%Rc*gGx~| z)Nd>q`E3ssNVbX#uG?|Fd_B{&YwOhWnq|GJanYnH{jv&Jyio0K?E^I zY>$wxFhczVtkaD~lFerdyx3a?&)@ElqPuldyLF$ug*9)Tv%0D=_a_eMLidFpoZdbl z4A%(fdf7;Bi1kyK*lY9ATuJ<_Q&o-`DdcVC3nxu-zUz4(=vcn_>0$xxRJ$EZ>bDla zJT=Xn;+P*jSYsP!^$nFPm{OYpe6iqx9BU7P!KlMU<=Z2{>hKG+Y1HIpkfFa19ScO! z@GR>G34e)OoM&q%$5Ny*VMD-@<3OT$TW+dFWvWHn`me-9@5b$c)HKcdQ+L7TG5_-h zajibzpge4C4&swkCmT}&;C*$LUXV|t8*FCy=An_ta$JnRr5FFRr9>I*rUtyXJf@1N zDuB|0J&_Plr!X-T#$j&90Sco*m&b=<6S79~FL1Wv9{xq{eohM52;Gq$UP?~pz<#Ln zUZS?Thmc>a(fj@mEw~XeFz>`B<8V845rSrFpq=`kkD^9WEt5&v`7m3nhpC-NoMYV0 zonExk^VxEpf8MO1{qcDd1Lchgi{T5uhXXhmngNDCijO^C`#mga!~Ieid7+|{BLBv@ zK~i!gvq@*<`}N_AUy@D-pIh@r1vX0@l>ualX|5Q= zUR>FL0(|sY#P;S`ags)nTXd*IOUpM--bIREPGqm-(h%BSO@q#Ni!n18R|T!k1g#QC*kdU zek~GiGuP%Yn4Dm#-cYNxdLsz`R#0(fp20u;{>CUpR#KU`otQ(~>@-SM>P7v1;<0oU zL_w{n4u3KM*EXp=dXMEaRI@Rg@Sy#CdXhuUfA+V_7rU3m>ArpY*9Glu)Ou4c?QU}# zH7(F<_Tm{x%G^j;H`i)8vyLtFmi^x0_wq=F(#3$|uj}v=1 z4HiBUT6*h$r<^qJJ2UuZ;q?>-D-QRD@OQk~pc8}DM6b9GiUJhDVOCpjUb2&YBwxXm z$e67&I!mU=eDrTu+BYZ-Zk0RBf|=s*tB#yqQIB`$be@6BcR6LCV`J10cPm+>H3PXm z4%2FJtSd+*57w623Gk`fQD1p?1)1S&?N!6iSCHmYqe;}9k1>2nXFA7K>A0kz$-hH;27kp(83|SJtuR1;ee@DQ)H~T>dt2=e|mm>|gQ|ePe zo2BQq>eo?dWom@$0^CFNa211)`|ay`p>+L>_St+=w1)~A3EwT3K(BG!;g%m)?h}1z zVUt)~c1G>pTSYpb=L_@Shm<#3g*Z;)uiqgp(JH!7cSkCb<~6>*`}B4+y^dzCz&d!Z z;F1F9uJmMT6sBD}O=g+V}{ z1t!foCzv!#BxDVRK7=Hf&MqpuVUCP+9{GLb_&v@d3%pILi9;)UeB*T*jnuKhz?`*% zrD;6MvVo8w%oB2Zp|0;)TkOMWA8KL4AdEF(jfO;>__T&inhEcTzv#8F2V^{)6lh>L=^h!D5tNO?d#K=SL@@Gmv$>CvtXt*=Ht z@l7r>0boZXmbZOYHK` z6)dB_e1dy&f!B&8@+jRm+wGMlz3dOhc+$_2-!8dzn7C@z5KRZ zeW;qS0=c$n9&_*ogGO8fR_GpfC_Qr0F?}isPq)p6Vpbs^3T!r(_yPNll?*d~vaxZLzc6JF2_Akjw5oqHjHJ?x0B2eb;NQII zzv&d<+odTtxJdt7aC+dxN~LG`_;X2;xEi}jgt$q4p&3eUrH(2)(%6h%NfL%i%+{me zO)=SD3^Ktwrt}>pvI6TOo>PSHdri#ElO$B{iczxyd+x#VkGEdO`v=Rxn%) zp#*0>E2p$r+a9gsO{sw+v?T4CavxK$Cc}-aAkqsWI<;wGw-|O1rsA z>+_)^!yB?ZKi(^uazy0qdq1*&K5%DXf8BMldA?0<+dg-pC1CSOhJ2yEf*VMbSA4Lk>TimQH%X%h? zi}&VaSN8+^z1!R4^V`j8zt*n04u7CtzQt~9aof6}z9RenqmGshfBy73W26zErL75@ zt~OF!!^*MMrEkVEiBm?=F|+C&jcQLi5B%m1W42Pj2!u}&5tyM%=KE-UFCS(8Gs_Ih z*A7lYD_4I-lf}%Q~lo_7h!J!7S+=>jH{%8uuCo>-5{M3 z5=)4nG)u!SAe~Bsf`AJwAf>c)w{%LUL5NF>h$0~!qUblPzxR2c_kF(q_rI>$J7&(z znRCxQ=e{S-Y!!y2V0z_Bz^jW%Wm;_M@G`^ zlsF~5(f4}iIT)eups)$YqfryWEY{Ychh1MkB{V;O(A{z4_s@2@n;%Mc9-N=7u9?%Z zO$e#vTSmYQUR>YadtF)wqw5|I+y44_@6)PvP4|P>*81OnSkKisAw0GE!VMT`v$$P+ zGX$5N9_ZM+r`uoj(JbwVJ2zO;y%9jpHbCSjTuV3M{h02>L-ZvPQexs449X zwq>z?j(pT^&wK1VT99x&#B$DJ?Jxgp{p_^hU{9m@G0S4y-fqGEX~EH+#yQR7!-@GR z|1rE9>mC6gh|aH3{QR&Ye!SeYa&oNP@^&4n%8&TUP(p%kaEBh6U@z#rPtt4!{!M zJ6APueUOe(y!oQ`@aAaOB#%?mGsRh!(4_r(z^_g6oWJ5a<9r35;z?Ld>haG|@EQFs&o~#2Y&Vp{M3_^9@UP z_b=#TlLr^o^CKGby;(fVpJK=yAgbeesRi{#&mFq%wt}Dg@kh`6T)R6^zt^rlvtIH7 z5u3t0G7kcVgzvZg(l6Qki$4EAp&k7SXCL*LUC!iKXs+2=31>iSu)ob8&I{6cCr)t}cC2uEp1GU4j@9vx7OssgD?XpUQ#c!c$DjH+WoW*b1lE%YK zAH{>vn8L)0I^^QxFq`lrD&8R<4|yeGZ1)JMCdKkjG;s~HX2dKf zdD>qjT%#mFW9X&6Nee+|4D0!Y=3Ep0^9$CPGQ+crT`+^PL%d+oV&GH&`Wl;k9~}zp z-dc$j1*Pu}qyZP;6sZlo4MtS@90WMYS8y3eql54H(nv-B^S@e3n+F`>x;Z z7O(1=k&Cq{Gm$lLnM-kyO2fQm$w8{L8{O)6v6lQun&G;cqMmg7sI#q=Pvm>2V!w_( zzFg8q!ZSZ%gVIb>X_+i3O|uVpYS-=QH`HlqYOx7SC7;}EeAR~xC9h~3O}+dFUH|3% zPQr5naccy`nQ3i4cY6DQ&Tg>N?B3Ea6(Tmr=X<=*=NlriR(ELvSO)v(?6&T(w|^$u zZ5R-}<4t(m>U3y+a&-&qX*-PvW%qhN>WFF(*YeR+^&gL}!`8CfY~)=jW}dUrQY4Gv zxVe!)@hXrn?_fGvj^L_sM!sIjlb=}Ik$b^6J0-6?%Pw9inWA=-)9EuSaU$NLjv7;Y z>im?st)B9glYaGkn+?pB;-7Ur66F$U-jjp|w;0E#`fnEneZg;=qVA9*5HJJ>jZ#UmD5JzdMAGc7kniUr}8wv@Ok) zAiJL&mFGU`$&f;LTNV3~kC&pdNJ3Z_bSI(>(47zn+{&e}wM1o6KgsRBkr27h4^hX)T{2df#d0Z{^p%cbQByxz*^m0nQZ$_YR$a5FpCqXvEH63W$zVuhYW@MC+G8^QZn~3q#xbI-yn_#O zVR+$4GGf30l>@Qh;oJ0dD;aT~;f{kFVO(j4EZQqc#z)Nl$z5=Gs=j1Jk&XA7wt)a)7;%;Y3_o0bW+O}!t9v|dRQ z+aVOiHuFJ)o_|lyt?#zgY1f}!t}V7K+wwq6RT~~lGvs71QEMMOCcHWSH!PXbaJn(} z=SiB?*d(ndhze-?eSS{lv^(+D`oZCiVO_-p^O+aE1VxwY#x2Y4T@my#DepFEBYfH_ zkeUY@TS(Pv9uo5doeLy@=lXw;7U313)7|m}oznGZW~@r26p`Umv5`^zK~vLFZGl@M z3-nKi86$o{A!iyd3lkn9j=xEK?c-ZJ%iaiTy)3$DGfeq`y10k>&9`0U^qBJbY;S|I zEW2qc$Frz3DXomG(XwAwdc~AO0}?Icmt&h}%%3FXg&Ax}h@>=r8qwZfN~yS#CDtBh zz>M{(W+tbzDJzXia%8I5EV7j^*zrs4EP z%4#dqdQ0g$zBnhtY3CGJps2iC! zVZ1SB@r&k@izgUuj}})MNzV^N)=A7?(->t$md|s;;*S*~9Vl^c75-Q9G{`IJ*l7lHzP{6kpo)BAYoUl49inl8ZWC40e}A(ymhr;LA`{ z2og+<>Wft25HsxZ5SysvH|inezo5i-&yqI#5#4O=0x4$&OE9%@(rwFbT3~Ztx)TTL>Ce?!-}xCwE?qg5lat2O%T{;4WM z71YMqS3Hz zS>!>p)(PY1cFP*v9>kV@3O;Y}?MM)@QOmhiP85D2 zF=sK`#nvh$gFaugk+y0~R+M3zE|ot6CD1-mLlBV`C1apK>pgcpA;O|nf4D**lBq+V zH?+yrWrH7&=NY4n?E1@*Y@*w*Z+Lp@+mEtk zual5QrZH7354++e@sz2()G}E1)H*LU2oaVJqq~S#j}K!8%45g*v2-nkJxk9-Iz&|_ zp^)#}J*{g#Brm45Y}MNjo$rw_IfuPi(gOb<;1N}5rl&(O?8II+##h#4V2mu1W!6vZ zRwgOoRC+}Hx^;M&uQ`=zmQOaML@Tw_qcI+gc~1<4bs6FsHIR1Ss}m;Vf;;F|;=Z^(b&yyM?UsD$7%` z8x^DYyUw!n@>RjNJ(vD(z|u5VP6cd-C1~TIUXR!PPv%!-)eX}M9+xA#s+`Jq$&G>a z#&>2m;u-@{RZEBp>Hi>UmRjB~wRkMcTw0L1oh5iYf_(n}3A9{E@_8Q5CFAXn*#7wR zA#@+&=?%LsOP0+XwUyhNpe6L%0-8na?xnwRLFzG{KDrVv#V!Yyt{)Aj4&VIrG*af5 zDTEqd;F9jUy*Ke6!apcom|NVtA)~_A&v&%KRz9rX^SpOm=K58pZ1RNLJ^~bmZ^KBgm(CKkeo+o*`jmsi z&I-c{6y9$H&PHgmcVgmw)P`E~S1l$2O{T|aj=Z@#EpC#UB<*TZRu{fXz0|9vj5;cL zbH$=6jQ%0907+{;gR$XsOu2>LtiWJ6O~HUOVdlKmLa~yPK%|7p@B@6a$rF_`E6runjUeR^;nE#~qt4tey6KbfGb>$OB)#(HX7demy5ZdBhOnhc!n0@B z5f<-!(}IW=t|~?EaVaT96Qm+^|1aRJQ>=8qMvFSOIp)U&$nI)n#{;$<>){`C`@cj+ zw0#+*eOb&NzLefkRJyq0L3q=T<#L#fbAV*kYyNo@T5Y;M`f^zHmBw*96*_gff;hcQ zsE(!tjfG7~u>DC2caeg0&cUKgMVpuL{ng5iLDP3}UxIN_{;&O3C#T|KIi-b?7FsmD zSJ_AYVbl`~DG#6x$WKz}w=pJ7A5*J_)2F_PldzuN6*W+f2xahLhOd{12B}0)4$6~3 zwOS0c7eh9P@!NN9FZz{yYfm=wH5k*DBC%wQtd3K8ub$^}a#s4d22_rH`H@Ye`SR-!=fc1cGcy00VQ0q|2ghDH6{;m@#%_GSUtXuwaY5X0s?pK*D5gbRo zARyWz3U*A0!ji_>3QI(FzJ;l$QARU~ZdDCdhet~pP)Mn}#TN-64>1U04)r%^dr4&B zGlUPTF+PXofC`Z)8__1eu?g7Bol;%-z@Ap1zkj{8Zb_Rgq}8)VD2tQsc&(S2MjM$@^!k2HT^OD|j*O}e?+ zYt~u&P1rS$bbhaQrmOaj1=dGs%UX`&_`k#B$n3t}*P-1$2&}B&789*6IjP*=INKGQ zH?mkC^5d*W3Sa(z$=-|my|#zFJ;%Lm-L;WIu0y2eKYA6tY~QrjKCr}+3U5`=9j}xA zIFAe(iv00*AjpgXuM+Y&;L)qtuU)6tkutAgeGC0C1&%-k(UkkEA8PAt7-PHZlBAA~ z3U}jP)z|GwN$m~B*VeVWZW%JRFAb8n)iHW(Z87>q$7xjI0_x3R-Q88}3$LwNnd7a6 zvuyKjpG`ma^Ve0&tw(arp_^wlnjsAx`x-oq;Fp>TazuO%`hA)eB{Q@!#YUF3KfP%O&u%CtUxUXzw)-=_{sJ zg<|qb2dBlQdK}N1T5S4te?>Mnc&?kbI5v1nSjaF4FfBRipqQ#D*KH8urq9@p6Og zPdefd@eL7qO5$PWJ_zJ2N0HjFU~bYS7Dd&z7D6lct@c1|h|CzD@e*`ZhRGnDx|0^d zrOBqiPCk^vIzWs;XKIxxk#&P@k6No$P%3r`xHUiXWwAP$3lV`40~c7QUsV3a%VQo5 zS!Nf6Y?!WZ>sb(}xD8rSks2tKzDkjNnr1*%KnxQHb2NIWt^^FnJwpn#UVj25*tAHL zAfdO$!Tsq&qGY*Ye`$zb3A_4stQL)^v-Na2Ixz>O^%!%+lzbh06*1#8z6tsw;*Dsd z>L9&d2ayJ*OL(cALp`vSq_h7=M8Br+o;sW8^x}z`H<9M%4L$|7?a|>;zk?qAmaIhrO#d8+T5H1HYh#T?4pt;#DdRg&{-&W}9|Z zK{SbDFc{SMn}_H=*wE_vAa?JDcwO@llBZF2R4QHVBJS2&rTv;sy!n(ImTL5=u&;_F zWy9E~dRkoEpKMrSoShx&+8@ChWsm(J99R3vDUj1ov8&1$JA-z4qz5^;f$tflKx*hV z7xJTr>}R;_+=~(YS?q)Bnq$gDE8Wfk2dvj-J?t#0+eH5Q^KO)4c~9>pI9RR}?H|! z%(QSc%wCQ5wcYe9GLj-}3YqJ>&KA7Xz~ZY?I@Qio(DV)c+>wZpO~q{y>I^cQ`$bd< zW4`(2DMfZy>{5|7S9orc&X?5Ekj}T&YEU0%_AVJ=iAaMsI?EjMsYl$& z@)f*8Y<^=qGza30JTmcyth}%JWk$~K>bw;_lxCCt2*If6FcX!Y-nioE;D*gGW#k9! z!}P{Hq(k{Dx3qLvy2KKRW@oVLA`8Ao${xu}R+)T^$z{S;${vwz;d~U;%_M(D42;3& zNV*r2M=|f~td7Mqc_2=fSet&I7}-lC7y&U@<_Uh8Pb@k){1Hl%PGE&BOK0ii=L8`qC&1XdCmp=39=nK^2A&6hFkKvTk@3K zOs;+uBc-aywZXi8SX-j#Jr2~mx}W+lTcAvBk3nGug{e!@IB$-|3dpEW`9 zz82HHDEs!=kKF8v8hDki&>M-t?Vc383wKCPihFHu#B(TdX7JK9 zO~%vKxTuY$F+hlZN*h9$u(-Wc+)BQ}3L_1kA&n?fmHb{NptM`_b0PH;#w9`)x!y1+ zlEK)QHwSwKW!IEBrgaW2|EwZv&#tZrp%OuUq7%*LQ2zx> zRs}DJ{=RT#6FBbO=r{B6iqqeN|uZYQw+ z#Jyny8)6O}X};=_g#c5T#XMjpAR6dyDDY&@r(jD-TfX_pul#)_%pqMP5t4U^nM)gq zrA1*KP-$I1x&l~L?qoe1P$WfS$x&D{ zM(1@LH-lSFe?}y7st3*vU>jrWV&8X|Si>~nsAs};9Qk!F9TQ?~D>2wmIS9plcd{cF z%pq;Xo$pX9E+zP@qw5#hovYthLiFxZ8da29K~yhd@^S}t*#?JOjc3zkIN`=RWLIo4 zOnj6scJi)j&N>*o*iRX-5!$bkph|vdoqHe<*F>=8ldm+^9@=yHK8E%U(yOvz@@fZl z`39$b^hO5X!nkJS(Ruoq%d)%-j8xuFR2cwx1Ss7*LS4R*#6i}3?*!o3ZP` zQESXyp6MEPmjF7cShQZnRe@9882##T|1b$1dF|jZ3G!wh zx`+s6N3_iH2z^gFlsv!x$Kwv`_S2m7pD(czs+bh|c?Wc&80!5cQGJIkb5`|HOg3r1 zdkBMpy-^kFXwa7sx#1CMC!f;)ln_bO!_TaOOJMBKr;h%+uaIasHTz|F*$~VLkrIzdj8fwp#gR>z=;OK)bW#Rr znV=ZnHF_%V+7dKn{vS5&Jq*Y82lHo6mRO>P4g5(={PRuxuOs{?9X-rM^Lw7~KnF?~ zOxg8LMb(pHRrH|*JSaP5y_fYn90KT%&qV9Xd5h_R0kcC@(ApZ+m~*gKRJ*=Qtr|T# z>@_;+ZT#L#^RxHs ze0quZ@v560K{X3awHQsc8cj8Vrp9H>82&vLW~!Vrg-JBb$J_;nAcA6yNvXUCjcN6ZGPXp*X-4o(fMgQx`FBgTRqs#`>Z5#;_^v;8tY`;_-P z3Lc_!0!iX>R1QjN-|{v1k!)vo*m3xN7*w}}!>+t*T4tATAihI8mN=*xTF2ys`INAx z>Bvln>uq;jXw&ohRqbg!$+sA_xJm8qzn?>T6g9;se@?CNky_!) zrz)8AlAhPpvN{Q*CYYh1B*sKBE_!DbR!~m%u~7|GsJl0pFFKjy?=}H6Be~$^_lu7{r5@&ciGrdD;0y94b|6(@JomdsIT9 zR6>g9llzDF1!dK`h@YVQ{pvn7pgtA8O8!;wUP%Z}4nD8ofzHSsRq~^jFhi0p|DYk<@_& z;v5FstCiemK4LU1ehp8=uYJ-cl}#4@dQtiAeqYwZT=DOD>Pl~!Nadz_UvtKLK}r;` zW*5JTFF|?K&oIsX(b0E3-D|9<>CE}#60OnKQU`VK3@l&LhycsRHWAs?r`Q-`Im^8X z8mMpE$5jFL`d+>bm6GARckCS*T#+*miOm^(AaLwl+Qfx?3Bk`A=$5jES*yZ~#6g8#tQS7YTrN29{s(k#An7Dt~VA?FWA72;3 zB_XN-=llhE2TN9l^PcshZjX~g^Q3~i)>piLYFvMCo&-)WQsb!z%Dyr<^+$M z-Tq<6T(ld~?+YLA10z!VZNLhcR`QunaB8UbaNfN)eUX2todHhXY7gtH8>C83@aGw@nxYf4g`mg>j7xWs{eMN3d%QyR7e6xc(% z8q&tNGbQ=l9{vxx=>PoN;E#LKOC{}lik2??rpma?#t>X)8l<`sCI{+s2~7Q@(LgwE z4Rt((nO=7w>>r*CR{T0>^gYhygwf3#3$* z*$Ik*vvvk48?U15D$`7$9H@c*I2gS^vHP%0C!_)c8?NI# z`hw%=5XZsN5F|^6sPi)aVF!sqtU~jk<{RXjD$rJ&rdzF&VEp(eGJ;9o5DgOl6ElVQ zzo~i^3`>L{sbWO$vs@NvVxs4;9hfmjak@g)1$rHknYiK@bzIAE#T7(fYXrr(O4Jqk zu3l^OgR2Ah`69+~_`|hEMvt;Z|6ALG=FZYf`ZyzAsc2WIhVQ4!DgdS>Zhunw#OlrE zP01#=o3Cyt2}!)#@{peE3fzvUT2);E4>}uGk*YVY1YF2oXG1aYoo1Ooi#-{bL*ZZ2 zxPk|v*)byEpcRcS`%yYHbe&stW3MjhCMGbr(w?$_nWZ9q*dI$>f(INFPyG0z5MY_( zU)JS=&mMcAGRLP-Tr_Pa&YH+v8v1qXZ!!TD68xJ)EazKT)9e2coCD|%5U5gnTu>pO ztOpWsnguP;;7a!6^z{KY`%9hN8WByZS9R4oTwYCpjD{c?HhH5E3Sr&jKc zO;wp4muMJJPf98TD!+z$!X##0KtcsZ6%whAmMehvf_i8W?**2K23LzV{2HwBbN?Cm z<=>IZ1;*~4u06)B%f^{ z1*;1I=JnT8rueuwE7-rN)}AoQD67vFV@LDF6UJdW5W6v`X}p);CR(5FuNOikTn>a@ z`>G#A1I-Y}C9o0MGXf^X4fnceveh0|o{jnwnTd67j;`_rdRzA{9@e-+9jF+Yb0_bM z!TR?<$CC=6AM=N}2z4gNZ0R{@EzBstsuAaGrR)bGGmOgRs zAn-r1tTScznvN9pVlltvswts9L&-=Pm)O`J1xMLkt7F62->LydO6jF1j=x6Hm4C^W zy{P^;X-@YJHm}ty(YS`gK5+!@OA{$0=*ru@xc6s!t!P7UL9&)!6A{mIeT$%bN&KS@ zWcK1w_4KN z)uG|CreNmPc9q?^i#Pwq_3@N(u9)=%ay>4eX!bTyv1h#bj2wSRHlobf*mVKAiC4Dt ziLEW@E^lba8vEI(+F|OSXrL*Py!lk1sz*vFJAyi9PsDh|e4u1$zc zaF~hBi-CPIkS+6?u_KtH!@44$m*GmI`7f-u#0e((@>C3EP++ePnNnQ{F_bVDD<6S= zuIb`aw-is@cek<$d`>+zXCc`57Z(ygENxZ~e~31}bF?)~j(a)eTrIoE?yg`Ov=-QO z-X+4}dOmuz^=@-^q$dUuFJAmjbx0~I-i_?)JnVXt=vJey}C@0}kd^$_^Ofc{n^6=mf{);R}rlKyx@^w1gD1lT1oC_+M%2A!11@PM?a z?Quh^Q5Xkmmw#cj!c(B8x2l7rd7nRv06}R~*-Ou%Hvs-7<}aBXZ6t%T6RRU>jsb)U zxl}q<8Mwba+*ESJpcqFR5nzVHdVrD>5`ts~7|x}3a0f26qz{VoacMduhb;Wn0&IlM z`7?NErYCBzaQzEU?ESb&b$X43xOJ;Tm-nmSwT$nhk_V|Sp9TITm>Z0cAnBfpf_@Qc z5Bi0#Ej>`Sj81v$Uzz?@+Cqlb3?;a~-8DUNY0x2YBms^z zmQfR=-3-N5BzBaqAl7K|ujBKggF-9(Q^LFmXP6~AMy4^4x zNB3U&y*@FTS!KNB+PLpfkt%I&ij(JyR3K0Pn{#Px36)${Nm4*E!Z#T?T%w;90)d`# zeNDAal6qhdpZWN#&?NL`;M%{VIh;&!mkXeAm(vKb-)~1xZ?g|p$HLl$kXvo&x=nU{ zvL%tW{iumlX-sv8?Y*@t1p*#0%Yi68RH1F1?KBv7y22#Y8E6^R;}WBA(ZCt2#fpIE z?2Ic5d$-<<;{sLC|x>n360Pb+9*C z4b<|s{ExG4b4jS(rIb_OAvf}NAGN2(p~&-V>%GR|)W%Zr-_gCJgQ5a#vmx7X-FLS_ z2-I-!>K`ci2kPJ;%3jUZz6W6q9#X{El-igU(?|;{iy8pY%GMQdhS;5O5}lz-9l0t~ zb;Jo0A)5`L({i-UAc5=xdZih`Mtzc^H9vpf*8D)xT2XPi zE9A%7_M3K_*qbFc_!DlJz0`iB^81-tapKcUV z{^Z+;F6PUUEImn0Ts2?m?%aA>I0^Xsl`)}hH#ZN}( z_WJ6{Kn<}%quk*n^J)`tU0XRzvDV>{)@P;kF+B^U(_{lS-`1R>kRm4^B~)#%3G0Yc z&&2dLQvS-dqPtPOW>)?Vyp?PAf5?04 z>jMzikZBcs8Y#3EI=7clj|kM4wVXAagb{uP)EBSjp$N6q?saihK#GY2l0jT`0@cww zu8R=Z7{k@>KJEsk*T4;EQtg!^8}q9LOP^e$-N&bSdSovj)mz_%9@0h3JllnljGbL# z1~s+rLh%+Rl-J{GYJjUL|0u4e3ZS6bQf^#L8|`p4y@{*o{Xe7{Ah}$ID=Mw4>O&g? z&$V>(W{hq(7|TwWt-;5vjH#U3Y!izaY5xaOl%S=$)`!fuN8H1Ji??b?Z>kL;*5EFw zR8{}V{12l|Vy~<)Ayz2}qq-K1Of%OFsd=l0HPg+Dt!>Cvp`+Lmd{1Irqe{YW_d zlcv7PyUHfjg`mPh0I_D)!~dQH6!4`~lfQC{N%Cf-(H+Zs{}vhu*3820yWCVOJb68& z+wZXxNH*ZOc{PDqSYv+OH=O@&@>TF5-yiIhdi75zsG>`vkC~Vm8^&F#_D2XRIC&7@ zNIk_r+A)Na|5t?WJFngbk{d1N_g~aj_m*cyhSY^rSnwehd&5cGuq*sYByN@7MK-Ad zpt^jBHLV_g6Hr|m-o6=<3MKj(&;xeEI;Guubj&@cXcz1#jxbSq>v=hEM5q-~5)|jZ z4@4;NKktvRsj$hkX}6*4A@8XU&khG3$&W_x#&_$M0)EN%dtfXZ&gX!`(PRyV3U7~H zfRUIB1vXQ8z6KbGe_gEZ4Y$XnwIpnFldFgW1M}!DCb8oqs|1R(Muzwm$iGcXlm~`# zz|goD<1DcBUPda;0_$`*G#4Bwf874BLMFc;efCqpNkK zmAwSUE0-!f!_xL49Pb9~9>dCnie-T(xpm2_o&ZRpU5UvZw=F;j^2HoX6V#ISL+L=W zJZ6CJFIJOH08YoJI2C5mB3z(-9p z(8|VjAo0sVqu+8;7}{`aUKFDD`sEjwo#3wH*Z)E|H?!_M9A?VaWCa1^<|a}gXRLRk zX%<#hdr@P?EVA*mcVZHuJp>*tP&)*+)}d~QWEMFMxkq#0hwf6?5Z!hYLLDWaPC zt`w_^NemSu@HW40RmoIegsc^NA#mZrZlMw>|^j1Jg6D>C^P!4nBF0n)8H9{4}h4#&8tdp#jLh3 zgg@OgBZ4$wOs+q)h|YrRuIjBRMKB~V5V24D8_GcP1`E0pb+aTh%r-LLKg<;hnP-4Z z7kMD?!rKn3oRxH+b=|x|ydl<9!lq(5I4A)x9m6I?5#OiJoPgw=3`$AhcAk9o(q#da zA2^gLq5!@zy9U$5V{qhEB8O?8wD3jc%cvJT>?tBm0qiQ5QIGzRzBtl=2V|P%c?|_8 zT=D$qXUhj(x83vPZJ+v(+wogo_+lVg?O5hF3|D3lQz!xTFnQo-g>*%l^Nwl*KeJdUi2eFmEHI3Ks)sW%W?a4?hMvIc#MWc_AFk zEaF4PX*w=l#P=bnxpAS#qNjpFspauo1a%P+9IJ{{V1%B9RyuH~EGIN>P3v0|Tmq7m zWMwVAWqlDx9pCPuD zBLYu~oLPe@<_6!Fh2^>hztIT{c5PLTm>h^=g!5XuHY-OoMZW?&_@wII5dvYka*$t$ zbCbe}GZTw?1SC-4=~|0hGSxfnE!d6JSRqa5rK8yH>T?;HZz7KqX1H;hq_b+Yn!l%i zGHV~4e3){`|CQ~l+N9;A4mhxj{pNT>X82s zE4GJ~xO_T|NcUkPd{QR1FJs4QU~hMa2j58j_z> zotn=q-Pofp1oPp*-fdbNzLf6mqFV2(vnt-l_V~+n%dY zzOs)vdT%K4%=qY;4S<%+i2DIH@AiI?+c+6L5ZAPIGTO-_xrGX1F+woR2bJ|sVrT9| z>UR`43&ow6Rs`x7wn{XWsAYgFkbv|pRIiNM(`UAEa(xp|{nYsAC$5AyPjDpDO+YS} z7qm8%dt98fxXF-e7MV(0a8|MVXvf$LGxwrbD^~A~F~VtYdi6j?p3Oi~F@cI-+z2q= z#r`moIEHWNuJv`UL{x}5&fOL_0e;;~H_qLbl*GB)*|%5pdcOl__dyrD_Jm{adMld@ z)B?&xEJW;!&lpalteh)IU13BbKsrE@);p?FS6*COuUk3IS1EXJini^mqEvjxu;Edi zm$6TAc|x3cuDDT%Yu2^wvJI5<>`?H^Q+T|0Z11R{UauY^oqtq?M8H6;?53@Kd92hs z8502m`*N?DfZ|cZRk9=P)Ux1CWnGXYky_*PWVjAi1YA);PjD+(tOKaQx4CdVYTN^m zY;*pQN#rxI@9{$3EIzZc-`>k4O6PY;Sk@l~uB~4(ae3u-yMd;H-V>ZR9J2sCV2u?E zTl;O^L4nH35Jwl5xw%!lM(tFBA&+P~pemsSoCaXn!2!JK-6yn%jr5eWV>4MbgM0ZI z6*cLvg$OE{^8BIdwrj*{Z}wtxR%sN51Onsl?mJdG;Q8j~PtQC8e_bcZh)$-LH1X+> zInncx9EvsIl6+?3;JtK?iI%%tGC7Mk7Xr3A`HX2p23KgEjw0xc{x1xG)VfL=# zW5%tDKuZfBr*}k+&0n)ZUQPzK9UI@Cs=r&lALrGfUyNg<>~Rc}Az(bIz#!F8HEyuJS&_GUz-uaF zY-wXn`jx#$NUabhkBf^?(B`B2&{ci8K+D4Q`-%DQ)ncsZ`2L*{4UhoJ?OqAg+RyG) zkx{3Bi%``@wy6*3Ij_TmW;J}ipIe$fKY^z0 zS4k^8eGvVARPveC>O1dOAi5Jgvr$bNt|&<#Q0mZ*@I`Q&w$@%C8(E%?jj+&nc<1Fr zXGRo&;=tlio@3PX5B$hNXhGC(k}gKASl}c6^=;w2H?y8tFc0H1(S~??gg*sB0U_ zP1Zo;9<_DgYMy>~t0DvE2%kL^cI6igdHGCA@|og?*vyPuEW2wuuZk#6FO__rBnpl; z@cD{oRc3-Xd-#5X=BeU`cY%>WwpKN`0=IAlW_04zq!D+=&Et%n-RtU5jdOZ%j25vk zR9gW>91mhFuv-H z1*v+raAP!GjhN3H2lc032{>>E0NDt8a@%xO#AnFU6+c4~7L@U6?TcQGd>TcWs@*;3 zND5nl95q(jatSz5{&Hb3)0N(u`gE5h`qSDpUVjeu z?1McCy-(`!>n9(j*qvRns#P)fgl=z&s$Zuo`3>X9_E7nf`Sv^ay{^mIu~YG2cF`Y$ zrqaTBQWywN0hrU?h1Eri_R`U(0f&%sz`VF0EX#Rx%xPghEk-W-+BREa-ROL&hC2St z2z`8ov)N7aLR(Fw?c2TX?X{W0-$kjsdE05&G@#zQ#2;@3jRPk&yx*HSiWu6a8RH+B z19RfC8s_2dN~mHixd7p)>QBGauPIPc`;D(Mh-5SHVq!X&&EHg0bpRz-(~+cuIi@x} zrt#uWFZg2U2%r;)wE-o#gz`=3QQOOySga||BqlAu|Y@sz*gBN_pC!vXhA-?fox5Nu?c;TK5aSY5!K0cLQogqOL zY+)Uw$lFtO{0Twzvkek^#nj*?#h{O293KaKfRLCuKDzk<9IHADw_;O;PY#Mw_G_AX z2}X)088i9VVhU-79AF$yHNDOzwvt|zk3n{G9VQ+maE6SjhSEUO)V`}m9`1twJq86c zTV>mU0mek1KoStJR3K-w*=ghNND3kZRxM;N3qmMwEyfdZ@eSSRW!skrYhEe~fouhl zoA(AE?YLd^rfj8@MO&b^;8N@Y;Kb&J*=7Fdsjx7Nl(g8~!my(cN5QK&z^IVZZ1AYx zrX^&J`em?ykAC|ghVdfw?2eZ>tIBUacVhVZjTvLG7#?}2*z(jdBa))l^fWCqlA_P_ zw52pM;RWLKZER%1Ama2wT%?N@Da&BpZsJu^maV$oJr+_H%6k98Z0n?bwFR=5E2=|E z0QBKNHx9Hbsq?tm-J4V|eKnOA8mF77QvezYE@`x0*6KXC$bI>xFrp|9}#p|G|f zhXpPUtAop%C-1kw7*5qg(-Za~+(tv`Vnh`4-Xq8$uQlZj5nHg&>56^D@Q5 zjO)gn(J<4V<{5F0vD2r44tDg*T5m9dFD{Rr2I-)O#exMxR{AzcS-h-IS%3BptezV2ITM4984RH8w^nN-8sQ723Dp($q!W0IH=GF3?(g?(Vt>)gVbov zx<5lJG(7e%+YDG4*Z}750CPGPHp2LoPOQni(57E=NwW{6V%-xlV0fvUSK!Ycu6fUb zq#@^!mGYyxD-ZYd2ukgfOMk@a7HuLMC6)7CKfzwNlJUm;;X4n1{+~ojj$8+z?%`R7d`I5h`;_iw$5CzbFz7> z`#7lkXgzfOedtzq-tSoPvqqoS>FLnD5bNr4l_V(Q5u5WDVIO<-aoJU=FPQOwP}33l z?n=8HYh$rD!gRQw$p8AiEXuw+o;vaNND03>!r&u5GYUn;(tMvAHL8F%0GPB z04SC+?U=oEF;igaH0#S{G>mO!do)aTQ%*Fh* z1YNsuWOR`F;UMEMpK#@X-SjflSw{akBrl31kp$}OZ*zsBa^*}kN=vsd=Mfn`myva# z)n(_FuEP$>Y0r?a0bIO$+@?Y5&@p&KYSr>_IljQAlblj*{n5Nts*U|-b0>e#=uFLq zVR)+BekMc2XPQ}k^xF>h6B1~KiJdo_%E9&e{scjFOQvwzfk;dQn&k&@#WVnj(Yn4w}9k=M-Sx}{C>mN=9KCM5b+*fWH>^|v6<`DFv$ayHHf~Wb=g7hu=`Xp6@#&S7MOd*MGORMHp74j)CTK|U&Dm?5oV1wP zs%{HT*g*2+3r1Lb^=CXRjlzp6m)pwmZ<%)AH@e((a8^~JRhvC^sqpQkel4_ugs>7> z9>Kw-en`wSI@T!Nj~y38BPc0`1lWU-GV);Fpl>Z&QyzMMD~^zO1DkmTGF>Ol#9E5- zxUQr-wB-LVH@@IItIAakJn+ridmZ9iw_{IL{v-6p`l}+Wt@;^z&-P>bAZD-{8M3^{ zy+34?jH|N>{xys-Q=~Cd(dXqi5$_KpXZ~No7%Wl$7$M8+oxS5gO!GQaOtsYWIGJ80 z^2PJYC(p+#!-=UElQeSIqy8f#QeVW6Vg5>^^b*0Yher&%RTzBXBI@QeBlpIYst6mw zsFw(`y#7{ci3rMsrCL=c!u0S0^lmi3>;>q4D!m~<<>#Yyho}ifRawKY7mH}-|L=fK zg>dDVeoW_jeHGcke35wO`E~gG!EOA_J6DnUxW9U8edF)7h zEnW_;>gWY{mDtrk*L0|Eg&Lm*L_Rmc0gVG+aXj`?+l*$Oe+xqnNY+x@oaQ_8&!Blx z0ZZ=0lH&)ys=QwRhq1Q+i(^~XhH)nNpo0c?2^O3%xCV#8b#NyH3j_~Na0$WPEw~4F zmjoxchX4tI?61i==bpRI&AtEsJTFymt?8bomvwhlt)8qVax%ILGD!>^o1pUX$G7b$PbE{i<|I}TTFW&!TrrRup-KH(?6 z>RR1;e)BCy*XX;C&r~_*ov7HpHi>u^)wi6H+O97zO&Nt!^Dz{rOuE=(?QHMD;(QVvX0Q(u^MEJ!Z-sN6Nm-9o#Q!Rg*S| zC83pTO-)@k#e;{Zy$=C}v+`k~NE6+n1|2ex)Gi}{wYXZs34TL!l|lgQyUCK4L&Kc^ zS{VOP61TYQBa{(Hb`uH`e9ST^UHM7kBdg~Z;owJLJ|C&6eQapH07}dn9$+x9L4awo z&m#Kp@Z%_IUAGqnob%_yBgv^CE$BD|q<8hGQ`c2uWH5u;%xg#am zPC#FTcFw86Q@X^+>EfbPl^SND?=raeKF~DAyT=h^KZfZf1+y@AA@3!--0H^r#LI<) zl^Zj?)s1f!o&#v=+5ZOdnnx(v&!f)1H!|V966WaKuFsQDTW|Q@h@aQ#ec=eY8t3GY zDsg^kODgGCuQZBx_|8cG*S#J+v#Xme9KcIkb>MmBiSNJA)`5Un96@1~ZTvm}^qZ_L z{(}gF%u3_b50Xm+Y={Q+9$(DRQ!~3_)HD6Y=P0G{je6$H_`kz!0(Xw{4KG&iOQB3N z(1+1YEU6MB9BYW=iJKsuy5L9U0FYv4FKKBfiwz@%PWGBWsQewC{g{~tPysmQY{q6D?(YRf6}h)9;QMkI7qB0uKc$)xWsN-LhwaVKhv zU{jeI_O#aZyQQ%4Q2QzNYqaqZ+o_6PwDCx%DF+KgKE%G>4dPi3tm-WsC6Yjm<4FxX%~>%4_mKZy3UQ$M|PvsqwA+(B*=M2oHaX zl1b~AIIr5`+4flXbR;a>5g0g{mpg8~!0yeH?ZX8V^P9g3msVGwn)aaXosk<11SvgU zMWbc+dqEan^KBYV{iz3|U?7Z52p=ldG$c$`#LcH{!CW04L?`JjBT5M#VWYhaS2G50 z%Y}TctK;cC?QuYAL$_EGgV2?R4n&yUm}33Y$l|q*Y&Z&b|@8fz^Z9L)8Io=QCt4Aiyb~4N;O2tF@A9A2qd|h<(j{plunD13#{Bog_Zh5$o8r z9gA^TPs6_%KjT@Vo(U@caDz%9j%uOhy{VbTa~`3-6RMg!eCv#-o#ls7JB$F<&LZKD z_zfK$S<9g59s)Xo=;v9Gbki5#`s6XEmv58>$&1Fk&9#U(9nu=R@$&0H)*lG!S{>Jqc!{4E&M;b3L z67jzRY44F`ZqYwA3##poNu={4!zZwkgm~31mIoIgdz)eO2{~%T%=rHZ8HQGovDf4TqoV)bEe;EUc;li=h zVA>?Fkjz(Kg=n&+uBmB;K>Jje(Jv7%Gd&S6E4&ad+r1Gl$9xcTO4B$I5LH6s5djhL zAOatRH)8rCCV}hrA){LWl6b!L577qnbN1}XYXrW7%Ppz^MnC^mFK1SU%EGB0}_G;DAG37*;e{85PzkD{1`r-(E`I)k6hq3I6x(xobq&-DjdY2F?v@@=dLSC{aFRJ_YHZj0Q3E zSe4r>If!6qc+62JJYsqee=atAqw}t>@Cn6YUG-F>9kxM$ks|Glr?ZbAK+f^~Z01kdj;t*| zfdM%AlL$z{qHl3<3#77@z9GEWcTWIz^K+U-W_EOlMMUz5Zg@?tHn=2-fO5#(NT;0b zOe1?Aw3PS6k2ef*g{e+wJ|~hLwf4jC+r(a;=dKDgT>urnMwsNSsRkI!0;pFUn98EN zsuJCO7My4(bB`~9+45H!9TMWzV}*M;9@p&FYXs$$;%?vtzf8l;-+T}#!|ij?rvjUw z_Pl_$nn5JKUbXWkRGqH)UVmMf?;xQPuW5$sZ`v=W5{Xxw-(x(hIIk!2H{9IIh*P^v zzTN#_kdl1+M-c0o{1uS5TbJ2J)N!oytk~zb}{%5aBe<=EWFn-DA zvs}3kZ^}zuEi*p0$ldE(Ev$5z5wthtqvBh$e>L@8c{_hVqkP!Mu;>1$Dr5BLcU=p> zoxf?4KX0i2=Jk$_yDg=6zwp;ck1s?=Lu?D1n?#|79$q;zX10{4k9A=BZ+s((QWiGF zEkX-*R(&g4=E{D?^QY>2#MSL;l%E<9i&okU2h(FHad;zWn3Xc%%CNG3_ySc3Eld#= zEv=bLKxYNE{`$6XS3`-aea_Hs_+wf|b%U4~-Pe+$FW!ZnKC(%=vTN-;Uw4z^1*)Ul zz2RTRe|fJvg{ph4D{uPohTe=5t_f)V%@EzD0CRM`vIVZu>me27A%&jXG=NB?4=J4F z&zsNjW>BAQ|5RdWETYX*UCm0WGGs|Ds~@hy^Dr3H!yvXaPe<|SIQqg! z&F$1KZ9nwcrF~WSEmlfi*iqoe#=uXDk(V>Fr$Fuz4Quzba}dG7ZHt zMtg?mt6C^BS2FohgA?DC?z%l$tHc^;OYZS%;(?sqkzeM0CZ}IjDr;NgRftPqRWfvh zE-Vr1r0n0u!}Rj(<;>v`Rpb%L46Rm#6RjylB%vN0^;PhN4`Zzl9)Wk#`-qL$-e(W>8a;I~}+Ew77! z-sbW6MPnVcpn)D})-pWhW*IMB zie?AovV|M#Q5?Kq54=%PjZgWOJdkmgBw8`f-* z!UpPd%aM5#I)omLc_sBkGVd#y4;^IG|NW6@wv1UR^Q5CXjCJJFkT50kYbHtP&hgNt z31N!<6<#MWDySwF4ejQoer70D`lOk->lvtO>3Hqfv5LcMb);8^p;SqwGw}K2 zp;!3_z6u*#*z`2@2P|B>#^^6p^jL&iF>K`ItR74acMP`-_5D$X|L9`9ST+`=|{DtZy2cNhyD-@ z`}NOLPq5?%*r@T$B-}Rc$&t_2AzptCbpI4UTkA4|!$S~<4?@ZMo=^*QWCcUe=wsrE zS3acyA~HRm_*xRPy}E3fy^T7N;jJV+fr7MrY^`oEWO!O^YD_-%blFPQ?M0jrZ^Cx^ zTL5&iXCEbbdB>A=lv0qPAVFC9m7S2`&$ZD14Rulr;` zcxQ5ov$^T8ee}tBXH3ClUv!^i-;I<8nh5cqvlXNH@62^qXOewS)W4a!X9vkeL_^u2BoLG-mA+YVZ~gzBFRY4NXC*L$MB z9QhMk(VN^%KB4=8BiR}JmaEIeZR18M68oz5hze{QxXvYX{*wXSzpLQkuBE2~?;d_i zfBYuBm81lpV&<@Ei&Y43nV=`I#=or!p8~YXfr&yyuuV;?r5p`BSaNft(MtCBfxV|5=XW)5~N@xB4UNkn$>a*xfsC}@+6j#H#U>Y_N*!_{7T zIBPR7x8247PU4qW1{TRV$A#mZqT_G>lg63QntW&Y8u};oyX(I$MW{&&1k)W1P((u% z1gy;ZgM0q~WZ-I>;Pf3kJU#~VaUu6i(78Gp9S7*&v?i_&^qAo+J7Wz+ z2@BQqf5DUUs;DcelF@7@o#9g^t8cgmjcFO2J+by zh1Z+iZV|r9iU5qRi2CNwAn;*X$aXm7aO8hM+4(y`OkmSLsaaqOXuzAW0$R>RfPju8 zC!|f6ramfpr2E!~9mmKwb@T zF+Y%&J`MY*10z*w<|WjL!(xi)J&fP$UhTFf-Pz^ z%C5g)t}QDp-czigIv8%jFPHPNtLjs%iBD5{d7Nbp)wX|O(wI}m-|A_oHcz(7%UEI; zb81hZMIPf2lXb^ci(g#)O9|LD;xBwQk*kK+5y{hDGhKZnHljNw=0-}keDP_DJnBf@(RG&yerr{Q~Ir$&fG0rCW~^)Ogv0VALLniP8qcInJyvh>5vOP zTLl9vxusVr(E@%lw%gRdG2^MORB=k_TcS{PdWomyk#i~oBz?W9&P35(*tF+{CVPB8 ze6GgO1bYH3p`c62#b7%E4ZiJWD@&kE?{bzfzn5Q*|1pY2uY-0_P4*T>9&^=%3!oxbuF{m|Ch zj`@q+U47I(vGwKDqLqhZkNw%&uT!rUcBzF$SyE|K_!+4`ZRiJO_?dT=@1*-G{iH{t z=%UJ3LxJJ{!*EWRGx4Dc%PAYY8pDBVv(V~En=u^8L)Br!!W0b{YjDKXjBG%S za*UW@FaZ6d3rQYmh1ZC0`wruO-9C;;Hof0@hR@b>TmG6}C+LdgFZl{ax~vMilBZ_; zq!*$z(0R)~-neidX-Ty!zBpGd@p4X-gpMj|*I_ z0b5p*?jh8ZK~VNon;f&ESjV+YteN$Uh8MIQ$nSI3jsOckM;?)u@LG6B*mbMtr*pERmzsBddG2 z)e4iUcfber{w-D7)-nyY{Yf*CEQLgxiZe-~)spT%3?~<-48<2OCHPVqkAE;^C=ono zQ+Zm+x18*ZLkb+ksg7-(f~Ql%WYto)Q^*pHE&GV;;{vdU$(za_pWF*G?_-uSMs>=& zxGn6aVU7CtG3gau+=*8LR&~Dylo!_1hHuGvy?4lYJ12mo&&B41Dpv-hZMMl|%34== z|3ET7sqTWMp2O(gu%&qI#FxE0_lKF= zs`xl%eOnPdXz|v6&wrpl(&9biep#@$JG{4>$57f|c+(kF2FQ+}!(zblY!9*v9O;LD ziD9ikWhF@!H;ad1YH@PSscGCB4bi5(@b^HF3b-c`pkG3DwXo5}d2 zt08YQ!yXznGc3)la!&WTRCM*fz0Qw#SzN4KS8ZNgObMoDB(j`4pz}2Jg9Q9dhR1Jw_`K3p#aR24T|ch(E%EW5A1oom*$8A>fbM0MO*1hR+iICoNX z80COSRFVz_-XmNGtNXz5if1+HSLjdc#}lOa{r0B~bxdBPKK;3$ zUsKT;_Y7n7@Tj`m>Yiw+od=ks9vG}Vmd{QVaCMM|N+;eS{_Y-r{cghZ(xB{)&<$Gp}Ow%ePEluRrHH7>C-J0ykk0 z_B`*pWt-W2yT}QGp{$9-@vI4gSwJsk9r8p=iqiR1ZK(I~qT*tl5>XJcV_2ak2H6nD ztA>EadyN7@rr1|%HuqF@ObRLRXTxHezOv1L<&RqJcSm*g)sLFVzAAV{t z&TDJna*}6l9n~s!=WtvY4%ky5-0&Vj^~%aY%qn&d*c*Pl;avvu%7P(f73T(6$0@{F zpDC&)SC#G67ApqN=Pzp(Lf6HpOIW2=lczMb!&*P*7Pn%JL>?9uWU;c9tv|K3t&c*l zsiV!lRjjBOV(P?aWBY2`+&2>WDuJuEj&}VmL&=P((YuDZ`fz$3w@d*U zSt`B|5k7An2ln-wH*R(R}u`=+S1+&|e^pQ@TzGUPTUo)Ua`!*QM-Z0xzY^bl2PehS1> zz!T$ZV?RzNk-P4_H=3T|-d*nnie(~qY5H$?j^N%s_Xh5H>rS5tn$HEk83yO$h(^Z( z{-v=8ahC(QYd0S;$ig3)Fmtmic}YdY%Y-~@AmQyw_S)Z;pv6XzkR_}MsdU!8b`*4JrJZ~W=76$ z-_)Vg*vcH5qc~7EF9Sx==1HmKB#sMWB^}k-Q<4wMoBzR+kZa@7nPka)D{u0ghtSVb z$FJa9(YsKVauyAf_+Yw{DP_wo5Gx}{{sCGcEja)4wk=8zg6|lzAu|HZ*Ew^%L1gw=raDs>K$}?7N0~%6^H4$Z;A5a;25|_L$B`M}}dNjGYT` zX3MQ3qX)YCn;HYO_L@j*h<>3f2m>>gzb2}9w{^FT0>$jh&p>ZDv>PVs1-C6 zOj*!~qhWwvS{ZDQDR)aq7ujp>Zvz{{#f~EFG~@m#z;5+DtRHK=CT)|PxJ=Q>F9<`s z@D`^r>p>xv|5oM|fYRfq*Dk{l(Sq4NVOKZPe)iZ3MSCYaP}WR476LdrQwS3kLX#Q# zUuc-Y6}WSO#oP(1EU4NkS|+p$nhXcGZgp9#N@ZMPN2PW2+4>k|d|pNT5Q~IfMJI07 zX#zhOzSgh`j&iAgac;4q)74L0F>SZ<_2d9M`LZv>oD8AKJ8v|BTeBaVIvOxNf58+& z9Wt!Ngx<0gZcdg8MSQx%-ZFabrz{l?!%PK1tOjK!IQyk_g|{+6Sr3NrgAv@oke#lP zY++YcQ&*Ul$y(vSQdEoqbTt$r*M&#+VWDMrAE1#K+VJ$66nL+G$|HL{#)@S$Ifc-& z3bF(Y?Pc=*kTNVxZBpVg9(FA_tc2CroUv9T`MEWJ1z*XmUVU->O#h~tCX9&wl>

vHJB#4ah$h7H2io?ia@ltca9Y&evrxWH8ws>Jm@AT{mYcx-OpAEV< zhUT8qG4oQoDSFR!5OxqzPo1ek4W&+uCXBbZ#_GA*cxG=#Xo65EzdMHgE};%E$IVEF zWQNBR(+(*EeydG5@F)vn2Oedm<y95VB>VJjhuT#I>vo-5KDFZ!HvjYox*^t6GL{e~yc$fv?T!2J_fkI}W zaPqG5Y;65@;*aVSOIDhLdZoLs1XcP;IOIbB2pM?aB_1NR`5Y4MH^AH1z1*B$@5*bv zZ8b44o*DB_h+M$^snf25;X~~PL9nCaiTi9!qZ_DYku3^Pxyc}O9O2X5K<&x}kL5#m zr>QS(-g@&5iIQ1TVe`bHJveAWL3UuE4Fscrs|}JK;7bJe(gJ~w4I=ItBLi>QHvcTDwWeZZ^ckqFTbPlZrRa`YWx;^h)=^`nNXVP1?NNtdAd z+M?O@K>jz_2I@YF_!EA6ur@27$m-((uc$6S{ZDH8m#z69Q2IOYOKcCEU#%e>aDJ%d zz`xB7ylR}-d|K9_LhjMaqlqRtOX2+;}z z`dS)|HdLN5Aw1wkNA>{_NBx-w1VlSt|E4Jq)Z_rMaR85t)**(*RCNmP9a0v^&3 zPcua!hKKe?eQ19ppevZZwl@C*iXR#s`dtKwBZPF#0!R8gH4}@pNgb$R{~M-gF`7nu zPwHIS*jG3)|4kpxIiYvf42z=|q95{5V=o?RO!dvbXiahce}_vw*UB-bz|Y?C&i|xQ zHrE64!+Fc(bPQ2e&e2JRefN=GPMgWD7x5He?G$U&&^??`Ye?uGO{g_n=pJ9FwRr!Y z96fd+Di3`65m|l_*#3n%J?+aj;y=Qqk`Bn4G6?~)ro_NN*3>tthpZ_~8ldoThzf7B0v& zVZJ8kW;OOY3s?8>lw=p7>7*3M?B#O*kiktm?#5T{GFI+lS>bY5;gVG8(pfMW9AHXt zu7`)`fFzEN6r>_C79cGi&5d6-^oCnjk>JOZfg#XC0S{|Du0?di=#M9%mu47y9|$D3O+7!5m(-P1^GRxp2J9invY9rxl|Df|y z-}hpsc|%^WLBs%x`SZ_s=yj5t*=Jna-MX9SuuW?HO*Mnf0K?51qsKAPzGoosK+3$U52hor`^xg>Um_I!>WI*<=D^*@zxHqtFG4{{KO zTk>9DU4plp!LVpcr6rXMTRKxy@>t^Mq7Z4dR_=1i5RVukZQt@VVuV1+0YP*W3{pBq zJi`1`FbE?>5h5jqM_BO=1PF5S2j=LEX-OdmBtPSTu7N~oRH$q~4AU)&H1pTnzZZQl?;%| z^{|iWvIS)@2$O7En3mlp`Y^mEhr7tLzsy9W5=AB7|D*w*FXr~ctl|{k;o@^P=$7_pJb^pZp^+5c|Ob0%Kd;1++*JpGll^48#5>q+7c2u>u*e)`k%gm4K)~4Sr2X zu8%uwPi?_(*(V}GZTje%fhx&sThi$v&1`6O^@v20wMWB89u1UI=kIRK2#$k>6h_-% z(KbYd_ft!@g{L7sP&I&-tgn=!oaFht7vm>6-|s#L7I@r_zgJY-q9R5L!pALk@>E|P zF?ytv@3d2eQm)?QrW-V0V$j0YW7q6S4ARMW{q_`u&2*<5KIPKu8w$`@zo~mDh{0G> zo(+hb5zZ*qd{J>IhQhuwHqKJBdlP)N#Y<@)c*)w6u(s38>0?yzCq-#vAoxai8U)1k)JcF~TzE@EC|5%Q^S=;2@fcLX(&|s0Q&hwCAULVj zc567O+x*eaQs#r{kYEbUdRGWK_)c14%pjhi5CrS6IWbu;bl~@)OMRnl@bTuJdBlO+ zk%BHIPN}drMc=l`NeSY9Ii1FvKyLBnLt%6VS+A{#5g$@vdc=jc4r=foKb%m_y>wA! zPk0XN?0~7XTC%Nyi}h|p_|TtFLyM2NUAuZ|DjL@9g%x-KLE7d<8L$8`OhkdCm@jx! zzLWl#c32cj$?DJ#tNC_J!y8g)?fj00UCj3s%j{rs*?2`8gmM!4%0B(?(2=HPzMxYA z0-Vnt7SvqO#R$e9qoVjq=z;&&QCG8Lnc)txMX?w>0zZ_cX%w{HPL1UK z3B8f>C=68gcc-**4v0OpK8Bbe;#z;C27LCs!G-`+FkN0KD28@RFMNubHsgVs|E385 z&3o94FY@-$6wq(32y&8h`s`w95M&!RJ^94+XS~6nM6LBo$-dQt+m6l!f=Q@_z=JH=wAk4{M z0#Y!quU$lcf*v>`r=<@c_(>*FVl!ZL)nZBUDLZ$pesIRQN~t(E-VPqHCVR{;-j(Hv z6z4N1T6x~yJBsmG=evI>AeJ$nRh_#tgx%J8cPngHxK6|D=HEOA5}X{L5MM=@z2mw; zG&a+FoXrEg*h%x5(<9izU@C-)Uh>gGTnWEobH2UPJ~^yj;Ai;rlT% z8HY{3GJ6q${llTAb12-%kEpmMDX#9_kSSITx+ua_*(` zE;tt_tDD6&?5%BhL@6=?#RbffZCw1RGM>dHyED|Q^JOi3@}3)ZoY-zn=5O1Gf-4@! zA+Tf5bSz>h1;2RR+zX_8nd!ppKKSDm_g}fAim3qpqNsx40$;#?l%-H+pQ}X_>k*n< z)~i=tqmgV-*r%xNl!(u!-6Eq{VMofvp$Q~Cwcry0X;4M7(@$Sr6t*}db{5PUHY%7f z+i}XMbt&P%BD)vsF_ggHG4#v|_q{#_^(sye>t0}tW-U-6X8>{)`$4{k0I4;t_R_#v z<(&wp+shiBKBpLmd#v&ov{Y1y0C`mN9s()5b*;Aj;j@w8#mT3LDYD1nqM?Q0HeEF{ z+rCq4Ef`s?FDFrozK3-Qkh0?~Q@;FjX90bO4NAX3a5>L_e;)B8lIYPh%va`}#S4CnhGe`t3@|OI2}Glgao|d^g)>MaF)ZBXx$V)0PO%jyzYh?gdMwO zgxueT7U_vict*y&#;?|HpW~HUXqrd*CYf527e@rzV9ocBXK|2+#6?x$e{FxoPsi&T zM@Yl&Rlx%`>+w7J^z7|ZBCLi|(;O94WJgwrjb@L;I}Ef>T2H2mkl1L!e90~n)RLO6 zO=vWHM4l;lKzapc7?57kjsNUzAKg1-kUX!OP(T15UE%}%Di|Okx2H!T77#n(E(oMZ zzPEPyh9E3FxxSD1;zd@+H1b=+FHQ)E1~FsU)YL{3p9MD`Ctb?CuG)3$gguMLflZJY z@nMYq$cxf96Eb-*&%(YJ<%IE2@Hqw8WdFLX#Sqnte9Ev2solty^Mg-k-n@IAh(gZ1 zm%t}v8`-spYlMt=&s?zpt1px`xH+WWH_OEQKC0AH(RpVmNaM^t *rWV#-q2$$~j z2TCyQ5M(@LkqXZuzpYZW@pN@b5uZHigzhJe(FcZ5bwy_)s`0r6lU6BQ)CXw5#yQW@fqSFH=X$}mH~ zD5nxqhHk!hdDW^8@=5xp41~db70`ikqBlcdN;cdZO{lXi2TAHx44$rMt#I1%>+BoB zdLLUm8N(e+j;$g?wW63vXPjwaKExtg4Yo=z ziy4|s+Si<0!-M=7ilS|`Q4hQ#k@WkWiW(eEJdr>vy(gUynbIk4`F-yQmU&ij4Nq{| z1q+sxUr1FzcQ;i}O^Wm!7D!o^76%=Lkq*3!jKmNPqrqdojAf(~$%vlgN@qtX^0`uC z#0)4kzE2oNGA+~XvaCZ$;yRgBYo}&N+s_>A$iVGX-&oJnr~`~qso*G6 z<=xvU2tGvgT2M(MuLWOV{ad%YOzXBWYL@p56n!*6~sj|tuKz2aMsE$FM*_ca3A5!#3yxr!AS`{P>X+7iwM_-yr; zjI6c#%wEVuS{#J!-WX-X7;A#4+L)-$89`;Ck_kZDXBqB^=vx=oI;4vCPa8HWWuMz{ zelApf&6j#I!z7h4`tm@NZoF{n#jW{*ce9@LiPihgW11WOdi9EYO;9vdH$>5;5_d1Xyl zIJV8d~WR!Y!%4xW~b%@Vs;w|MFLs4D7Bq*T+P0 z5M>;ZkZ9w+LPLU2TqRPdJc71`N&JT5S;RMOU0nR2=zvr1e=jK3=`nVXeAVYh2D+9d zgewq6C^(FP4W;R;BopJN7-=gg;Q60_Y16`lM(my^aU?N|2&56CS`vn*>E^ffh3($7LWxN!4V1@+z*R(@!Gm)(PN4#kYZP zBP^ZXrGK(2YD_P6w6gmj)Rv)-CgF1t4FzqBBN-AecL+nVZadru+ptKtAMW!WP!n(; z4x=L7#hqKqD@A#vT6Vb*{P03?AgtSriwBkBM#1jK`$Qq2BK2L`DI@GWVucSof+;Uq zbGAX(3?E9VIXgDT$ICyitilV>h8~9508^{*t9AGL8^gcE7PGCW8R=T} zwyJQdS?Un{bNNR!u3Smou?!pbgw)K4ie!pM#aJNm=(SOj=dGt^n-fwYXjGiO(l#5XKJ+1n9&Z?Fw4BYZh;^#vBm#1LhDQl= z_f8i!8zngH7=Zhg#&VBt;> zc`pkG$qL?c+tQ6|eKcCZ!onaXA6Lsfw8WAgdb=kPWXgGH77Qqw+$crnAQJG3>BIW$ z9*AUwdoZv}eeYqjrJxL8HK|p81c2L*()VV0zn-YSPAhrVK=?dKFs=t!(=LieE*WtN zaR45%ENW;T3L^$g?LpFNTYP9tWWfY6fW0AocA_#z$#{rU8N%#(ih~UtNQ5s0wK!BM z@85_b>+1ykoZ{4bDQ>g+<_~ z?cQ`IFr)63a?!DRH&`*k?0f$?hKBvKVVj)%eFzWJeSM5HCi_mUf$4h1b9q*UmIz|6JdfOrv~MSp`@1T3>G=+4UX-T` z0}DY_j_MZqyYd)6wQc+g+b=5PzHn;!Ys@<5?(WANeZ6%VQy(5J_f2to#-Dj$lORXo zHwe^G+mW?D!R)}@;zR=IxH>}$&&d^GoNM@>l)%~FWx$$ z0)kyr&7-oq6@`fZ@-vU&sgJko&xx2+XR-FzdxyV*-!!)MmW~IXu7B!r4cf*U6yLtu zo?fV6dfbNW(~S8xx5PT3u8~qJNKxJ@h%%k|fcxW&EF$FAJRRaD~c?ny(Hp3Gk&@ff10=aK{i-*?pG;y|gM z<-6u_R^_zn_kAlyglnbK+Wqa7`$3=3SC~j6`6|$KFIoeCvigIsx zmRhjiFT||mc{(SS%f2c^%#e6L6F5$}+hOhW$)VnQau$wz!qc2CqHtTDRU9?pvttp_ zHdw%ny|UT7e?he`6kDEkzho%2dVv#}UXfNGGcIi8fQ4asWn3K)r}Q2nWnpTy%T}!X zMzO;$u1?VP!kli)@V&cAC>cYuQ%|uzYGV%N!GK>TrC#gY&Vlm4jGVSLi^-?kjb)Kk zTxY*1&fP<-`$>?_Zt#MBlr;w(zwkS4%mqsB=Z|De8K` z_uims4_a1VGzv%1KcA^0sRP;4L|GBn?VB;;o?4KeuKR<|8dexlcW2hV(rpOq$CVhe0B{WIkBRa`Tc1TH6von}jb++!m5cabLg`mUz zVr9p@$%iPZOCab0SvTjlhvY37rRLRDjZxiFfe)MIqrqRcH zQF!>;Wsy>!WSQ!=fDNZ(clw0pveP^3K=s(1Jx{y!&H1eDH-v$v&!wMFj$bBP?oNE0 zp-<$mayxcO-VU-BdYO9mZJa4uAp6PMtEQD#ABszisNX9d6{iSox*bk5ov?K9ueG-S z%&T0VCaYxl_}=%j5hq~Wx5<2M!1r>k#oM#6di#+_=1FOtKL-7L7Lvk;VhN446m^X@ zB83xW4ZrG+7d%snmD(?MXUrUC2?m~unb-8UP|S`O>u-TpK>?~KyK62^vI1O>#hcxK ztW?yJ{``s&|7J$mQq1f{8n%AxLYVjBr)NU@?wbJ>-?w(!X4BD|&e-emL=X0QBbKu3 z3DoG{p^f=CFVECYP7SvF3VaG_?0>Frx)3R08YW@NeLkt0_JX%DW%!OY{qsEiK!j?g zOBU{PS-0}8+@`(LFqP4>vAi>=j%pzH@LHrQ2S=(ZwqJ)izJrc}IGvpd? z*VO6E9OvofyVdG<%i)iU{KKC9Rg#@6bfp(kTa*rE(t5qxWVQULQ1iW-dxCK84+;;- zBE{p7ASe@GI`psZX`P&?Zm`|vD6A7ti-r>spMxNQi@_(9!#;LR!p{SL$jD}Lh5V}N zn-38OTTW!kX*#tS}Xt|V~J_N2uEkepI{R*UQ6>hSn9&(IWd>2Z{G z&=;F=5xH{Bz2oO*uDdyuf3-Dx-dwXw*(CPcg&z0<(M&NZOdty%0fHVqSb$z{N!2(FC0{A*CaQm02yl?k!8`LGpQU5~}kRz_`LhML-w)82Vtx}z)3!93{#-D6%Hps4h5u@VFL*=dg4tTG{ z92TYjT$X(p&NI8omJ2?)la|g|C*f}wAUUEZGecrFu{|KVz1)#i)uuNc_&r0k*7-tc zbWwM4yO3yhH-!v~Cw1Wk0)sY|Qz3LO*Makc3deIyQAiQQjs@>R1{oKnzo+b?H0+dg z)J<1C<{+bpyY+qgi83yOG~}^Is~f!Gjgj8AFKpFSx9K}b!*gYILS~WbrS+zHm#)}1 zxp}EnP2WZlzV~6HU4~qP9}U;NITtB71U}Fy!47c}hg!VKt;mK~k_8x5L7UsfPx)9l z9h+`t3rIKf*N_xsG?tv-OVi{nBzF?I`PkW+s*v0j4qwI}2>i8@V3!Bun84^n6tbB$ z`uPHam?|koOFAesB@7pR6>I6*$MNtNf;{;)md4_9l$-@k#HI1`oq&pLkz^4!);$y+ zlvek*+ZsmshAF2j1YhP}>^-+;3s9|Nv`|*hi)cDPT2=jY7jwCmY@W@m>@`_(KUKuFdO2k(hoIwi_(bISU3Mt))G7;4Iapbp~mt^-b6 z%R0;RaK6l6PkEGvEk;<~73g1;jM2qU%(X&`9m1*jomNQGN4pr}w#RfIk?r>+M^J6_ zIM&JDy0xM==~`i{j7e+l_L?{@4<9a2U=l&2_ti+Yn;9~%Pce)DgVGh;24BM8e~@zeyE1Yk+R z5GGBx!xft2n{Utpzo7BnuwUdlsO25xBiMM6oza&UWN22`p>nmW_a^kVj`4`@^TSet%`XJdeS+L0xK2%G0{DY8_=8rxh1LAc zGB}*+l$}grjVq_9W3|yzVxChH~e0=#Bcb+gKb|xz9xih3_NT4z#wdoRA0z z&rU5iA=!L?z4HlpQ=y$J*l;}UKy>~=vUO+99zN7AHV_U6+ydU8mzgVLSF2?Lxo9Je z;IU>2a-rZS#9?M2EY0T6Wq$v!uD1YdqwCs6aVZoiQrt>$hZdI>FIwE)ix&5wg`!0Y z#Y-tr+zC!`4Z$rq#R=|7$l>|l^PTtl`ka4~xn?rgy6;)D_PTb~teL$RP2MpeQInAD z!y(Vfan*|j#`HnLU`ch6&R{u!2YVt;rtl=O6V4T5NE#hww)ylv=_0v(b_I%M`j_Za zG3yZ4-egn7&9Hq zll+;VT|yJv4*5M_2ym)ninTextjCsqR}hR*y55Dp?AL@c9~6a?M3(E9U{_C9q=7L@n?qdM5(6{*s7@OI$s zpI*Jq5`iH0!u)e(UQQXx)8txOUMYErr8g$TZyN`3^D3jqc6M_K!W&U}V^fFt;*xj| z6E7UG#KBeh(v937DWCMWXul2B?vBuYi=7A>q5!k&civ^Kljo6<>Z>N1grm7{hpH4a z6Nl+b*^Mdr570y3*xUR2bsJ}N$$#I8RY3+W}u$zV7MKRue|8N zPSE!cD&R(vw50uxBH)3W`AVB#?&QA|s6R+F^7S@GG$dK~GyX7P?dk1VrIFchptc2h z|LiDbHA!$PQ5Rv;Xnw6BB8$zHvsCs<)IG4UuTnWd+HX?`c(AOH#j7s3t`dWL`y+U_ z(pYJu|9POvt8uDoiMnjN`&d&(dij~8W5aRGtwpRoMZLM^m#MtI){lH@;9dA9KmpKL_>rf(S*IkO-RaWAsQb0FS!c0EbGgTg6gNa zw(fyu95;i_4SCCE{Nw#vEut!;qsL#Ke_3IK&yCW0#t{45tv^&AZae?hweoDZ#2n44 zl~iqiI9n1>wJIpia1+qD;7;Bfd2hn5AG@C0a<4laGh2?B&)+VSMAyj5GOZWOj;c0z zF6dOHt#ZJE^pZ684sJ24x5(~CjGUW$R-a8-&WxGJJDDy8t+7y3s42C+4vEs%E0i_f zIq&i99W&kQ=8I_jc-gl_w_)SoV=|{r7l*OyB9A&8jaaHpUm z`NX4g7gZyC^yr39nI-Bn4$^q*JcH!TOzn9qv5zMg3yRgI+Y8Pd8Us(?x<@l_#{i(Ky_FQImN75>;HQ zm?x{u6Wh=-wbL3cWp$qb>q*49elQIVrq9J)kW%WPKL7<@%vSX5lWw2RRUAl7wu?)g zosv+3=}4V_0^_+?K#W{p4kA78C#AQa$37g-a1aBZ$WCzN@qGnSKh@Ztm2!R0?>eCu zKq;e+DWowd7l1cVoU;1U`J%Jlkd&$mQKDp`7XKXGA>u`3v#N^E$d*mDkfO>K(_n|F zjT{?8vHI*Yj&t)87?`pQq#0NRc7A=cVT7~sf>?@Lv8r-am&l@(s>(F)!&RlmRpD{x zxv9FcRE`K|s^wQWTUt1e3$N@UYIN`M2ZVCsueGh+xRg}n@D$78B#908r^8OYvBwB1 zxFc&?h3-ROvxFl7d?q!heC|~4gR|!hmC6N?^nvpCO}c7c%BC*k6n$ws4`)x-_%4eo z!2xRqP4(@=*HBDBCdQugIMD84BJ3h*=vz^|W`(ewn$;d*eo}$-m|y>U2D>pU2O>dN zew`S~Ha7aP+y3`2?7nWEfxp@=Meg4hn)xg!cCF$+zDYausi*UsX}qOHM*k&Nu7PnT zHY`BY7;D4vl}pl;bEVIv4;#_XHABMditGf zC1SqwkClHE9Y}abQK>5Sy7WrrB3z$b#khOF}w)WlOKyN24Hi1!V7;WlRFOy zxp9NxlPw2&Isbv8Fg%NGm2^cRD!Ug$4;BfK;WVoHiLxSHjk2B^^t|%sMw_A#_c(W4 z3CB3Ms098{B5Uas)@GifWI^2|LS`XO#%e6C3+;3?JTrLGbs3(ZCQ9oc%s*gws6jzL zw(=DuBH49(kncElyqnZl+1FR|I^W@itXn}XsecC~YCZkpv39fMTp0cnW5sSP?lS16 zvu2*qFD#q=7aAD%ayV}LC2b4z@WjuzhV-KlZ^csagK91T3bOi=17Y%R39^R++AKHs z91VGa;`&O(6Ys$*mh5j>vNyv+Bb~{$Qm1NNw6M4>)&zuibcpw(V=ZLK0f>)6Wx_@^ z@+J5dmap_VuJx1X$;f(sQOeKq9bC)hOQ58NxietkF{b_M`O-*3`Yb%qvxYDU2HB8$ zL)uG%h2*=v{bj*`Mb9sy)IBs$$rW$0wuO1&#Zbde?X#RjcI2cxYo1su7Qby-L1-Pu zKt*|zBc*cxdzCnK&`$aa?}CCds-6k&V%8ngzpLW|MU)}##4wIF#=~Q|#Hp`ek_f0; zBuQqw*=Wa8bd7OShn1DsdgIMdA_d0wD(MQAKe9!Txm~t7&PAABXxlJAz1x$EaoKyF zK3H@t-5uzIbI;H6W1r^4vUAnqz+=vs#<_1uchl=)JXL7>T2`1O&W{!{#sLIG?nGhe zPif-UL*;|X{oBr4Z+-!fvccPF;FT%>em-nOFT1}tRGydzcD2ycz-7W?_QPOWDu%6luQIO}F zlV!By>_CZ9%!uTY=sjL{ey3^<-CyFCd`cr(3{kchAiAFmZJGNz-#-6?7g{W%x(Q&C zA|llAr*+#lbfJz@{G!3Ft|`^%7j!!_1?AQ_L3r3PcVt)Hi1RF&;Rl3#f``H*%kO-f zTkhg_n=t9hTW0W3O6;bG^m9$uvyY1E0uCZ?jv|c%-Z7YGi3dcKSG$xMTGMxtx+*}y z#=fhsjp;{M3)yKV6SO+%0aBFw+iSOiYHVLw7kp7e+ zePFv-yK4F;vNhaXdzD`zx6>b=_w213S{WVKnxmFnDu7k^khx~b#9ChOSbYD;Q$MZF zXJed6nuH}+)^-vUA%s(>0Mu&!yy+8{-$*9}4R0^%FxR~c2?R`fu#<+F@jOm-Hy@a+ zvK;8IIPGpgw;@I4tIw^dvS^p2=4)`F1{u@#v2wVH9m{mq+u&A(!&sG%(#OBX@Dj~R zv1Hb){4r>Hj5|66&-12i(eql%&A$e@L7zCS&P>0DssZosY5 z=PrJhmsAw%^B#f$Kft9`fh%*K9YBLkajxlnWK>B}VMO2x^#hjwS~t%eILzJ*QXqnDJL$ta;x9%# z(e~{ik!ZClG|$&3@Fv4gaq+3dku$m5;z0)x^lf%+RpMjMyCk5Zy~NQlQ6BOutzi8r zT_d&a17fK-w3A<0+>0ruSo>^ME|>bJCI&8uyZ{V&UGhq{k^uFQnE%Ra=L`b{ekJX@vV|4A^m)k7mL z*;4;QvH^8V=i6L%*CNkpf3f#x{vh#<)}?PFuu+O6sG3P;&yqs)-4DY$iY)l{nt;G? zaqr_jyB)yy#Oo{f{AbhXV65fU_2JHe<*yE_8cWKai+)Q^@lt(X=ORV(-}g9=*YfRR zVfW;Gbfe_|y2n{K0=<&mGDd4!aix&kER+9f`bKo*>K-_WVyG}AL?d}cRQ!MLW7)hF zRfOL%ieB{0uBX8yA+o;qqx3?S<*bmWg0zN|hoYQ?EtUPfba$_JcL<<}F|wBDG3^E` zihQ{))|@Y_^46QBlW_Nln&MVO<5aWUU-wZ$t;CL8X)Uw2^*}-iqHK$%+4tDq(G8w9 zHvuQKF;=tyJ|^U>Q=*nGC+Kf>`Ek*&v)^lBQN*q?X_aqfITN;- zYZDAwLm3D5XcJ+t_^XzsFTgUMov#%tPNgcPO1H^=Xxh_dJ}OoAO5?yVoCzg-4m z!alq$I*VIPBa2e*=i+c6{^s5P&dASS|6NzWx!xQ(DFN)F1xxD8@WZ@D#L>{2(gNLF zf~wnYcf)&=yGVaN-8i?B_E$QlFgzuH+_y4yDBJBv7=cp~6v-=_MKA6-b1pVNDBk+K zz@HEndbUHJ_Ge1`HM=qT3i3uB`&xq;BS-n=!bdC&zqLFKx#!aTG9ruNBm&p0vHV|> z^jWIc-Sog6qM{O>Hg;v28G+Le6|Jf*-&R_tMTKy+@b9-w0XgeIWgQzP87xuXH)hX& zw_Hp7*%^v_scy8{$HIr1S%Jwy_RhT0*671Kd+FSo=k#GBz8uxtGvf=|qLR)lNtr{D zhLk0BLR4SJ4PR(`Sx{D{9}%kCXAXY1vnd>9D^=KDl^Ypn@^pB?sqk~ptFjnezF#)| zvc3ZN#NoRG24^W<>Yz_ZVl-i~76_R6wK0^$kZv-UH;1XO(V&ypmswd-(tY4{yEtC; zXJatArU)t1_Ok^Hnc6@>cq3ZA62YcD?HsREZGDOW5g+;+Oz%4?PvniG+R8?ic*EQb zYtGn!m@nUSo3i-833G0$i3quZ?HI}y1Udut@oD>-Mi-Sju)vT-Q!+PIjE|cu$;>b1 zHavFl%1lv1;L|lJCAuBz%Ox(!!3fnXu?V}YSJ!@dUP4~D&q3P(Jvp&vi9q-0%j_&W z)EiRXo(BB5uW~F7#%Vgws&TdlV_y;6bF;X3xrOCE#oC5@{(0unX;DoOpji?!&6S-U zG|fdc{$-P^j3cuphV?vg9T;bC-I6kx*+iM^5R{bqIdW_N5dT`Eu|jS;`1^}pvsmJH ze7rxN8S4kJ6G~mpDJN$t76C0*pUp8Q-^{%dM-M>` zr4Gre*-~gWlnC{g#izmP$(3sUp9g*Y`D2I+i#>^d zJn*3JD+||60EzYLOd5{rZ$F(_3>J3@4%=|zHw-h%(WcvMF_7G-c&s7cP-h&~+21#& zR%MvQRu*Mg#U2)A*u~-N7yJ<@THj16pq4jIL9#5iiWb)xcKQ~N6gT$Y-yMML8ld>hqP9WM5z_(N|c3r1L7U2z72#q(tX1UR~{&{(jFYZ zO2`mE;gdzthTIqG$xj~|W)xo2u*6y9p^X@@WWVz5tw)?$7v))?4SCE+l@1#|mNu4R zQ`y5|m&XJovGYt22I9p>ODhPN2hQXLT5Fv%Jo`CpzJGB=_q8^hl7%Dck9VDiF2`DQgvSN?bJ}>Jniy*qIpdl9V2WXyZ4IRZ57#MZVND3 z2^rqoxQ_!bcb(-z&LSYbyj7jvuz`LkY^xS|vVZeXjl4lVxJlnC&QGaSBW@e>;fII7 zPGm2A$NkkI@Zo{}0i*Eu^33ar-5dCV1tQjSi@`h=5 zx%$~&>_gpP`CAdvO$b_~Cl{Et$^24Uu{OvRb_Z&7TDwUEZC@5ZC8d3@M|OmP^9Zme zLH<%mHA}h z>0OXTDJE>E*bw?M^G}Wsv)A@oHy^LTO@!Nveh22x`N-488#VjE7pp4|;#Yp8hxx96 zS981ARS>Wn;ngzRt~dk$q)WOAc7?fZE}co4FVjhTK$i$VtaQ~Nc=M>h4->Wlfq|5O zBvx1F{1ubHwU@Z3H%B)H?suYIvotC|+` z90o!9r!3!ovj+lshy7{UHSTfn)DB zTY6QBWRv3Lz6g3}FtCU*Xe3dO$Xm0OxPf15WO;6pJlMdr&D76e>Qoh5YVnwuEDJiI#(nKCmdryv9ke@M8#LO+Ybf6XQodOT@PKzUaTfJ z*Xm#|#~Br0v8)Y!sSv1a+&!XiL7qjDOz=&5zIE>3MQX4avS5P?MH4S{0rl zxhWLsG|3n7R@nODCDc=7jeENd#k1!BkWFx33(XI?Um7fH;R;?^7^@cU&*Qwvg9=lN zk$pUhK{lsbRgKw+zaq?eBKhH}uBF>#vjhm3nYdf>bwPe_>4n#5xOQnX1GCqCV3kJU zcQ+?%dHNzqcrOIur~T_}p&Ma%@vEgNe_=IwYS`NTu4w1}j)#6Nq)p2G`5AW8;1e** zZL&+UFOT)wwfNO}OTc_nm-(T@+Lka-I7?v63ORG_+ToSnR{{jC5v?H@dqu^np{=^X2wiw*%KLPf7xl3L%nLzXcL; z4OheyR<7M5zK`6J!PAdKHfl zdSZV>&MVOzT+6=2>$+4a&7apKeRLTj5j^dNFocb|Jyy7za7BR5=7b07<1e5kZUa|9 zC~&%x_lP{?P~u@TedT^@%MsSAPCqyegwJ)k0`4lMC0F<75@0vxbV6Ns8%`%l($%gD z+vZM*=B>Vfi=u#_>2W}ZXw!vx)gbT)E}Ug8j{F)BWVs6f{&TQVz?d7k7csx;d)PGF z%Oh@{Xx8rSbdjuy1{??o?jE6?ZM6j$Ex`Lh^ad`hmdo(pjWBLp>K)X2EBJ`84ZL_ejhC@B_ux?)Ji^oP<6*-fC+-Y;t8Q;U zuK8hLX9=5&JjA4R?TWP%>?%ZdpmFqEeb8`Z8l2Pe9WaXY$_xpSK#om5v_8~2(Kkss zC`WhIO-VgH>V}GE6UNWBw~P0bLwtobf;X2G2WAn?LvDa;x*ylQgo#P5mp|Hl;fHz1 ztB2Z`*6#+}TvkQrj#{Y6vweg2mKx9248PD*VO}pIAdK~(lLQaH<0x@op_9iNV~<6` zB;D(24Anj*nZUloXpcMmU=N7VI7qfRH9ZBeO=vavgCqFy&lYsHGM~5Pl1GP>cImvm zZ1i1K%D#a}`(L2KN}Qz}koehzi_$PqbY&4Ve~kuuTC=zy&Fc~}NHwfPxU~VA92>J5 z=lOm$5`1~ZFPK(Ky7>_oHEC#W{*V^xXB8`ResZ>Ob z!8@gALgd!6)JXpw=XxL@F}waudH#T{XcQDUs4(-bZzp$4ev5Zac%)tXZf9OW*L1a` zwf0U6{?YSd`)sO~V;-8{aMzAV74EV{rh(ibk5@*1lpi77I*B555(2g%VG5~%IR7Km z@Q;w|qmcc86WwapvI#ZvwPAX#451lpv78VIl5TJHFIimf$S!gom|d7AJT_USdU}>? zO}4{%+<9LQ0uRKlEWr1tt3ulD5}NORJ%AUadw1%u!66qdXVKb8&bT+(Cx+4Dbmo#> zcjafLt8dK{8gEJ3;5Bzot>=QO2RJ0Hv4LF85)Y?eH4&5Au#M5V)NI2bNXRXGM;EqR z1aeEN-oS_Jfiu4r(@dS^ms(2WYwDm$@;mz;D7Wl3dwZ`4;G)+eko#Mh(wU{;BVy zoa;b&h+Qt=%ULpDfu@KeqPVdA&dyUu2;dx^>Fs6P)7o+OX(gDh9^NO(*(>2{8hki_ zh-+9h>Mlp?6u%N&l}|v7oh&-i55q4#g&49r^MTUw%fM*KE`QJirMO;Tk3`xXiBGl$ zSB2D-c43%_vl#{86`cXEM{I<%(yB|8{;3Vsbvp{cuF!~LXw9JO74;DJ zKP?k%T_3jG6k*5)5Y>D6Nme?j7CI9G-I^DI-tOtQ1Hz$Lb)Yuqw8neDTHXzO#|@gF zAq3!Cl~7Pe?ibPX>|9rB>Pm&*PZGAL!cn|ZP982BHGVIMeLl&3oUNUo!V)1xPlJ8s zpXU9W_Gmi4<(i!;ummDyOW5~p;pAfDOln0z=w$xHWmR0SchIKmK-QY}@0OlBZD6TxbLlHAQYyj zcU!k^tWSzhX}?$^bnUp`q7RIII2H?j<&wE4q>%uKS4b8*NtCWjk`&TdYxjh2Z8%AX zcwZ!*C7pC$Pv7>6Awl9*2;_SebPQ4y6cij3G4D#9Snqs^m1ig@3y zo1?3jj;*H`kDn{*!ZX%m17|-yei3;YhQ~!vR8;hzEkD0C-_jiuUclUBi*R~R@^Sg; z{@5nWzwf?HqY6U+xw#ah)4N{3Z|`O18>cBhj4YTmvMYrne0qT&kn(=@a{n-j%WYz19H}+6s6?8C3$n6!=ZYmbgly$F}of+;uXxQ zEf_74yar`=*vm*)OJvUyB^P6Rs+%A04>a->77}+%{UMU0!=KUgNJs7(k8NnU`^!{Y z^IpRIb=F?D?{ue)L8clBwo4b(whcB)v1Byy+Zz3$KBn`Vaz})?wNj2}xnf4* ztrB$~v^XjjqD-S&r7}~$Y)&1cY4aUsZi4zeRxZrVBr|b7;@U4Q}HA z4jpfl#Jmm&#q915lXDv02l*;e&XpDj8e}jIpFh@5ptA;}N#%TgISD`zJS;0#o+<@*thVw-n@r%+l3!DFnL49L`DR}8 zM&#HdlGbL(`u(?(QZy% z)H7P-9BNYd3rJH1Rqq?C8~bu3m7$4}QV#8j=8{H|0PPw;O+L|4gTtc4BWuRef|;O< zWlKaL!!?-IxOz2Ct^|v|(Re7sH*x>foIcIy`+>C|dF6-S=MJbaHpQmAzo%}GX({DQ z{cJi{DX`5C|C_M`nJ;e(Zd(!x*GPzVE=?#{DQ2;#uA{idxg)hMNvpCBU+ zc<%whah{?wF)Dj!%0MPi7z3dg)@eNQQ7Q3 zOn6f8Ubw5l&qLQ!)>o9l8n4J~E^C#k(fanQy;{aV+%|hIZv&||-Z=YLQ3eFDtj+}o zMI2yT{Uq#m+W}{yZ*={%f7@24@0;>G{m+1%X_SU+(idp5hzFc|={Lb|E}kBIzG}<0 zRP2HMv~5K=dub1rN$ZPQ$`Q_R0XAFDbaVh#q_zMX+2jH)JqZ9h|qJm(Kxuo>+ z_+Okrja4Rl&8BJJH^UxY6T-^vy{f?_D=FH#e_losm7kdWXh<}F5rJ1l-Tr#kvt%j% z*1=tJFb9gNHEFe!kzNpH!}VKT%3v23_-n!(Kj$o6b836-TdBw=zkX~*qyV@reQ=5W zXt!}~mTvRC8|*4#A;k>U{55Kf?inRHoSpJuYnZ+a6F$pYg8@XUZ+v2w@Vnr#Ol(LZ zmFD#D{!9bCzG>ob-#=n0(!Wo=F}CWpt;B|M7dIJ_u=mu7W ztkTBl7*m0qz^GzlcPsF)6De%rZ@cNk0{#)JfG&RJ4&ve#bS=6ew4b&-&lsZsnrt6h zaQezDXVc~V7p(nuV!rj<-0vm|xer?fY`@YUbRL-EQhNW@?LZbc_9xytH(uCJx<9iS zVGpS`jJ`XhMlV9sb#iQ(y*tq-UMOlxpB)%`4r2q!e;am0oadcRxo=a3kl?82bj7lS>N zU5_P1A%5musI_sg?kX~$WXkVQ+TiSkMR((psK43#E;So)o>uo2O%l&BsI{qGud@Nx zFeH)Q;Y*K1?{qpj@NfY6%>_K1k`MYj1ZE&%_1*^@-A~un8LSljpJWwY$?`;; zvhJBo2vPJlw}eIe3X5>gzHW5DjTn{k7i`ukg!8Ywt#dk7*k0IVy3w!4wuD)s5c-Vx zw6%#&-WAsTYP(3~t0vtav{Akug-*DSHuG9|fV6A<-D+%H&u|FvlDdAArcl#$U1l3! znrzdx1)50xrUvXDl40+x{JDeJ=x#ba1b6lQEVO7?vEt>$pb~zTEL_^6c$g+MNNCPB zIqdUv+3ot3;Q7lw*Q>W@OxEv*TR59kY*FC}!=xHMG8TXOdidVT+Y>X;6>w!gX?^$+ zqjd7ed%aV|zc~n4NqVlZa1r6Ekp*{)T*23vPm;7Mm-z@{4kH`dKX^mC7lc$c4%NE- zxG9(WW?g+tT_LuUdp|T?qPu+T)a1I;a$b&|KgejBcd)RxdeSwj)EDpVP~=;ze6{u% z8BEKZOBR6FQzL_2X>=aT43tJfdt~UWBcn8-W=Y5E8ls&>3+37K(+U?%(o!liw1ZI2 zJ3x*%-0|6$s${06#zr@LM~A@0*?HF&w#0Sc-$pkOakMb_>iTiWrmUDQD!h9WP#QlL zb@$>x9=a_wj%9-`9f*Yw@UsMB+`L@t3>6G-ABlB+$wDqaIpKq~N1b&$=W#pG?nCr| zyFEk<7JftWn_A0DPe;!+W9L{>LdRgYq z@k>|Mmvn5`w^BMktnfc%>X-mk;;mgcPyQGZza9A0Ms_>&Dl72=MS`+-EC#m=wMXoX zLET;MN?eTc!84_jrTYdS;hQujSE+Ag0xBBMNpIGl<#tDGmkKWoBYr2IQyTU5c*Ios zzev2Rm=sK?$*ue}%um}_E)d+T$Y-`bvTfS)t}uBeg>@}IAh|vhb&kkf!vD?g+@PU1`P{G9 zcS};e@Ruomb7rB^yvzNI3IpTw0R&K(rhKNQB-Y z=p*s2Lnq$f{j7U!ElX-%mx-K3i=iq029i(1s=mCP1A~X$V9~Mdu`R!UU6a;EO5;22 z50*BM2mI;7ip?DVCD;b*#j{*|VM!A6YFRO!=mN8{v%Z>0$>C%*DS0-2U7m>A>av&% zFV0pw&Q1O5mzrh)!||+NiRSDmseCbblx{Pi_YZ^R?W+8zugv}yLHwLWUIJ|Q+v8i% zj8Z7z`Ufy)Bw$GO1+HFv6U9CbxD9>c*86uz^cS&gu6JxTq;|&4yNTa47rILV3N_6XigEy1AMv@$C7yFLugjxe zJkOWO2Au{hsA?|hsnBTSj#NIi74&4vfT&StkJxsnnH*=E8=ZOE&MapG?CoQRvmqYm z=?0#sdVL}iS5Xuvkw`5Iv^)_UTC{oX1m14QO;r*!r26*Jc{s(5b)bA&J=j_t0<8 zl!@WNI{9-|Ya`X2mq=rInnoux*unT~mOvEV@Ebo0PbL;0-ZpKJE85*>2R&{YxA(Y_ zTiiG7aqPn#>t?k!`=8(e_!Y1yi@dYH%%odN^wcHZe)}q!m`!NKuRfMB z7laxmdO2$1d-1zJH<8&D{N2P@34sNtPl#F!XEb=`{M0k5@vb1@g^XlARt-kDF1TKf zfzslJIt8y_uj2fmPoAK}dKorDRUa#*OLaosdJ$wzWh^3dxLTuo@$?DFEK0b>cTg}}a@=x3G5g{6lSV=wjn9P1YP+?WpL5QnXJ zZ6|TOS>sd%>o8xE@88-sh#l$JSmT22!>*=1dxF)=8AgJ_e#}?DRIEEM$`A^?lNa?~ zt`KJJn-5PxLz|5yzfB1-3}$F_`;s@;_HrxW)?*B+h$yF`U2X`yR_@) zZ6JX@%JP{y?>u`_{j@)f-hE1+D1#9_BMA-75BVhHzrbk}6IrbVDI1r*S^dtZ)kzv#$+j^IZ|_Umi~>FIZ~hMA z?B#u?rsQMn!oeLFPZC_OMa=2-o}gI=Yd4~OcJl=0;-rO={H(#BZm?Sy`hoc+-g9i! zayjhqcOSBF?|9&-gthz(gUlOU&wg#-=l<_p(6w^kiQZ!l$l!6M`&TZ=-0{7Gzo&+~ z7mtUnyR)VL)|0I|oKY?n)nR=$K>YlMnhw{CMo!!kF6`B<$`U$S#UuL>)`!v&e-%SR8U5?jT1^fugQZppJCrbr( z#e?vYdcPk-y(Tms-^LtdOlB`#Xmcsr7PB#Kdg>zZAR9sl~jc zptLmdSeQ;ROV$5D7-5U?3E7hAf!!~+(#t%YlVRj8X&7Z7G99*3ipXY*gDSgxXf0PW z0GA%0X0HDk@w@AW~uBfF~jxDn}m7k*)aHtzem z0q7@o^fcO^=#kjw1_$1q)Lzwx7FKK3^NY_HIyy7_h2(HIpI^$ocxU=!(xd9=#>>Eu zWhJo^m3aD!y2c4EB?ayYMEBR;5^StqfT2KD{%{jcR1<0dQ2)2r;>&aG9zA-i1u6;( z*}uKk)63h6$Hz30U!#|gDC!0tD;%E2(jd$3C8N6XqfACAX2Z&y3U59!h~OoXP8^Ch z`tBiUEl_{n@2Zz(tR=}n8roD2>a|PowNA)ZrpYNgwc<|nf9r0uaSCeXh;1Dx6-MjC z|2WWpI4KaKdc=QARA6ZMgfzi-PxW5w)e}4$pIZBOR+p%_A&Gkn@*<643&>#`%*(q6j-ut+(vajwzUhA91fK9 zk*Ks661(7fckzBP`ozDvb>`oQ_=)@u`knNVu}yWR)1suhwx9QZo2N&(Kne5FG%JrQ z#sAGb4mQqTlRzJO-jGJ!T#(uu7T^xVHVi8}vxR^ES>Q!(m!ir0R8YWkItzI6O`bX~ z`q~ca zzi}rAP~MM~(BUYgSqKT(>({@Js!cIWYPasC^$ zb7zwwuA`m_U&7>P$|lK+GLAAAzwzwnN( z)*gQoC|hN`_k8TbeYyUtz&|`qVV{WpMex|G|Be4XHTxm^QF9uR|JdUH)!`A(PW3Om zr-P-t?cWSxa;D$4@gARZJbK*!nBYGeTtDjcmkB(*{-r^fo|vpIItofW&wug%F`@sX zPJiKj|7}89_lk`LE3l&eZ-Rg0 z`J)R~aB0v{G?SkHe{J|51tg6BfAF^da)Q5~6#cgie0KXv{`qhC z-=W6eTz`iJ|8V`MF-T+o#q~cy!rvr+_tO6*8HxWF$$$FnzbXFi$^J{RpYVU7cZMv7Jp~} diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_routed.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_routed.rpt deleted file mode 100644 index ab774f1..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_routed.rpt +++ /dev/null @@ -1,149 +0,0 @@ -Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -| Date : Fri May 13 14:42:10 2022 -| Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) -| Command : report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -| Design : fixedPointTest -| Device : xc7z010clg400-1 -| Design State : routed -| Grade : commercial -| Process : typical -| Characterization : Production ----------------------------------------------------------------------------------------------------------------------------------------------------------------- - -Power Report - -Table of Contents ------------------ -1. Summary -1.1 On-Chip Components -1.2 Power Supply Summary -1.3 Confidence Level -2. Settings -2.1 Environment -2.2 Clock Constraints -3. Detailed Reports -3.1 By Hierarchy - -1. Summary ----------- - -+--------------------------+----------------------------------+ -| Total On-Chip Power (W) | 10.945 (Junction temp exceeded!) | -| Design Power Budget (W) | Unspecified* | -| Power Budget Margin (W) | NA | -| Dynamic (W) | 10.198 | -| Device Static (W) | 0.747 | -| Effective TJA (C/W) | 11.5 | -| Max Ambient (C) | 0.0 | -| Junction Temperature (C) | 125.0 | -| Confidence Level | Low | -| Setting File | --- | -| Simulation Activity File | --- | -| Design Nets Matched | NA | -+--------------------------+----------------------------------+ -* Specify Design Power Budget using, set_operating_conditions -design_power_budget - - -1.1 On-Chip Components ----------------------- - -+----------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+----------------+-----------+----------+-----------+-----------------+ -| Slice Logic | 0.051 | 21 | --- | --- | -| LUT as Logic | 0.032 | 14 | 17600 | 0.08 | -| CARRY4 | 0.019 | 4 | 4400 | 0.09 | -| Others | 0.000 | 2 | --- | --- | -| Signals | 0.294 | 46 | --- | --- | -| I/O | 9.853 | 43 | 100 | 43.00 | -| Static Power | 0.747 | | | | -| Total | 10.945 | | | | -+----------------+-----------+----------+-----------+-----------------+ - - -1.2 Power Supply Summary ------------------------- - -+-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ -| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | -+-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ -| Vccint | 1.000 | 0.586 | 0.457 | 0.129 | NA | Unspecified | NA | -| Vccaux | 1.800 | 0.838 | 0.797 | 0.040 | NA | Unspecified | NA | -| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco18 | 1.800 | 4.615 | 4.614 | 0.001 | NA | Unspecified | NA | -| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccbram | 1.000 | 0.011 | 0.000 | 0.011 | NA | Unspecified | NA | -| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccpint | 1.000 | 0.473 | 0.000 | 0.473 | NA | Unspecified | NA | -| Vccpaux | 1.800 | 0.010 | 0.000 | 0.010 | NA | Unspecified | NA | -| Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | NA | Unspecified | NA | -| Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco_mio0 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco_mio1 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | -+-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ - - -1.3 Confidence Level --------------------- - -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | High | User specified more than 95% of clocks | | -| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Low | | | -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 11.5 | -| Airflow (LFM) | 250 | -| Heat Sink | none | -| ThetaSA (C/W) | 0.0 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 8to11 (8 to 11 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+------------------------+ - - -2.2 Clock Constraints ---------------------- - -+-------+--------+-----------------+ -| Clock | Domain | Constraint (ns) | -+-------+--------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+----------------+-----------+ -| Name | Power (W) | -+----------------+-----------+ -| fixedPointTest | 10.198 | -+----------------+-----------+ - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_routed.rpx b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_routed.rpx deleted file mode 100644 index 299aef43512feb086e8b5701800a77d7670faf0c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 24830 zcmeHQdu&@*8MpJQbJ8}MyL3^uZg(jiNmsk~{czf(&ZBEtnuH{6*LI!j*f)v0#5T55 zl8LF+ULDgAMMD!C;xS;bKLCLc60d0wG;Lz55MmM%g^hy}X!7|KW_wMO@yk zbFmdYI+=*YGc$TR(|u*L$JOELaxt#n1qmK@sjgA{ID&6SKltGM`I84o%dtoV9_Vzr zE)4GY#&dZNP`ONSNG@UmWlpa!tBUW2TO8%lLLLzGV@@%PXC8bAKJ&apPMb z*}rRU=hz@cz+`y{2|?%-gy{o25Oo*6Q3Sjy6M>gN3#J+bPNiBTy!Quoz4fif4p12| zS*8pe%JBT)Mzjc$O;8pIPLMh;zxM47LD1q%aD3;JPdu?9gkjPOLO^kbVhPFx7C?pN zK{c68g_o#VU4AaG&jNu-J30a4$Za!0kcC2QObP=clc|OcfS`m_$wo3?$+#{59I&u~ z$uiggA(Hu8wtKM8N+>4nAgF017Z<4>Pk(Ww-y#Gi?GT2D2ZTt3qS4fbZJe4{BD54s zup1`S0>W(=gz^!NMkoUL&DX7rV$z0>S=ZT}q$QPD&gfB#jXt&Y?Ec+kGL?ufM>4TQ zJheo&k+$i@SVU*W67#W$(IPjK?PT-V(HSP3&gMSTWjHuBbwcd;UJqon zVa7yeK~JUOK{xZpCdk+{9h;Ab7cs}hxJesnJiK?JBMOO|?!}67pF2FA)FZLERc1s_ z!@bO8;*)xc8Cs6c>ltP_9gENJVbXdglt}8Sa0Zg0NFpAMp}=Xz7d0LVCGnxqEPZI- zx$xq$&cx!(@o*-S9_aaxo6L~*m56c=%dwHgd68v(oR>rrOG|nx5(_VOZ_2DDW0CM; z&y9pYr^WkN#mB)dCOO?r=Quy>6IUL1QQFn?&F59m0gw0G7SsVKeI>X9ufR;-8}hw# zQ4LC$U%NJ_zULlliYMaw5&Uw}eNrOZ)l7opm|m61BpA3D3$FrSecp~GJsMkHVtP5Y zX9fQC0p2S?#?$LzJ!d=%t;yCE&jq*VVYla!+tYn3aUb`(k99XB5B9i&yBjAKmL%-_ z3_BQo`t^lr_{9r@NAYd`2LPg$ay9RIW3U$%<+c( z9qo{F26J4HV;~zphB$6~>fXl(I{a{tiZ~5xavBSsa=|@Kg*o0?PowuPvW?mnGZoJ0 zOz)9QYHzxawCrLI#pV}C%fWCQ44!PJ_MA;M4=*MncN1cmH#4)E)VsizyTCZ{#WcXn z4KMIRypOm+{0H5n{a{M}#Ihcbtio>`x(9w?XnAf>`M$2{>GuI@LHXqN4il_>*sFLPjdNm#)n*~u&c)y@2tSpgMQS)n>B1u|T ztMO{?YGs;x8>NqOKj{y6BcX|*qX$n(r$e!LIunY8ID2$n7W|y5i9!}#W;H=ALjToQ zer%$17WC8W%g$>O$gZ-iriq5^f+DdjSA_oO{ZI7=wq<4a2^M%eYIqIcWl2<6mb6N& zAFx@jn3@y!9597fE$AmmBec<_S?57c#KXTVG+q`-?*CK232pMidmV;03smr)Tu*9F zRU})f|9ep^$+zHu*gG0|lMz#FCm31CY%-zEaWV z4%%_4&2qGXF3bF0oqsn_qN%bWq%7z^_FAA#6A{<1G-a7c+gLu@A~dG_pI zOtww)TfeSz*!T<{lYyls5M`>`^S z2$z?)B-XLv;jEv4ZaDQ5z;W@ueFOMX|F~h@4!Uf&$a_2V*0QLmeqQ4>k+<|4#LeM< z%_GZ8ORJ=Dd~dJ|dhXndY3OZ`-adbRU!XPH3o56xV3O)*H5L9U13NpgXo7J$Fp!K> zd07!E1KYRF0w(9d6u+tolEzgA_JY>}CRxBZNde!dGBC2|Zc|M$4<`9}MbKoWGO!1} zGiQPcr@doXnt~|#!M_lN3Jc)ZPlomdNY1A*OMvx@qN*tslJ>d#kC~$JSF`8ECXQq5~V%W#?hKz`m8BhwR(R3;)5nw zwUQm0{FDg>nt8!-R#Hjxs0vqP6Tr->O?Td zqD};JF6u-y$D&RIb1v#cH0PpDL~|_aL@?)~PDFDq>O?fhqD};JF6u-y=b}zTb1dpa zFvp@!1amIxL^S83PDFDo>O`=@IMK!nT6a)lEt-w*Xp81p)QO@w7j+_Wi#pe$RTFh0nqyHXf;ks;B3g~2&OEf^QRf=ua-+_Nqp%|F{wVRl zYC>Zf7uk$g)0uEQ8cs#Y){#`~oDS)f9-q%F5OO%4T+T3)u#||jO)O{f2{IIm#!~c) z_QMm**u-szhneZosgcoXK%ZbnM#q9M6o3;5@a1u4^7vE^ZAOO`lO0*K!%Im$8jeSF zVqGj%u!^eWdbQS(a3*XlVI$XMp)5 zuds%f={-)bVKJ_8y@f6hhV{}$@)}$t%S^<5k%d^2F|w{5UCHPvSg^_9569xL;4%>> zoyLs}Gch-py%%rxUb=}i9GW7Yv17wWCZ;*HaE82r6NwwfN?6h`o*<3zta0I)nwbfW zPmGU}CgUCFk4b*=yl8f&IXHb{eAx1}dE?ctVDb+}Xs-4xPTjHDU(Vm-eW+nQMQ}*w z!`Fj_MXD9*k(+`q@#!g%2UGUUO}%ajwhZ(Kn!=}Gh`UY%5&`~0od_fXJjOZ^NCfz8 zbs~@maGvT!AQ9l<)`>tOV5X!_1QG!gP#5cdM05C*4@{!YL;#t9R-(>?!31<1btViZ zz?Y~qVK4#iWt|Cw3HfyC_^g~4Myz#XoKmfOvLGcKiF!z$ zW1=3C=a_IPB%k%Zdvnp`ax2H@wxi?ZI47-{Bd0UtTc<{*jv4VR4Qh*L$_0^AG(R43 zqDXMUiZN4e**k7C2cDn(CLY-JDM$hw(_+bk?YOKY!?p)1Oa2@0qs3#~h3e4B@`4%v z^0disKjmRFYc<-y{#u2XRo{RAiNs)`SS!aC3vZdX6moa00^3nGP0!@)qu-CO# zbeMbZWvxm&IF^TfPK9(x8mmEp7Zt8m(W2}1=onez29XX}Ku}vcI2HEji?yOdafptd zEFG|npq6ytkp-e8R9jgL>kvy=2k#IaFE3K-fUc#sba0XkyX&2>j-s{$D~qsZ)lg9d z$sd3L`Ri4d-`-8>0H33lbQs4*;7JeFHKk}BoU+C`R84cpI_`^6I=~sNB^`KFg~oGE zG^MPtmJXhCh>i;pN(V$KHKfBhh((blxq9nhp?k_Z2Y3aQMRtr1W`@!MBN{cNgP!kE ztrx}m@w_&*Xw4qb!Ld$NmKXO>I$&JmGc|I);frxMxvSMRUsUc<*I) zFwh(^MmK852R)hwIv1gOJ~%iOsIa!Ek|;XWc)b78Udjiw9yQ}5d$H4IUWvTD`-F9WCHBBoZ;gK zuf9HDw5L^%eRN;BJ{WLEa>v=0_{<-5YaV)wcj3vq*)w?YXgl+TC-c(tdGRXuf*k&m zakO!_tLHv3(I8G0Brj S^QDq2rSHw-t@v;Y&i?>rtp61N diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_summary_routed.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_power_summary_routed.pb deleted file mode 100644 index 4fd243cbcecc7ad9be9fdcb8bd8492a9996ec270..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 723 zcmZ9~ziSjh6bJAb60Q&h(MnJMx^Q;(<@#oP~0Q8bVgAvOxj?%ZW`cjnyLy~_nc z4s{VLA+V{e0xNccaE&&)u$U@^xQ%IIVE+N}8k;z8PJ_6`hc7d4=CQmvlq+7iSS(Df zkF3mz4t0sa4ZACuBn?+Mrm$lW7E;R+0o@+4f zsk6+ca*{~q__pCO!G|kExTH-@Pq=DZ9<(Y%xzpr)H95Q!ovMaM5Q=NrvE=NTYX3Mw z?`y#cq`p)ejZLg>%nZg5s#KEv1fIVhjw947XXl`%KM4j!Fx5t-&kN=9poGwFC7FgC zUWJ?~RsA(EnE}HxnAO4l4KTO~rrO|+&e~`m@<5v`LJseN*?qA801PZJ)kY5?541@O za`+g`+F-u}2FqZo9dsclD`2pS(63tb6i+Qbi<1{PKl=J(_7d;!udM|e_~-LdHF|}i zFy6#?arX^Iy|);}AMnUs(b@ZiM{lv_(z_TRty9UGoT$0F!dKILvNhcMvW3SNq?)^I zQIj>8nx-RMO1ve5lj%!&A{xZBh51NsZtF{bY~#zb2NhR_6^yrhHFiTCbeNQkH;Mnx zrKWxZ@mHLir55v@^%NKWYpNfFZd&|%rAJ-$76}&?m3!a*{K^hKS*LAgszz$v9`>Ab Oh2^V9DR}QY#=ikvW#WGT diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_route_status.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_route_status.pb deleted file mode 100644 index 933ea7cd1e7c9da2b73b62f740c4433094f71efb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgQUR%c?JLrI1K0j diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_route_status.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_route_status.rpt deleted file mode 100644 index 039ffc2..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_route_status.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 106 : - # of nets not needing routing.......... : 58 : - # of internally routed nets........ : 58 : - # of routable nets..................... : 48 : - # of fully routed nets............. : 48 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp deleted file mode 100644 index 1df4a65f0d1efdf55090d0868653ca9b28203677..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 240118 zcmZsCQ

g({9_gZBE;^ZJTe~wrx#w+O{!m+qUg#p8o!G^_}ytwUewTRY@T?RjF)6 z8Bj1ZARr(pAW_$9)eU3|r6ph>plEO)AjqFtQxgXU4}fj#qF!>=FEohVi#J+k-Db=N zV7)W$^Ej+3H$^Odw=48uvMQ^@Ur2P(UeK;;-^dwkQ@>7)1CEHwpdC;Uns|Vo?rsmTwgcf|0uWrU#@lj(`^9Fl5g*Y^ zAmr0KDuQ2%jQkb;g)`u!cTKQG-odeDm@0@sz2loTv<>!#{_-uv9^;xqWiuLa>>qFY zwtZydWLQVTv$1YvHWX{6D2D%gZN>^*{@NU6iGIVvT+5}yWB$A3YO;f~iy|kB=Pc+b zhqadRhKBagoJm2;^W))P!xbFZ$$o>ygwDov=_sPGif3bN+5VG0Uo+&OgCL_Tz@-u~ zyfw`3W2!pg)BhrXg=@M|*ZWJ%Q0c{?CMT$poVwwaj`DhTA0Q8KAd%3t>_)=^D|HI% z&FV}8Do1b>I?UKoH)L%m3!t0R$B`xDXk-}@*_x1FLOcd3+ONl}-)7(qobwAU#@i=W zA|y|{JZQYJB`rX0j(cl1PNIB_5QTjFBuoc5JzQ|`Ts-*!DgM{VdwTcrnZW}AF~$M` zq5Pb@xs`{RsiM7=or|iOvkQZnsrif~75F(kBTY)9$ZQ>K6_eTR|JA=+ncM zmYK49+-souaqI#E9{AO1`JmeQOQS8h0~Va6JA}I%6EwN>A0rpEhQ2@+d&FkGY}G)Y;3Vh) z^IwbQY`2bk*7X4sV2eHcVh><{-UVdyh_FJTE&U;?ryXBT+n%s>b7bd=*9ol))&JtY z-s1crw6OH|1xEcw&q0`hq)+INFFL|6dy3Cqxj++sK$|XFIktpW*gwT)XZ&ylIXLFj z{|rEkB!v7W-L-JHhS>zIq}g|@)e%Vmlx=D7Jk=TG{?PP97HRYfl%8z4M_(9XM;|9~ zc2VkzSCf6Qq=MJqykf_&C-X1jyU3)$?G?oKliWQ&xAta zpuJBgHiND1z_)uV&kJP{ zd-Dc@vxLR_zdF_g?0jq41X~OKR=9*cf|*~vXLpeE9LMh+IaKi1gdCYdSyPHI;Z&o_ z3IYn3beVllRu-le@OZ1GR@Q-dcuKnWu(+C^8B~({2=x6nNY3#R#6xVDXp6-C?{(9? z5+S>G$Oq6DDhe6LA#GfwJc(qGkAk!OojpG8fI?Mu&{V2=XQ}s>NMn*YZu{EP)8Ciy zAQUeA#Tvgq{QUlPy7PD8d5rFVaPV3AJ~f0el@;&}YCy}sY?370%#&_(ZwtLhZYTJn zFvAGazkVB|1!;RYr!bvIit5G~VN%soW7o{LOoU_zH&APrK=@_KD_=g$+Q#kCM|+^b zT7qu#P%4G@>|n~87b!uVf8?x|OU*>S;N-C&;v%*)%-DDntfxaDZ#z8Hf8yH<>d|zw zGTCO=&|iP=*LCB<)}&%Q%VT?&a<9HjtiDtRck2vqS0g;@V|&+fuOg1GBGLqRs|9UW zz}+_ps!I?~+-u^y(nXuNHr3O`E-4K+{8E>|oM_O*SL3B47TEMX1Qwb$O|&fwv7f9v z>CZ5#4PZ83b+($U(-6dExoqb&U!~2D!+zE!V7Ww}9f9*^NWgxEp&}c~eHVx62I)y8 zVT3hxeXOU8Us4)r;8d5uotW3eH=~0!adoMui(XP1+T>6^M8AzK2)|Bu>PfVneTY3& zzhE56g*6G&lfZTd^Ja*}cEbm3(qHNyHt+07;Bq*P+IeI*V#Stj51pENaO36FZ6GE4 zBS0MV<3orb;3J1miz6J?)N+A3#q)@4e>(W@g7_GK`SgPHb&~9E!2sPv2E4doc-R>; z&vG-EOR!S3GW|#(Y$RQECQUY4ab)fc^Br$_Tx+Dy`~Xv`XAO?t#dyPoe6H5i4Ki}FwSNSr z);C#gXiL`GBKCB8Yl8r*BRF;7jSD(n)x2f@ym>4~%*B)Xl;n3}jQq)Pv8`S;9WO^Y z_wlp2;#6O`v$>=xcigD2C#d%ELFrPXufm2KB*4Zoi_T=sD>YxN!qWurkNYyXB3enPuSU6 z$yXO1%?gVJ%`srGiPUFUHz^|DH7qd5oi!+WlRUd=*HS-WNGl{2>DevH#U7U-Fja`q zsy^m+!K8-v9~iJ5F@X8AHIif&jrs@IvXWzOFG|SJr8cRLbwKwf zS8e$(J}SOdSni(6z&)^^Vv>uC8K6Z&Jl1n6z0pMOpUPldY~(X)RZ5;lgUtuf$0hGJ>D$Ch3g>O-O9ub?cB(4{(DVu(a@h8)!y)j z%KRGRJZBs?qKj>J_A66?XBW%Ltj?_bJT5 zWqYc)ukaNw!BsO~KS(Mel0-aYvW*Nmfm}IXAO8od%Kl(FxvY6-@;4Avh z>G@0A|8P>KZ*9)!Xb%sa1V9w&(OHKXGo@M=knEe4>SfKF6+DYxUNz~foYSY|l1X%K zmSy8iDG{rnmVL$`rH&dvDQZ#ia`QP8zgA|VkKuvuZcmowuVQF39`rMHKEF@T9m~VR zhSubaNuLhQstaKb1pvFy!Ju_0%Hs@IA$S`=QMy(Ts-sip9iw8Wq$4#^KpNV#=ypX`3=O7lb?skn*LFqJ*UKB5 zV68bjB};!l`WfoDr0P}C7UuQ+j4)SoB6O_Vtn?Uq>tJme0NUnq3x(SMGp|(tNL_+n z{~!K(PqMmH1ZD6I%cO{$Qiw|=Pw9_Zvrq~*W-@tZ)$CD}^+}WnFE0CJ>?5SlXw#CA z2qm~B0;M2XR)E-OjD`{MU0}gFh;%}vi(ts42RQ~xHf9BWE?B0d=6}d0#Ey;y>wQ1x z$D9h4c^5@T#z3!%%L>h`bdE{HQqvx~8WJk~O&&&muLPnx4BndXoTg`C^_=SXm;O)V zgq@SsH2p!4j%3js0~VXfT-z>&@>2sUquff zCCuo0;?h1Qaj7yAIbmViMezm;!4@Hq2~6?=6eehBdUV_|f|FGU=p1Y+Xf?ltgjs2% zdBPXFU@-ZPln|OHX-Uf?eJj~f0#cUUfGfD8d}cNj1nnAe9F%LkIt4N`Rdc0D!3jF) zfxo|jI3V0a^0`2g6dfr0z=QPg+Cl>_scC&da`4v56HBn9jQf5ArK4Rs1?fota1@(& zPF7`RkTdPd2e3%GeT_~LjODg2PzK1c5$8KC8-r+1YvX`kgVlXgfT>dG$_h?|$e8qn z2%5z60M%(wBD|5-esAP|$B#XMzhq6+Ed*_?6&&!8-Wio>%U+eUDb|D+T-;=iyFK46?z~Wr?@z`C)yN8~^`K z%Kp)QI6eF%VYa*P^UlbZycWkYFl@I>c2i!ehk6 z>Xs7q5KvO7QdKqcPsUjcZ&WB{7SM)bZvE}3VeU>YV%0wlZXwh-v&8+>)%K{CgW36)l%tXx_fU$70yZ&?9QGY_6%^)Ev;4Nf@VHY~xIf1h-g)j^8 zTQ2km#h?U(HI+&m!>2vQqurZ3UqFl;G^KAR1ygSGU>b$z@0>-WDe|!y7$M}}3FV?+ ziwbhi#b73ZO6lKmL}3PlH=V$OPPwO}xWeV}Jj)vMV6)p=cHMj(Nq8QfIpED6i{L$F zi0*uc_-`NC38ExR!2$shaQv@{tCOkGmClqisRVjFUU;l`_qJRuzIXG#j6cEw!ByB} z4M+4Kqe(|>v^v@o(_w|mDkgF|Ssp#7*#AgXzaaRpsi!x~_KV5{g~3r^7|_JfCB8rq za@rjBUE^7^7^N=Y6;V>VH5YE4E_S?oU%d2No6v{U4lBftf~CtVbWSWnFx16ZPqbU| zlUWK&zwPovQLlo^;AL30ME2Xx%$igeCKq5Fn_8&t&!jINS38L0-||Sb9T?D zYOx0Y?ufD^MbI93ueGx3u`o8+TU$g~n>icHYfqV}X$p8RD?L?-=&aS0V@pSCuT=-n z=WcFp?UVy1yo>LJe9>KQ5mu8N&ZKPd)p3AD5c?Dn><#7=+x?pS+kA;u&Wok{RvEA+ z4(?HLc<3Z#tM%3vpGDercWhD+g6b;@Gjk$(nby$?vh11%mZejrA1o{Fp>KfyfNWy} zC?U!_bgCy(i?y7&MxXnEzKTbgY= zjUlOJB9>ssestDk%)6BuJE~KHjeMt2M_kpUR1a_#9Z##AYQZM_DTjuXHW&bE`an{Y zy_6V%OPGysPBoeC-4&1tYwr#lME1Qb@k|510Q<#=3BalD9moxIhY&yqZF?^sxFbjn zjTxTltgYIpCwSnM#^-XMJqbWKsiaCBz}5J@?NPU|6Y4zV*mEuUXc zFtMDOe<-^N+T zCE!T46m7zlnnCWeukb#ZNOEUC6O?4G{z|FoA{@AH$e2mIud~BF#6)U<-Ep_^BHWbi z1UqDJtTOI_ya*EtDLiGyzZ6H!K|(nXxQ`I?NH|6nNh>LB1KdN_6J`NdT>|G@^c0%? zT7%ycef1bsA7M)Ek^WqJ#88Um85P2yxTutl058d=^1WQH?w}900LF;d6I6t4#NL8F z7V@a;?zP?GU(ay36Ms+f#q$RG7adR0Ng#Xq`F4#2)ys~%r}@pHsR9v`;rVj|0>3g) z>zMSw0t64heEOkAg>+cgc6~wGls`^~xv1nZAuqSLPYeOh>zonWQh!d6_bcQy9DlnY z&;u^U>&4L1%Rc%mt>=QnrylWLs^@J->tGMSQ&8@5ZuLT2%&z!|y918n*Iux6mWOIQ zjf;CZqK~_m)V}B;hfl+fFSF>S;fyuSG7dFWcSr*=e*q>{eEkI7&Mh%$L!a#CWj8CbWy_A<@gj zorPpAkIz|D=48M-;w#j9RJb86w^eO4PKsuo8<4IRyO=AP6B$we%%)U(gY5IU)Ig1O zcd-Rh{rn;5A^6hZ_k|02UfCX#3b^V%o47^k%dH!|bz7mqRtn=;m##QHC`jvR#kaV3 zR2V9vtX%c@-5}|&-D63UlUj!7BmRLwiigq0V(3JPJkz(XFFpBI?t_OY=m@PAbUa8p z^FR&~+r_fg={liK0VC(M{n20Rxo4M$| zqX^`jk@}}*)lD?a8H4nMleM*wgW(5Ezq-s-;JRVvx#RlF7+(A!2PEf_lI7G>dKI{P zrqr0`t+^ z^9^Sjwe0bB0d@<5&Ik>wW%o55DL~SgNoCEBpR$}B)J-*y$2RS#P4BH_V5G8>uuANFm2#afVRfYwrZpu(l`CA)IVKV z1|A{=rnBVOI;y6-vMtL@Sm{;9lc&$s9{$D%dCLck-0_s&G?Ru=pk)56lCD6xd{>`dOS|+2;qA1<^zU!fKwybV$!P7x!_+-7!R+ z07<*AVaUuHg%7N51$*KUf<)yU+sr`nJ))X5s}!#sI55cG6zbFn+5+LV-0lFafT70p z?mLS)H{nyQWUZW7e)l9^ezZDw2%)3$D+RVDSjC18O$qPg#P0H`DacNMq{sG`4L+=8 zqLldS$TSx4;g{+202?O)_#OfrX23T%V_O0Auk#@m){dCE(#pO9Wvk>|?*pyYXEYrHYy+_ zNE1wS5p8fP+!imC4k3O(Tph|{kS3YckntV43kOqVG8*avXUQvb2P*a7h~RuA>b?;r zsAcJWl)qWt!1Rz^@%Ijxe1s2c3Yj@jF}iV~*uC zO~W=&jL4y@^Bh3O{Vspd@GXXPQ|Fab13>j+Qr(|w-w+6X7X88w{%nPF13CsMt3pZC z@tnF@8XK}9g_gvkdP<_eKZ|X1F+&9ZP7uz$vm|@Ni%@YX?)yX4Q%A)=!GV=`+GLW5gsQH(0?k3|4+rp+0w|tELF$KL3hM)`z1i{QGfL>T^z8&Ed+GX z;69Pi9TH|VI529TawpKxz&*qt!(=AX2@(^Xc3H}XqE#-c3kOws@(8E#c1xX>qNq#b zR5Wt6M3dpTD$OMHLFJs)Nb(Y6%m+$G^Ny3NN#1@nKe{BI&u+g@xffl&?mq9v`c)l+ z{c!o5UWcz-#K%d7p59V7yS?q9+`CX2SPKjWhU-h}?vw5J8=mhP=X5U!NSFb>Ay`Z= z#jaK7o&BMq_w81Xmzw6OdQIEt56laVYLLfrH@cRaH4wHa8}V|cGxFWzY=f>$eNX|o zFArW0{T$lmkxG@3iN>e;`=2{vj|T0W@;ts)hc5yMf|<#pHix^*{;g=OTTiDhw-|zx z{K(Q$8k48;aSzW9j|TKCPZ%>aXQY*Qo1=4&_OxIy1&uO#1MCSA*cmp{w@J|396MY( ztH(zR0%hfROHe)pt8M8;h;d0O#q1iqOqyn)Gz(CA(fe6zTdQ|Gr>>>Y`@X8{c@_kK zGW}^*SX;=fL;ezkXYLXbab^B*_q3>HWydbwnt>&cMI%0#jyOWk(-eF@^%VP5cRtlyCQMis)_`5_G=IlxMitqgt}Y{+gSC}z4EYk6Ry?#PLVb3Y_W*Qw zbXD!Ka}rskZu>cX08+W5}UIu!!ss2SwdA)e)csZzxi|2@+ z80|CfDoUbKu|Wxt*ghtiPb?ZwOl~3F`ZdL4TU9V+7-m5+GIehqs+C*uyJ||+d?~wH zaj$$#+fkQa^ezKsBs$PTf~dmtcX&l%Zn?$;YVlc7SyFgb@EkvLX$w3T?s4>LFWlq= zy~LFLS}hzNrI0H#r2Ba0A8%TbgkV%&dYwQ}E6y>U5}GH}5sD)t$EeZ@)RNlLRLi%w zXF*k8$-jEM#PCL#JA1j>M{9Rvi=IASes;zr&;o(gYuFI5yusC8fEsSKq~BZv3&qCG zQGvg+c#!kx~Mq1M}f@VM6A#Vf?Zv6*I>A-dPy{7Q3HRm)PP1gqBeAx1WK(s0_Zb zeSBwbWpVt{S{0pHVFkiHg^V0jdvZhbIFdGL{DF)AA|JV6@LQ5`#ijG1Nx+O3(D{re z>!N$H!1hX_oHM_Y$Cp~oO`*xCd1CSHmbZ&3^Z00%(6T05(u|wq@bBM&(E}m$US^_m zmbWCS-R4ui=yvN@IANz>I49pfjQ$BQxI3Ii&mmk$omX;2(HQ35DwLg;Lba)46v*U|)-uU8yi7JW+h&3#o~MjRfP?E55Z$GzZuBvHp53tyW)b56g2PFW8&j-Zf*Q`W6!P6sY0KK z0Nv6R=1!+Y68=Jf?Vp6{Ukgb!J! zFBs7mdIut+J|p7ELudf;zdyWC zODNxWke6Dr;BYn8>v{Y%FrShhcI59X*lgB>I!kh}didgXe^s+MUb$+a4@nwtDxvN5 z32e{mwwf2y+;>!I;Q0YB($HlpS<_`F*;PiCE9Z2yfG~z0eR$rBn^aOpCdWQDZ-JLo z*=r_Q(`zoduOzk4KK3-jMYh6kBgydHNPJh6^g5lvdzC=PXDnIcyOBUvm_%lqWb?fr zH%3X1VzZlFl*G!D`|&i8*EI5TcXjU{W?DpM^~EG<8J|jq>z!S-_S7Zf1X#mK)_@;d zE+f+cBvtxfc-_A&bN`DYUPDeb4VQB|01rRBM-4MQgBO`cc9rGM8G1zzKODBlO~Os1 zTq`5fvS8A8=le%Gk?hYx22he(w@s@2-i;f((I(qrBl}lI=4tWUXNg$rjgMCM+e(s% z2AXqYd<8G*!r%HzuNEt*ri@IFee7PK!{pw|2D9b4Moy{pmckpxz;BG)b5%QLhneiG zEcefE>JJ5kv3mneG7k8$u(Dj+IzFwpFrLn@K^#;Yw`Pf_KUUCpq)wvt{&NssGfD+aERUmVr&^CW91six6#4IgspgW8lb9B@(=i%e%f zZW^|+YtwHTKJ0}Xd;ZzOefSXG9kZn+m3Fx$De_!uSc`JUwet|0 zdyipwq>8EjxkgnrDWwtCe}=gInP-{dLrx?qS~|(uZI5G%ss6sE_y#*^Nl8kbr}I|F zt}V9#;)`B{q%aA}0%pfSvPKj4&~+kN?T60Qc+tD%dOxzvU2m>oqne~{;pV#ozw&cN z4X&=I(H&UtIo%f}Je__!I35@0b^J7AUKTfRgyDxBsVMY1lqSs9ZAtQNUn}^prPbBj z+LRZCUJcW0oqnfY#(<}lYP}4xrx32_yL-~$9AmptMX^~bvvIW{gMRsg6V@*JM}AC7mOjb_w$kZ--5o{ z81!Y|;I_fm1|?DVgW0bK5sK8lclr4V-t{COEpMgJS9w|f#C+3&*0E)O(KtC0{8dk9oY!R!E zQ3?ce%zQ$a5=}X=^bmVP^u>@^F`9Z22x_6K7YXET$8jP5s}Wm8Be66(r)c^94`dBp zDkBn|HY|^LGwIk8^tD8&RJwy#qM0C;Hsi#6A|_BT^1>7Yp*0$eNoD-Oj?p;kQpm+` z)P<^yu1bX3{njq+loq$^@l<*bdaCHBBGxYX$x^)Mk=XHWKkS~-Sz4MY3M&4;{N0oY zm5tasOjXEk-{i`S?DF~l3|PB75Lw*H2T^Sa=`M!LYQ{!ML?~-TD$j6~{50i`Zz!+5s&RcHtti9CQ9)hAMGv*n*4+q^S1j=(oOpYt;$ilnUKLIQ8bA zy;|yU@V_FIwFN1d;2o3*Jv3usbR(3{qBH8T_6qqA2dq_l^S=zuf_>N-ITQ)ke{iH| zign6h44V|@Qvqp}c&m%(*_S+Hw&f3*`-co8k`iSak`+29=z9uNId>E#yWb3})M5Uk zD9I1EndljT+_dHb%MTf$axONSB+#AdoPE3dM;EmpvptenJjja!GShL(5Gx0)Q&Nbf z&u}tX-`zl;jN~+98*G^)|8Zmv`fP~#YT~LFO+aK0+CXKp$}|MN)m>w-bvY+Wb;Tym z91mLN^H(8ODz!vY9sOMdOnU1rhUe-mPAV$tAuCmoc9bFF_FF&3LKHsgL2?D}0NQ>g zezXr1OR;V=oyWU|UksNsVxvJPkros6T7&y}mS*Iv8C&6uCSJ8cEbYpT`D7%c8QWu6 zAi1l-XgdGv$NHAArPC;}>D{9rb8Uaj-S}~J`;W7-76})wAkIbXHDk{`B9uepLCiCL ztlO|x8o2SMig}eo0-wZl`4Nc!BT$=2`Up-~j$rx6Kd`_s(PO>Pug|crLkk360C%@bLOQy9fX`r4!}T{qo&@c+C_HW`|o;WY3)D^7Pqr={-kVXlDUggw?i> zat-hb^-Mm0`Zb>NmpYS^+F1g$Ljxk8!?ID$@4A?Cm#f^=INw%POO<({oE~RYMBk7( z&@K@(rLhPiG>Rhr{E=#$6*W~hB_)U&27o-{*(7O{A4~{boVb9U=M@YQ-=I%U%jo>} zswAJl|JzKO!j~H>RaPjUSH4?;uTVvUj}r0pLxWi?v4QatX(%&^1guF6(WyX6Hd(vqd zC8w0j#?KQXa2D~on)e{BoYUFMjMr%SDM96Q^S%X5sB<>*>Ovisgroi@_csO*2CJq-Bb$`*X?`BeE_leVLlSOt5IEJvm`#+5ZJJ718QidavDV zfSZs8OGoQfWd}Lk6eWUD(K+h0@3s)#hfNViFT7>7Oi@AyDiq(&X;~0xr+?W>2WEO@ zJS=J_7>sW`|48J~t8&R=cN%*;X*Ehbs$@HygKI(qGuWsP4+E7BoiivmKULC^4g zNZf=1s~U7aF0~1AO{m_R{2km)(pLK{me{RxwRs+QK$bu^j1#M?Fk|9RzT4OQ{^sWk zLxYDi&9G18Z0%+Wq?QVEvEM&4%Lq@D62?HX%^Yd++tL*aP2O~A15W>vCXYRkwS@Rv z9;9|}kqRw2SWkcyTP5L%xuZ24vjV%Sg66_E=zm{k8DpY}ss2=vSF!%rDzdYSQLHAk z2l^7apR+jy7xV-i(k&SYKN6FA{un70m=c(16AW6SGI-)tlv#a4L^YuC#v8;m5DcaN ze7-_0I=^kDLd+3Z*XE)|Z?NLAvgPw+`j9!Y;K}#j%)c9d^J~7B9M6~Tbgp!T>}1cQ zs%YsLeLm7e$sND?CQVz>^;6`tqQW!mOzir}CMIgf#`GG!I*+3SPOt@uVdWc-M=nx! zhvh8txwB|>iG>mI1>;O?6Xu0s@!AYt+H<`W>{h$Itl|j_g{D$EtrnN9#Q}wr9yyjC zzr$6H>6~tZ6oSk4UuMITXUVl$tQYfV3x#K-3np#!zLyb?kI40!Ac*dy)W_rt6qL76 z@l(01MY!g&I9MC2(Gf4 zd@CBsoT%0}f^ru~Gl-Ew`cghZl5q3V`_RNpqco9}VS_~FWVv`K!8u7D(vpkRa`2HS zuBQH(>7V^I%^kc|=h||xl^yCV{S`G!lXVp~Y_wJ9gXZ3m;6FGgq0GkBRdTU83m3(; zx&}V7LwzMwRIf6WSgEv$lk8ku@5Zdx^6PQBxr6v;qb)4;;Ho4~>_tDl#eXs3)P#>pp7b7|g{bIlwCIdCw1E6i7vAyHF}f4}osJ86nBVebt2#en)8JPUBw3Siq@rUYW$Q|&v)dj1Wtml`GiZB0 zM3Hkyj7VtIU=egXt&QsxmQP?-MQ=A5KEYS!Z+Dn3-JaeT)AskT4HT(hRB3Z7Wg}i-Tcn-8W2QjBY8hD-x-!pJv$XMS zbzbAB$vMkq<8@tCTps6I5vv1hpZo3!<6B|U0q(oMr=*jSaxg#G`iDV@2iFx%NOh+{k;*Is-zJuGp(RuxNCB91? zY>cQS$(8#6-RBxas-@@&^I*8+-M5K6K{b%*3h*GlBiSDsl!>UN{6fBiAC!r-C;MW$ zW8Oa+q>a!(*%AFf-OrBHK(3|G7wJlS#n^WpY(@D_d9@g9MgHFGFOPZhw{l7|+Q(z( zf*b|E5Aewcq5_KwFwO^~0v``>$_FU}s|c{phbRL-4|wW>FeFt(WCe;9M6H8fg<}P! z@53;porP%v-Ux6Tc%Gi&qPXd^q^yHog}(&0gS-a12D=9F1OLEj!)=3X!+eEzAaoG8 z1-jKSWV|J+LtI7V1>=Ro10nz>04D$?fXoHT1N_)#;8nR$pGnQxU0{05{3VF+Q3w}#p!#Ty5E65x1>atIDXSh#1Xn}-C z&Y$dob*D90K4^jJAO4DG+(jF)O4bqq*yr5$Fs2wLiyY*O@J4wdxt6;`>Kycqct?35 zl_$AY;0<_1GbWa&kSFF(^&s*RiR4VDV^Sg9?7JL}yh2U$$Rm>uQt*;S%zI4J=XV^s zlQK9vNG3ZwNd}(Zp(H7NB@;{XWmc4b8YAMGqEzDAq7*T$QwST!=EtV6@EUZJvm#f4 zk=tl4hOYvn)4$b~W?!=lY6?CId`h@73^nWqn<-BT;A%j3KirGsZvdymt;(}yLvFTh z?X?A_1>+IZ?z`_xD#oV6y;QZTm!+-)ys(b7$c=&X=tcb+Fr*IU6LG5R&rDK4FxWH4 zO)?YBQz@2lafTmb^2xH7)E9(>r-rhuCl&*yXZu5ze-xGi-ZY;O6`+o*j6SO^Vhz%< zoxn}{G&Gfu3%`am3n6ljezn(vGjI7P4ckh+Ys37HGR|`o=(bxc?MBTdyV(m>r_P$* z_;rZcX#+YLL9!>Ri|k;AWQz+b)J)2$+3Y+yo_zjA;d$8cw_|XgA=zfN}Kp$ zC|5ST)vx_c43TlBbz@2LO?8oqa!i9$^JG({$Gz7@;+AX?{il0Lr!m~)N+V*aKpc#6 zBWS65oV29Y7pElV`)o}qW#n1wq>6y$q(v|nKB?b@&~_|i5W?vPTNwAW%i{WS+NoO= zml$U5RGoTjZf33Jylx4$>I3HYIOhrj8RG1|A_z16+v{?_gZ7F$8A=)KdC!jgO7!gS zoki`X+zRj8$vSsoB;5wklP?2lMN;RAV3K&-Xp(%|aDE<^$NibTpm+`{%}+Gtqp%?< z58Vhsw%d_ym^&Rx-n3O|m^?M{`<7i2=sJ^I5@4enw8{??v(_}0{xKk{!oMUXQ|Mc^ z9LlnpS`E0Gtyp{x%srXfwZwYee1UODePQEAZJiW=dW+r~V7!HTqXNU8qgV=_BU4}O z(+X=r@_^&;mhQ58YnB>IzvIH`{QfOj|Jq{xi9$evbt(nm&|cCsfS%ESU$dHm?_gGf z<|!uv-(9lD`_)u7r+ zH`c7$x>z@x1j0tAJb)q-wN$sLyw&h$5~jmUN=&elzFe90FQDEpqOrRQ#&)c= z8w72=f~$q~U>i?Id++{k&iZNh0NSpYRQ>VQWuw}OY}&07|F48YENNtm&V{7HMz!;w z)~g!#!R9UaxX0$L*f_E^yKYiY+t$CxLI6Ce`Yj3xhFNv2IV3F$pIPGyAFg+%E#y*Sl@E4M$(r(6Hh z2|RnLc|l#?SDB$d?^S@sB3}&^OyWHRL=?K@m9}G<_cENUFPqsJcVn(Q`ak(MpFcfm(WxCbC*g} z1S^+PQwTP0s*S-}-BoLTQ~HaSKdUUCgUlJNo-532t)9iZG};-8YX~4!xTXgpNB^~Y z7c<;hdzY@j3|-ID&9For**p{CS1)K6nWtubsu*Y#HRDY=u~jZr2{zU~bsuS0&R0n` z!e`;HxU1R#ipW{Fa>dA{^OGwt$sb%1$1j;Q={C)4tHdYn$3o&D|&4Rt2214Z?A_@glL z&G`0gSTxh~86Mx`y1{Zlj#A&HGPdt&Hv*nG>JR+iF}S1d=4}5t-|)@)H}YD19iknQ~oHpd)7+!j<`Xdk0<_Oy^p*=|)PBLPdYtTGJThWvV^AtvZj&R(G=B8aA zpBu#~9Daz5@(~4-pw#4M>3|&tdDnq2(;XWd23}%ICh_F?AM)0%T4ZAX*1^sWpg;Ma zHjq5H%p)e>Ab}hy3uEj=w-=x>!!6(IA0@Smo!yDvX11m)9?BsHxpO8106Qq`~M9 z=v@-=Q`6hkTq315r=&b)d*DI6&+^q#tq;fBKxV?<7FAee2V{XsyW{BKc$+MJ9faLt z2UP-E08JoU2}b4)poo;8#dUi@7Gw%x(bcHx)AgTGQlMeTjfH9Q{h7e8-ob|7`gf>2>HXh0*ohL@V79|Id z4QP+TU*xMsioDVFFDi7M`TIH>usI4acX>vhkp*RPpsA>tCXYv+!{K{1Xr{T+`uVAE z5Ekq=*#I-*g-B%j{uL&`r=PrV0q}%~H8ru%FqnNCbC|!X5j1HjQKzJIJI=hFrJTS% zfv`oim8?7@YLSs-zJ}b4$1B}yDLfvzi+aE|g%v=q_XRbiutD=upYB9+I}a7y9or}F zVGGA67@_Y56T~E2BcG~PoWTZ!XMEQJb|f^FL*)(k zI3N{SK5yFpN=n^3ki_==@a^2{@pZrvX&vx;W*@Ed8l&9uM38~jty?)BN|wWtfUF_| z0?M=XjnzXTIUgoW1rgX5_-))38z%s(d=Y7=vj% z3;BE`N^VYE#*#xMdc_v4+4^N>dWYxh-L;@1WscL*{p(wO z41qqaa4@&`$w~mYRv>Ns`)%b@O2KqCRP(Z5(4vv+)y0s*91mh2NSbv zBj>9w%w4rL@hfD4SN<^bcN|WS(Y(?ihw6I8YnXy^m~-(&^=I@a)9+|B3UFMQvyTK~!GF-lm^|Oa~ zIqkWa7lh7xP4FYiRTng7@q(goCIrB9JhGH-?|eMB&1wL#{s_7=Kp#=D?9K}d-d7)y zl=QYEpU`!=4w$s7WF-XzUlxnt_}Uzbv4&E|{dc5n=r>C}wT&@_G$?mwWE0z)7Edbm zV$5=x*Xw1V*5_@hT=9*Gg-IhudweIZ92)9%cD1lqenu8xqm;?Jpje>?wiU)x2WL`-I>q_Y8EHY`HyI`2s^vHPh^i0$-RmNp*`v*ek}9l0TCvf_b);)c%8>S1@AuU z>}^Ruc0q{Z_)X3S0U`mo2Z2`yq+?PYZ?NRgz4yc$NI{#N1JbT=qi3jgHGY3C{CS5p zZsh|OXql5#^+Jr->^HIn=|cc*3IOwV+69W?)% zcBv=v{OgNMDuTM^x+X%=f^+W}PPS_OIH+3O0~9iJpL&qkO!Nb?$ODXbVW0knsKT~d zx5y9_%BWNJH>8uG9{L@i`BD_q|4{OV#H-l~;K6AA-3hcguvTjbxHYuxoh&h}`Ft6# z@8sssq2sm(wcc;>V>Bc}FhA$l!D0wfABCF-JLbJv(YKbeoa10zUqy)y5J#6SqGX)t zD=oQP&q}W91}|W$Wirf@8K>cVU7xwP?$*{FBH8jpFGy}aRA<;SbR9io=Bw!XFVqDV zVG9cUnliLv%R4L>VrCWKoY^%NfyLXDGmb`ZlVLT2PSHp^Qrre&=On9uO0;-F!rT6j zmZ!~&qI#{9#`3hCaSO6v6_EfvdGJO(kr3lAdsBW5{j6cBcWgEqoT-7Str zXD%4Ku%i#wXTFxltz9HV#UYdAugjF?^mO68<&~M-Sx=3>mR2#S#tVG8H-6G=?plse z;|cJa2jQ?qN3+Lh&!+Qh8yiC~=NTC6mBr3t2v~)2x6xT}4NZ#0u++_yaK{wIvlwzl zYHR@~rhpDpjzY98rIovmgVb{P;Fx)OF^u z*b(Wo`mGhjG-#>rZSTc3@Xjm4+4;T{{k8njgFYW-J>2?>);e}F&v+QGs@y7ewpm-y zCV}w|-cx4DtiB+Ljo#n|Z#Si}ZXjitVXo-_O(wK`3p2S?lTFl89CLtnMSYOfJpGO} zHphdjfVs|7XWQ>&tT9S2GIk=8{j=r49hqsWW+7K$GJ4jMJjoR_r}ko}uXn6%5h0m< z){(TrtoVK>yhF1Vny(w#%rM^Z;GgyUxeeqdXelL%)EeplPd^^i&I0AC>ordG=Zces zHG9Eml~^T1j`EF~NM!m)2J3~2_vnqkT4y?E&3{fhDE8;2?gkiSUp`x`o*MqFw}Z~v zWq@==Ji$(kuYp_sR<7GC*iG)e4b4@Vw@6e(VuJq0T5~oX8bN)x8h|z*j?jT#Us~nZ zct%$`!^Hg^kKni1C4?aq|B(oqgBa{P+{(O|i2kBG>mE+FIMTw&rxA;1pniff{aV(2 zUZUO9yWg|l?VCV|dnYJfYNzh6RnwaQj@vJ*?eZ%wdE8A%5Tv7@#nhomw+HF4q%aG)btFpu{lndK%8H;hki=uNYk@b)ZUb&a-!Gj0+i@ zP2FAL!Q{=x4mU5856$hADD78#(9KLc>*^hK_jfqSZJ<2dafXg7S0$JF6pMjJygpn{ zm>}tCb!5&daO=sIXX#)ZtGM%ovKungsxJYPsX|*WoZUjb%<@HDCn#tv5#h7Dv1&g` zzH&W+f&F9w0fM^2aO*}XXA^6GQFRzPxdcC+*{!xFtN3Epj(sy{&>TTSUR>+C%vmK` z`Kf5Hr7S!oKZibAZPPHCgoRyi3&B={5?h&!xQ%%%XRy2xHfAdlodqNi-$QZB9+^NB z&ZxKr7qk7yOif2Zgx!;KHX*t9jo1@_Q)oA}yzAFnre)2FVLJWkluB}SErWGMEhuan zk$?6Gg3_UiE!3_RBOH<^q#DMU_jl$;d?ayqPm#s)3}^GWbe12oUz7*{%nP*}Y+%A?$$wCC|)DkXDnNuGr;aE36dSPI(u5 zJPwe`bFBM#LvPdV(})#b0%=vg?d?}w=C=Pz7E$h~m*8hH;sUaarK-l8QqQ<*=>o`bY z?=qB7!}@^zzkgb(jp(CSf#>Q5h5WBC5_+3kS`6jdd;OL69g!Q;wjFFFUWvyZ$*9|> z^u&<=T#i^W7)57H8LbmqY`Nmnh6OLzNT*IltJl1H*0lbu+3)zFeSJY?cA@)}FJS#K zV1Z?JW>#f(+VqooIMH{G+TpS5s56n^KGYecyh9PFAm79>Azeeg=&7d>L6VuFmaPxw zd{k%&GPE3MJG`G9rZ6BOS2u?$7uBj35t2~S3Ju_B59lz^N5-FKWW})KZB&G#{wfH*q zfnTW`GQsXO7iFzceJO6(^R#Zq=WWI&4N-XJsa%{o1x~B*x+#jI*YC9_pAI)*!-Xn4 z&Iy2vzq821~=> zBkWK^H^VMX^0jV17RVU`K_^MIx1Us{f4w^HHM!i;t1hjz!ch zBU?WPuI>)G!domAAb#j7hYIu+DcVbK2bb|0d<&64C9SuEp%7a)$g7}OJ4mTuXdT7C z$Tozbqi3E)Wvy$TPIaJbo=1hFyAzQ9vu==Ffw69oUBS>I>Xh*sLSfA`3RQ2XNbEZH zvho^JVZYcK;kKwd@H+2-EQ1SDuti90Ceq14ElN>2WL|mT(`ewQrv`-P`}B=+?%-qM z=2ha^^*M-oh~7pB(FN4fUJOUaFuRLrSA4D|m{%BwN<;#tCpR4wou1jzm_*O^XPi7X@NGf zyZDjDX)5c8T(pJcwW&{RG7If4T1_Iv(BZ7ad5CUPyy3SxqYrk}m{8d5>m0iAP&C4nxBGWJeDr05X&>>9cULD{3C=Cm^mo@YinMFf} zB*Z@H+7__*X0I<6ZCPN6vSyC!uyeG@)9J#`gqVLjWCo#07`j6MnXe>%Qc40*#hbot zSjk*rQ041vh*`Mc#^+&o=||}F+hIBJh<$r(nkNCE5ZZ-Z66I-@^H$tm5s9Z=#rmMA zRHSun$aNluhj1h(h9DL5=NHlCs2by3&LDflXOl~+7O4Kzq!q^*e0BVC&_>ozDH$Jb zd;g_c6%AJ2i&s+5RC6-%9i3ew>5n-ZJ&76Oegz>G2*{=Y`~h(+@%3J{Ix1n_Blzbe zIxQJi8Y^}pe#}lgAp$69QUi-GtME_Jr?W;k3*FT^#X+TOwQdwd#7#K|^{+JIMt0ef ziDQaVJU z4#7SUc)hF_r=SpEDWi)5Yguj*2I&@|X@B~MN<+(S7iaz5A+9eCZ>1a6wBSP|$9mub z$Pk$uA-hQ=W)9!z{-Y#eCF%fEUbynftT4(W?^2AzB-UW#K*KIuW_;Kgq~(#G&|^(f zY5u$Ni1O+h(&0Cq{4i!|D+($KaUikewq{WmvU*k5d$pBgSvhetb^xyz0hKq&Md&z5 z{EI#igR>|=w`z%Ab$A3Nnp9vQ8y2#UzWtqv}A&s~PjcQY)Thyp)VOe3|*cw2p zbovWVpNsla1q^L}rjZ6JrM`z}?0{y`rcauQuUSKzKV2Oh%?N+ar1^<{6Et^K6qUnb z@6?HPgVvhEfCG-8y}MVhSjS40I7sztKu$pD82xn46qNB;8He*` zgRSxl+0AZk`H$0|lP0^@4y!S0k&}CMmq`gInR=MRdu-W+NdNT_pIthaZ_Rbz9<{z!YP6WA_6A z?O~vHq#p`Bt10rL?F&W}8YQ_zSQi^PL1dB=R!O9$Rn`dJV6J+MfnK4$&riWG0iTxb zc(TuJY%_l_Ru3!t_2^yVhuR4EB7&w26{$O+8fr&=4xBR#U$IjAAhIH;&PHwLWR5L0 zN+!pe){G9(CEJXHoRhUS$ih*IF}k9XOQU^Ls@uAflM8fPwSDHv)!@+?_d+m(&227= zdF8`-bPA!q!((w$=r9Zcumt3oV`xfp;Kq@X8s<6$9SDo?+cVCJ8^y1kmEaINzvB?w zrsH+&{rVkNTl0biJ(o@OOPyUvLAFU>hAOm*iCAc1K4^*7B0aH`;Jr!b8GDHlsLVaV zrl49DA~S_?0I8-WEl+a_gO;IboTcbOOsSGe!+^|S_I}Fk~>hDqo07!!2^;J z2L6=|h6 zdmc=PlgdZS+6OCrBOnq?jnYr8ib!6*qGNz~0lr^RDb6&olI%0N1dDXZPN}#9a;+Lr z&B805Qw zhNM5%mcK%jA#^TT*wskvn?CuZK@;g0DTV!Ttr03(w0*3O6v0HjA-H^px|Nko%=HjL z7|Juzq)m;l=OHSg#KJWX30}ITMS4YeN+Lws5WqN+HG>?8h5K$c!%(qW?IXSziDR(7yBlPt74WZZyc?MCEpOE9bM-sJ^N3hQ*6cMu*OiLGHY!y1a!`0Qm_VPWp^VP14N?E2~Y>+ zT+O>AR;Atb9#fX{t~0&)#-fwZ=x{|QBG2G_vQf5|eI8YbXeh!Zsv{EtVf}Rtmu@aO z*la}Od|8!fp#Vw;nho5YAyX-bPbpEj>o7d;vhmpD0?<8Jq~jCfiX6qElFA~IDU-|3 zkA%@-rzlR+rfQ#)S#O;T61Kmy>hSSB$GUbnZSxUPzYJEJ z9&5nhy)8x*M{>Ggk@5voL}AyR_6^J8?K=ZfFlaELc@-~!3Jnm=2Jn$&43s*(q|2bD zX+SRG{)0#hn3_IG?kVOuln>Pd?n&ez1FoqEyl^V6aq#v#D5j5=8UaRa2r{rQ$_O_w zN|#cw*q3)Ycgj6i^dk2tA`M8F^^d}W{v{ith`Ew>=g$s5^!=oRm$8c8!=-mC!u8B* z`&#l$*It&zGA39gBjKVq$7M#F z<|}}m`F&%8caIzI_|oW0RSbn%O@+R77wMSt*2h*wbMbfBWce=1@Qjq%BL7gmHGRwb zSI~7N!OIB6T_C^s2c|~P!K=ut$R*!l4QAOHGHHCQ-!y%ZejTT9`q;>P)Kl0aV_S+> zfA$rSlw_;x9NCv5&o2*KrSG=)mSQH}X6Cj3mZRoS;1ZeR%ha%SNhwb@4DXq?v7l3c z(E4k4(Z~koc;w|%K{AmlPePDuwYT1L;o}DBVB1Kr+ddr8ol!sD zel(oCn1ZY*oi43To7(i&_wbZceenet7vr*`S)Itjn${uNrPicr3rZ`anEi>i`8orE ztkZV;>fMJSPF&|H?BsE)?&0HT2FA40Qu}KBazpS9f^~`Oy6Y4dP1-5clHsj-o3Q&7 zcGCELl6^IFxnWCN8?$M3i=U5{V}H*siK651#}C3u=2d+|*Evuacm*v({Wm47a}^`E zvGe{Qd*(K&zy58j*coeuLg!fuj&msO2gwGFQ!+hW9A(E%(fh5$(NEOhqX;z|4owIfR>GfgIBm1+L6+)Rfc25 zzm8Hs^PmQOd#7Fo`yiQw_0~SHt(UT9v%mx*G)eeS+86T{DdM!+o*AERWBa9_DVZ{WQ@KY|_Q?XM=1Js5k-R zfSpE2S#I)bQYM|NueL7XnN%EddR03O3b^Yk8bYT!p5Tvr*Ydu5g!d~Rn7b2dc}saC z5iFtet89U5I3fexC{V-3X|2TUyoSAv_S6g%eIUU~Ua8f`nu93(x2Z_thEq=Y#@-HV z-<$|uVv3IC0-vPeVPP#ncvd^gVefZi5_l2iJ(M9g!g6vTJPczqa(czm?OQUw@QOv& zYJKrMcI}!RNw&(>td{aGA|VJ~N{BT{WxTSwr}1vrNKfnR7?fvmEBsNoYHy;hYJJdp zGA=NGD!v*sJRsP+7RpPlbY>=*tY9L%Z>g<;NC$gts-lOI|3sRA;+`NXpY3y>ZEh`< z(zo4ODc?32x8ADp183jto_~^+rlVtOO8)%`_pnTjYx$6S--wORn6ky7X}k1mHppm7 zf@NzzDXT{}F$>P{LCAsyaIc$9#-$xhtCx5I+)Z5N@w8do)!vJc$T~~UzDgnu3kZ38 z-p_~qwWGT4C!H1%Z5kRPhtEjA&|u-}!amrJ!gVGfkKZJPwYN7?EW&%4pX;46_amo{ zFrnJ|7UaF0k1{qiwRK~6&@NAuz70u~HbsD{9j+I$hg{l{HusMtBm1hPCUw>snp)zh zqDvin?01iPbBYa`2a;0N{8wEd>GETm>U)NVW|ivuHp90BPu-scd4I8hF9VN@&c7xt zHDKi$?%62YuIHw8rTVk;fr|EDnwpXbFfdRtv_gJ=isE04irN0E16ulygLo4G?mcC z(l0h3fhV-G7T9#*Nq~^6c2bJYWvq=Rs?L^4kJF(OW%P||_TtU$_S4k`qX2R}ua5CV zK*U6~y@KaqsvYK7y#gX3!w?)6-BSA5boqf{C^}daQyz{*kvf`wwqa{fB%tN#BeU8r zL_(>V=|FeE6jV&hd@09{!Pu;1VD2YDxGGz}oQ^3@CtJYEb^f)gQi;<38{gLq^DvC} z&*k3;6wbfAcTZ!o6Pn-tEoI7lS2XZ7Ly#Qma@fArHA~~s(ENoY5hw6vAW;ZM1QoZK z^mSq{ICke=BYwX-tNHFIhfq8BO3?4L5OrNqQ06WdHxS+X%nE5R9m(hj;j{ramFf;`N`m zy~s(@0Y*mLWJnQeul;$9N;B=>tXN!kF$B_u;d=(DBFTrAnXjm3(iaPsQaM?EM;nPI zCVdmASNm-9UUu(`PLy)b-<~UMFIdKY0z4vtS;((Q_st^23BxurmOm1C$A-ptjQY`Z zukqzBU6 zH!(g)53R`~<=}y^o-}B-wELMIOZzlVCV2%fqIMIfCG0I#U{z}Q$DanyL?zxtVX#Kz z?0g6%hpHI~TQgLN<5ay?uFgJcnXS3273i>dr{|?Sv$E>CMi4KXavyQt)f=oalFl>* zitUpNw&x``8{T>#kLb@l_U2k^yP#B$rDdXW_g@@3wzX&R+zPAzW=lqYEgb6Ydi8|$ ztM_jVNwm$8NX51LQW#$zMyIP8c-Bnh zCAGoL8JmwjGq+ocQ-awfh{m%~ZXx4wl4-U1Y@odQ+u?cd3U^vFt2EC-ibfl-z_Iz; z46p5ji?KcZ{U=94+DnKE4ViP}zjq_RK1{e6EEtpa`riW`@5Ctp2vV{@6A7@$1o(J4%BRco#~MaZmY%K~i-_@DehfUc@A^w$LP^ zAJ!g@Q-Gmh5XP&0SVZ;HeuC3h0d3@Db)M8ung?HCMo*=ql4-FN`uvd5Cea@pj_=|wx-rzg(aF(TiDI*|YArWV&1uk@*ArgA z*P5S8QrlZt{sxn-VLO{hRM$yQnc|_!&XHS9O(;>N#y#Ypp)c`VZ5Ry0k9LB$8Y=ZX{4*S?O<= zg|P&&pLunU;)Fwe;X!|3xir3(>w=pdeOt9h&mS#kIdx;jRxL)&;c_PYo^We>WVw-+ z>?xY1fncvriO34Rrji4m+1mL;OhbQz%Y(n|RJio}ztdA^fbj?N>eH|I>-i|HKNAD@ z$dm-)Ff!3vlM~&@yb+PpT=Zi75{0%|oZ7;?D-C}-YH+Mq zA7q&ty7C7fHh8x68bWdj>i^2Jk8P6R%kG5*88Ne_i7F* zqD1(j*_V|WnL6JQWY@wEi|Co#+O`9HIb{hP2{*L?|c*FE*I)VUW0CTTb z9BIAJ)0{s$wwL@H{3Da;tBzXwXtT*@M+WCNc3CTh=EGh__cY5S%D)1Ys&DjgRtgOw z5WsOr7fDC_a(0W_V?BKD6P6~=omDzkfr)x1^){fNLfALU((uEo;O}_j#i_k^NMPN^ zi=W{&Yg73(um6mHOEA|Fqc+Im664o&ftzGlh;Omc5i89HYLrG45F1MCIk$cdd(#Sx z@?FsKn zw1M~-Y%{>mzV7eAUh{Y)Zm>A!2jsotlkP-}gNky2&m#Z#!*fo~PX8%ts82DqIRD!! zyxx{p)@NOMzpBPONIacl95x(1d01qUrY;W1q+Bqv*FI`#k_%gBoSjG?GFxZ(glwI!*;T!iwQRhPbp&2LI-vyK$y|b-P#xnzAXLC*uE^7M zf+NZMw#vtYfXL}j5b8xLc1z^ z9*eqeN#7i53@)c$oMJ1TCKvt6B6R#TA6B{?otj*+FQ*>UKTH>=9&r3bp2iYJRvZ#Q zGn!9Wf?r#15mJDel?z_L$fvQ0Q4UN$ZYQ${?2iXht-4rP9Ha> zZo?9I?T%+JD|R&o-ggHbI@u#UWrsF0T@$PqU8|3$_jbC|ei_R>fIII5dhaHKs_;L) zKbT&+um@mu{-y|>+}Jgp;Z&M+yPjjZxYhT_1Y{qkL#$?R=P~zqJBRGo<#l)Ta&vU>l03X2uwA{r72fxC)b4Wby6AQ~ z)>kftj(0lvWPbW=9po6WZsg)J7^@cjg*8R|X_eez^d5J0%4?>B$_*W7HEmIX23II!mYjkB$|*kAsGd3>)E8QrR?|b$_9|2`Z)e-O5e(x97e;;R*s{Rn`jX4S!_s z>QctH_osFlj9HY)Yufks4rbmZ5PZ)#lbrQsm94DTj~XYxUSDs|jUAkSokLPCHv|Iq zt-bcOX9r&ha2rc?6bM{rf4pqmZ(=MsL^jnEt>B%u037i)9y{8^tx zJsm_Itdc{;x!s8KKIgo6Ity-gVO-wvgd(&zoB8(m3P;60elZ z=|unxd8cxdkWHUbooN!Zco+{R(ir&B8&8Jks+?^q7u}Xbs%;8T;s8EO))p%EL5N6D zOO4JN4f?zioQl}UP&$&8c5J*r)Gh-L3bvfUeR{(5hP0Gc8NSD?Uv-4onSyo?=59w@ zd~u8DyY<;De6L{*M)-2;>(S2R0DqrGA@|at&jK{ixv0uGid}%ahpE1?x$wn3f9G?S z9F(fu0I!AsUti}FY6rI`m?UM~gNbL?Z5+mx&V|Z%8AH;z03o+z0zVsTZNEb~#Dik! zm`uVwy9??n1xp~bTUp(EPO7bLGD$8CSHTbxEyhdE3$GV8i|qpbBQ=n5w7Pnj3ON_X zJ1;I^jxWxjY7J&D%RSA=1bWDYP@KoWePYFTY}M1n+24I(Gx3jJqMYu-G|3zuwOuRl z-9e1~c;w!^&Nw-^!~5H=eg|JE_OZi$WhEeo1*g+ILQ)yhqpN}4uv6MxkGBp<*=+rR zmC#nW6sh{};CzUoM?(n*AF&>2FdRl!R2pToKY6lafrrOSnM?!mF9mz1f{rbh36i5g zk3@X3cBAUo2GiZAhzLila`~Q6MzSUV0Bv-MJU1lx-!gepKQaSurcnWR3ta=? zuZ-!(&F3Q00FTQp)Q{<&+Xb({H$M?Kzb1{mDHTBd$e76L>v2L(=<|rk%l48nU|g%o z>oU`(dpd2SjVf*8mFW>(W+96IkxkA>HByto9xN=(b=PW+zmjz=s5y_)L)*3A8+yL?{?mI zyZnS-Q-#YK89baS1hkLsMXz32-vCG=aoeb-%Ly+gAADu`K37|P17#x5psrU`62SqH z3nA|-ho|eltKGH;(kq`lzo%Y`8Q8t&pw2B0k@qc9P|8PC1?UGZ?S};WZlG-^z#G8X zecN55BIMm0n7^IFJk#(tVDW6|5A1!LsLQ@&fv zg~-R*>*jNnPRFLtlW^9ioD3)dH!ME3<7o8Nsfgkdm%sm5fVeF#UXa**52_?y@ZHkA zn8jo7ofLn7?*HqwuV znC}G~axUa1>bkUyFr|Cgf)~FlI3l*A(K2ux*Z&{N=Mhm|#*<&v&l@~O`!9;_Xmp|^ zzVlAfopP`%CH`%fMUHso_zP&@pBy0mCkMvx{5P5EGR8jS{Pm0;dx1~UV2l2X3E=by zlmAE83L^i_in`3hMrFJpxJr8RnO~IUZ+Zf>=*N`nE@hmGC`qy9!R0~K7MJYLOgmPS zDu2^PQ$$Jjl$*Nu@tmcZoI5=$>`6%V{6iH(d6RDJTk77em>i%0A#O8&uo z0=>6^O*I6#&O>}1*hpe>L?N^ zsE5wOvTR`y7krVhAp8enEhf{hE@Q1CDI@vz8u-x;CRN!$B!H0kxp5X;V#lN3+>259 z*;D!FMbL@Sw=ZqFDLgD`%I-`49*ru9%kT!2QGiW?6Adl^aGoP00jLip@n=}?-TvSt z>;0#s>*4;%n&q{myX5B{5#dz+`USRC2Jo3W|6UrE1r(?1GTQ%ma`t*DlnjRWyxD-u zE+73r7pp(T(I}4s@$)d|9J<7S}J(WvURUXtq9AT^H%5@D^M(=5+yLK1_;Br`DZ zpHtKJaHR<^R#toEMcroX)NG+Xe%gg*{N|jmAZeZ4G_Uh9Yul@_@pVo}+aj?Knt3Ku zz9@y55qSlX{4o?RH5;@G=i5W3LrO`wYGR1u%8~jX^Ki# zf80q{i0*$zlB-t@p3$Sf;`<31yHPHBW~CZ@nMz+nveUBhdp-Xy*1+4rgzHF<}9HIg7jKjbybiFjzy5< zc5f;*d(x_WK*?lA%`L=q1b>K%PeoqzXny#bTTSZF@!zn$&sj<#nW^7`J4s&hyU4f- zTtSha^=x}_nUJ5ze}9s`ZT$`t>3!AnLI?&a2tL`T!@LpvkHzNS zK?(*?%`LN^&{04^4q4J*piu;*1{ zI#v_nC`z;L?yldGKQ9{i_UvB`QJ%*ER1xMzw$#Eg+cRcQI;?L#NFVg!Jwe+n=1&TE zAolQ{kZqHJD;>5surz$z&mqI-_N4^&<49QO@u5!z@S{231n^||bnX9iE&g;3yxIkR zT+8X4+=52jwnuKaM-aD1BHj{IzEcZhXFX}!?q~p`{`vc4ugIFa{WfD}kAh zqU6udd3`)PSoZIMy6sNM?4SZLDnlHTnL^ZI^^+5df8rc>qWKB9*J;NSQ01A4O%QRL;y0#0Q3ZWsT<0(2F#J(BU3 zASH?ep$B7$pQt6O4WSnS`Xw>7>HV~SWpVPxLkbw8*z|w02aU9l0RCuf`abz2yuA$Q z2;H1QJU61K;M{Us>P)+_z;&0fUpIC?YT>Gt^j{VLREX{sTgL zq#D;swB6e~7bBnnwznVAmk~R!z=QXQ+bfF-8_fJvpNT6z_?`eNiNYB2Y87M>J>5ejc*k9Y#_GCj2w<-8WubNCuc!q&lPa z^N{xLaBe{BiS5rV?eG6;v8Q0^KkaB{x8Ni}Lg@c(0fB{t?;U`V{lL+&-MIU_NAN1( zorgxGJu>wpq5>2KhZ*=ZExk@YlNT<@&E!4Vb(dm(ahv+yG;K2meYlXXQlM+-9+9W> zUV}jHhsPBYn%j%2HlB^QPk)5~)W^bpA4X4e5u{KbPfCD|ULE#Bazs#v8h%*G)Az)`!oLz?ge=zsO^=xuo(5#BWR%y*)ALCILZ+6(~s^#-Ey4LDuD!(NOy zxd~m2Twd+lKJGeQrO9mm-M!lFFnqY5y@01tkvO%<5j^a{eS7o+iWq>dv;8|g@;};k zke1#akwLH29|18R-BW>qDIXx5kN0n&R{_HZ=!=bjyaGbdHKYAR0M3NK+pd6$&=cV3 z0k!oE_4zX4>2ht!eeg$^Qrvyy#)weoi=aXaPUiJQO1_&<{NS3`N}v>0AW-p$zqmf4IY3LWE`?JV z`=11<#O#oTBWl2lN4AcbeZ{zZ) zh5tK->5dCn|8DZO`_ZzE`_YQnbJ5T8vCI7Ci?O}bwQgQhCUJJphvg>}XI}I8j2v5z z2Utg}TY7!d213@nI?RmC-zL1C#V69)Oa$bIh7%9eWTOFu_O4Ea1t@$zKX73OuOn_R z$%sGQpQ8Z+7{W|yzF(boR9&5VNj%*v!}WBN_ScX$_SZONY%jH(U7a?e0oI+LJTAM2 zj8!6*PXovveDTa{62g{GJ9?JumUKXM@m((~CWp)R$i#t%UNtFBEQJMrR!6%W)<9H< zjuGR{TK+3!qBoybf;YAFb&DFOev~x^gLi6MuKtr3!oGhHt0hpI$#og9vCA=|V1!AF zIg3oea*QdyA2ee^7i9W~F~o!gOTXk9mzdAL+t7W?GML{pFuxB7x+H!sm{$Leafx}= zEg78+iCmbofLw6>)`yHiBSvTUw+UUsIOa9@(Otsf<~70{7asWrV-__kVW-CVll?WX zL`*{pCXc^8z&*4xJim9=LF0U+x+U_Mu8Z>CQ(@GV5o4C{Mpvv{6yy>X!V zdC)!hZknVlBldX~gl!oWW;tDAX!>`+(O-Q3IXpn5zb6LW$5tW7^gcZBh|44tr|+7n zsqokt=Myex;)0GFV3R@SUj{cx-ph2{8acYYTNsCp%Lh3m^?9O|*3`!kt5%R1%nB|# z^-dxD`0jr;@@kVoDTs;P!k~Q@wceZ7$ZXnw#|q)wV`2asE_1IJJB1ysM~B$B>wZoy z=pSFg27om9xa%D;F{PwlE=k0=RpN$s7|}7t;x2OxR)%+R&I(%^fJ@eDx%CE$LedK& z^mp~h$cxHsh|6;4Bjd>L7ZJKg^n>C~VY)|EXo&C0(cdo`HUoR*NKxLa&`{PQ zXKsi8^GI^gfJOVIW<{rzh zA+sqpO=Y8oDK~!|+LHTyMycgJ@kuBxlfhh}X!B65DO-$DQ-)-&mFtG)P765E125C{ zW^yfGJcu~+ahwkt%MW-v_Itcg<9))Cid+!yBIRf|`8e1NGx@kNd8J`A@dnL&2r{(s zTzDuaDhEHdWG`gzHZZ(A+P>PlIlH^nFHI4(tYWfyUA(=V+#C$XwNAH;*PM2q>gHY& z_?sN&(v{y<-NZet?+IAg+@A7xRV+;Kcl}(rn*}7hnY4vBi-ofUpf{pEjx2^ru>|z$Mic7-4KD^oe^*?RB`>z7@ z=+f>Ks6Gv-H_(}8=3s@VkN=__UY%yPI9_XK<*dP7Y3*#F&LFciImhFMG(}{=G18f7 zF*jM~aG=ZO#%Z<6^G`c5#I_EpZ2KDP8)YOFTGC3oLX*hstiHa&T1M;ZtJb9{hdz;Y1cqlOlm` z^1UjXkr2%@{1GYF4;jU$b1|a@C^9;Br-?IOKBumE=Jdr{!sEh0B#r z&ex$45O-!*%z+a6r9rB8-%3=?P+*}}p%jpzxXzsEdGh3+p%`Pt9?W}wNVLH9Dye29 zuwa*=IAO$oPEHejv6|^QS7wGAP>5HP`xQ5Uz%z+g%J*EcLpG2f!w>HzfmETFq`CdJ6?n%i`7RQk6ef(JZYr$W>^D}LhuWj`<0(-}vqYIab zHW{E;fxS#{r%oboAH_#oU~gpzM}u=|Qt}!c7qcJEkUQABGd--OCXRD<{^)aX04oi& z-S#%CLkb1|6-1Oq&eC*a%wX<{$&>1(Wlc8Ap`{~l8=v6XMNeDF2X9A+Dz`0yRcOg9 zdSNTq12gvcMv1r%no)|6rtOHf#=u#OUF*nIkQr~Uy(a)0VaNyhzgnC@=uT(&|E~@2 zbpG3@ZOr(CX$^C-UAMB&$=(l(vD`!z*kBv~dxzDc9nDuz@&ii$-(7qmmRpztn@sC} z@9<90qcm(v`GQ%0=}&uwnYHL;G)l@|e?lms!QwA))*cjxr_K3R_X!H2NtW>}h`*)s z*7lfXN)u$9++cAX9K?%ISLl{y^uL>!fI_)QRsvNB4d z{;XFUy$fVtqjo(Hr90e;*4yST)@;2T8wCi?7qz?gw8SBqYt`t{3`oo zGZfD2n2~`3K04Uh3x+7Ph%$#fbsS-r`izK;DZRT`asJC=LoXfJ5UXgDSu&lhWs})G z&HgFZ>J$IOC--!SE$T1v*p}9`a6CvZ7PEVucwubKO2HEUe`GzozNxcj>Qc z;jbI%uN&#cvHTjw)h0x&MCR`N!MbX?5CdQ2!rdKddKv@&>)S6xw2{@}tpCHDN8^*+^g zs{8bLx&Y>=0Tr?Ts{NTPZe1V$vWZuisC{w*%4q{ojnS{2{NcsBZ1NN42M%L#p$=h* zBX;EP95CWK-lih*yi7pn_#8su$h&upgGJLoGG!q(ShDvQjpN(1kBO;a7|odmh{HlM zosl>xLj30-sO0fK<@LB$S&XUC0gRCi%F?U9;L?9zY5pIPIPE{;{VTX5!Vf$JW(W@G zFTkvzHX?a&gE$0zI06SIs6s?=oHN{=reWK!U|7e@-rRdz;vEd(; z#SvMn@R2Qw{rN{6WZBt(EYCGb+e1pGtnXof!6IoB0J13VR5YtTC|p;6DwI}*O+aWD zj1~vJ(Hs?*D>{z+7g1c}k1XW>!^%W}Xt8Y=3W{=EH42%$68~B8jmRr}sc_VW=Lkn& zfB?_9(cefE%_UkMZao|>n&u`bs^Nrt>z}(+lq+uM&P2Qf4JA$;;?f z9l*VSf=LaX&w|+fkb)_TqYb><>Z8fIzgbp^E5@kXWMB`$;RkbHkhDFa-P2AKBcMH^(qg|tQWF}egP*2Pm2UZ__EZ_4nZW94rStn&4Ci- zC_yBXloFEZY0`gm2e`!TVhz7S&<6N4zi$)@w0}Fz?gL>DOdy2YRzsm!_327 zKJ2*PU^;DS#B}DC?xtX~O|2KK5Cvv7tEZA-=91JO1yGE^bVev{DtcmEDD+@B(771s zMXS*ze}lTfUd3n7$A;)3p64tcG*ReZKL76)gC7U)jzGP;@oYD^%$5dRhe4Dp9t`@* z%eeah6}i(e_XbH5a5wZXumo=Wv`n;{FJcNM#pzWo^7Y>z9A}HBEIT`+Bu2? zZBB!NKP7k2KP86p4*={;vYO431jK~Ycn3A0X|RPBi0D|S10nu_DpyD(dL^SQNa!-) zzW@ba&gGz=LlWh`V4IonWTX68Qz#lKwAvhoLJgsQS}0(1@CXnPFTVh6nQ>XNMs`X( zxp`GAnB?Zoy+O-m8S)x8y$>QJAExVPF!inUo4(}2(eP=k7EU~>w^cWNqMN7)>(I@F z(A3&+EE~bbLFG|BLWqXWt#l0?#w60JAqqT9=gwlXGK(ESq?z{|5c7%{omwcZ=WYiq ztb#^Q(Y&ACPGBo2=!4Vx$L!d=ZXGwm|oUv=Rr(^3G&@EgmmW_7#di9dX!aSxhbR|A1XPz)kc6J zqM1Sm!Fot_qx=V?^QJqt<_P5FQ8Mjdho$2g7Xm#d2eZ21I|=d0I5hw#BN7YYoBwIh+HPm_ z)M?-jkvuBYu_Z6Y%-p?@V_aEsIg$La1TbqR!{)Q}#dY&YRg$h1i6*iHbaz(yEo25Ek8ASgODR-J=fI*w-gkxJ)=M z2Iacm@YL#G#)oI53Uz)NF_r69u+t?xSnbM$;`8)93{8n76xa3$=FUPBcLcr{$|pg> z6{^`K_Gm1@u_5UL5`&XQl)Gm$*{?WDky#>;R11=MZh8r8U;3fYYDq;ieO+=2oZ;YU;?N6DZ}Zi*;zf*L(voXNg_ z^Wa9$vI{pOzbWMe6^i932x~N$` zbcIGuP-__+G^a%TMx@QNF(#rc-p2LImFY`z>uPbeC71RBURRiT^3vAwJ)bxIy8EqL zJP9e$iA>m)7>S4-SM<;CQK(CkyB7v=RT5<;xyZJ zG0yDB3b$ZBpt>j2i%`mP4if$;NT`fZIl@(i+EoYTyvS%Ao{)A-#Vd%Zly)pDm3_+I z)ccg>Rb0kK4YLl5#Q+_T*a0Qp%~HGqr50|f5WDb|C{W2aPN+gql1we160Pb7I^5DR zT*#RV6iKL{q>)zsGHZ>Z4c>A&C(`)>LD&Ess89Y^q$h+ngX8*J(Z<$ha<*}StrFKQ zG1hvTyRQh`Y8{P((~Npv7(y5(`Gy5gPj(>uss~sKx(4x%z^?l$apNHo!OxR=py`6W(99|qg{$58$ zLcQ!A&KkvO{N?f^q;pl5u!l)43P9c+9FjhLT^OX_a$yRDCJ5;ckyCDAWWGX{c9YXbC#yehaKCfo zj8z`kT2#27A*!SYD)1m>OJ5>|sK-hMxH1}Kln5tyRS0qN6kIVBuuF5l z436Jd5o@`OJKF%XeWpuuMO>`H=k(SxInv!Zrk6(2P1)g}PNvu-EmjCTyyxe%zml2` z)+YvYwp(LnC=Jl1f;*Q3OaLFiKNXrL|>@)>NIk!ZSKrQGFp@wE-NK3UfL%?>i zwrqCDdQhOo{_U_9W)u6$$#-sHKRECSrq0!LFx8YFB@JT0h#n2;AE=?)H>Q?EWvukp znx5kzL8=Dr{fv@Nnf~PSo%zq2se&tJ3!E?}p*4geQ&-ld6My50b?4~K_o8qnyirU< zz{95)T8)f#0B{1qoCQ`hXi13B57mToHhY4@3yG1tHDX;of(`nL;d{oljhb%EiDDI# z?IiSj19Q0HId~$(kCHTAZ+U4=863wcaKEIhfUAYThNQaiB|T5A>74K-es3*&SX9Q? zyM}p1)N^m@_b!d0dmbgfAoW8M4gH&jvySj3R)Lz3J!5JSRK`HX{Ybz%l!ft(bf~J% z&`EET5y|pvPJW1$?~Hcsk3R)+=gp(~esiHd)OiViqJr!P+ry9V8$BWzKk@Ba_vJbs z5-45TJsHBd|9RKCIm5BFQ;sKz zVOOdugt8JNu0#f8WD;`#2Io59Sn4ml-a*6sZf>-l{7xcGUN=~UCX=TF(rB~6nH9Mp zb@FVzu;jK5av8}LIxt>Cs-?3WIV&-@zlCZRI!|K5h zfLh&pVD~f2QJex<9$xrS3&A&*9 z^@iW_V(W6~Mz%+Me9ErA#L0b?bv+BpT8Gy>PVTkYJ(bmy%6<8SVlVge2Mg|@W#{>b zl_oAdo<=${o|~@IV{d$*!B8gTJFp9)pq}=yUn;+o;jF;J2S@zmPOnHxdsK*24YM|a z_hesDocJEd51t|}@oJt6rWV(P@+U68qNOId?@`?~SMY!qjME2JE=mHdU8jVaWv!$> z;}HKOSktVlCZL>7cJj7K^nSXTU!L@6V&Pt4fn7h%0-0_;33q|H+`51T8%MN^1uIP+ zfwLsN8$n1eSFKRl`iktqSShI-75-?mpj|B8Y7VjTjdHRVD#T05IL4XxM7;fL7H6MS zwDBzLqH{o>u67z)Qh{O%Hg(DMvq=+0xTV+(od0_pk>sj1i}(P`G2m4^zZX+Hr06;( zC7?nSXP0FbCqSw|LEEMZODM<5puDnkit49|-v+!l!BwJmfzDpu?t9I4 zqULx9f`j?*%+A;4xz#4=I4ZZbxAP)026nb0ZO*b+s~*!5%+M=$n#F}PSqRjhq(YOr z)#%1$LD0&qEt1}i)pbxhOU+`}a!{*JckPUeki5o$@G@ff!$>9+-O^rmE!e0qt1ubqXl^H*^U z;Za#XsY>A?WZYVz2;Vm_65#<#$8-+|Tz$^L<3P`d>a<^ZtohJ?NF(R$&1XyrchC z3f4KDg8X#v`xQJwqJ)(f2(5n=i_woCTFcqU< z9kr%aVZfomgs0Nlh-A~(QVhIQhgvTNX8rnC@*i<9^zjBLb?G*VpXW5en#y|?(-q>{ z(k;XVj>nF&+WN;!?4P<`*adhJ?f!Bd0&O`Kfr3U%9;GY0qU+2dFqvF@TBI0PrpxNg z@y)n6fDh>jkAxKoR*IVd0xK#xhbutDX&#vrEOf6V0jEGI$2A#2sF3IG%@6@ED!JPS zPSoiroqf_lJ`FOzd-7sB9r$1BwVP8LE#gK!1^|v{93#uK;br(Y zG5G>{n)?1<0>GD}VTKqouB2H$ms33RHt^sxvNHo`uPCQ8_8V>9NM zNy7x4%$5&*VB{l{#=MKjkkDWYA3gzN++v)G)Ef?o;d2%bZxVo|h6klVVh^#WW%VLE zf;K8Mc^QOqa|s6%I64BJEWFcrgPTYmH#%|$=ETo+w?FM;28rouOB6&HG6$pUCWp87 z{SgmSqW&j^$>0fK-BheWc?9%cvkm3&>>9vF#GGA#_IU#if+)oAMFo<6XdRG%z3#)2FmB=QNmoyk=!OKmt)CQ3ROTQewCy9%2o8un-ulVg-T1*k z|J#?|Yl)GaO7cWCXr>5ilMnFPNdDl?0;54EChQ;~?D+p<&9gds9>+G!YIWa9Xf4Ki zY<-+^hVbK*Uq8u$&CV~Ixq}sy)(&YDjJ5#=zw|cLMmJ=z*q(dYo`1AF$Oq!UQ^uD= zxe=aS-3MX}3{9Nx5V$ou3I`vs>#7}_pE<75U`I{;;J*#-Q8M}^RBP1KH|eL!3xa|E zUq}VdG+2WjyYKoeRE7%;!7h-FG}b7A!r4@xIKBdIYA5od?8R#41DRM!NyV#IQItTX zl3dM%fum!y@1U4?Cy;n)90cjH=H{M{xt*{Mak z0|63##er;h0@butbao92W3AFw#};F4L=L@0cwD_=P{f2py8~U!y`qVe&1@1gq4n#K zJ9k2Z&wvL^HZv)zLRR_li^v?n_BFiU^XaG2fv>i?ZV;(B- z%rP8MK?j&r!V#vXG%iM10|$nyDZDJXM@kpO4J>@J&QDRNJo5?@Re37oUxd%JdYX;9Hgc3TgGCcLvHySUOwAFOzFz6s>)AO(gkqdza!dxvet4cU4 zL=$CXB#my!gGDHpG|^ELU$|0+li~)0ieeT*+&;}~krZCNM(Ip?h>{Q!>Dji8YC3q( zEM!j^v5)hIrHkenUVQVnIsrX%2mIiYhhk`KTr(PE0ADu}Nrl_aRg_Ret73RBeHE*~ z)zUSr%6EJS+Jx6+Imo@f4^WMahY`f{blAxa{1H_j4}AY1aNmuF=1zx~*2{31<;{)R zU6Q2}C%-?VcAmGp>u!70`ydNKb3o?|=0j*yp?x-qcj8pXtShq~TvC(o$f|-@9MBCs z_bB~TvzKV9${37m$q9 zdi7thp_s=zv3iFDuTtVokqj6;!of64vStVb4P2ljna3NkdV^jZyt>W}D#qky^Eg}G zDiBv1*PAs6BF~9pO3DC-<{*b@3yLzs{gMCT(Ft8)0e4%Pa( z&ozo{o3AxsncNUAmpvUr&XfKoB>hv$wX+1KJu=lGr~ zjJC@$ZKhdcrQoL+N&C6-`(!CzHuELi&;>-=r*y~CrDWZxTg<%M^i6PrhC=ZurGjsS z%lZLjls|~%M~i_~w)nnNI|P2y!vhmJ-ddS1sEjUGAf~%5{3R&5KuKUrqS30u; zLopp+hX0Ney3j}7?x1T5eyHP&hC>8iQ-Per8D4W8$Wa8(5^F!0`jh6rWN>mcuy(jM zU(6I9VWI^}=wCcA48z;s^3CdM5m2R9jiFhWW|rdQHW*0=j80V2?a|oI$}~q zeRf$>k2Grgt|E~&GD$5TErwqjT@qD<;7PanMGy~*M@Umcg466GPTNk%9sX(<2p{WSS2BUihDCh~>iyDdduoHCMh505f@beqpX?cGL|{7pN=? z67-!PAGswb|7>1oaTC@%FIg9jy|AGok|x{LlRx0aLGos*!A_|B46F=;ml-q;>kJt} zq9QUUbMw*8S|(bu_`Eensh0oSQ1Z+LzBkUlra{mm zrD8`i9lc8A0kiby*MO>I_aEfWF@!1xaocFikaD4MH=-RAEEJcp0OA4OGh@7tFHnpt zN%{hA&;1q7JowNvMCvs)mRe0kaSgrT2th1ugxHL*0--|78eAK4Z(mxG$!^BaY-( zuzG+-ks&l5OT7KbJ0DchYxYE7CtyTw6otyi5pSqLFveJmbcD4A)dX)joDJ!G2rulx z7-{I0HO$!e@547k^wgP-zIgjiZq!zTOR44Xq|vP$DWo$1%?h&QZzyBdt);&x$HZfq zE+ffQ1E9y;y16kEs16a<)mM8bP}JSkbbi&ta`sZyX+#-bSR#LgsVVgB)`EkH4mE1{ zpTU#IsF4)~2XX&jaMpAcvfntL{e+I>H6)9^*fh|JhIABX#OeVR+zI;PCmxVc?B78D zk86K7pwom%7ICxc*ooC6CKzPIq#mi+z3eqGOR7&{DJZuBK|csCoaltojv0eOxPS?> zG_V+J2SOs*L{gT?klMtwE#rY8KLYsL!5GM?ZJA8TH+HT{*F95-WnfW(QV(Lk>G$-t z&7FybGY{$l**kT)1}@U9n4r1fWdr307s&7zKx_xn~ z4h-qSlB$sXH`F}hoT-o%0-!Pe261vB{U}>IbEMDQO-kt$h{IT}(wFa=GY!OfhE=)# zgG)0Y0`fTDvGq=|qwA}~;L@}oW}Q7sj-%VB-H`OBO4I!;Z7~bi^9Llffn4bJESHF{#GHn>iMa|v+20(nSt zZAnP;vAq*%<9#5IWvd{-Uq+7!cj|M4+Be>CARh3}u`mQIQzY+Vmi3kbBR zB;=A4t>OU?bOnAy^1j4WeXBf?x@1BEc03DzM03%x0CBZdN_w zQR?<$Pa}}pyAf6u2psKp4i|ti&DH_$G5J!FiWapyfvb^9$=v84C`8SrK`5t1ZA2(X zhsjTDMMn&3MH(8t%O%V@_diL#U1KvH{u-pj@YFId zE&NW#ttK^U5{*>PpA}-O>Zm^sP78wRPY0#wH|U$xCir)0=T{g97ta~0ab~by2HS{G z)}xGD7}Zf)jTAjCSFuSa5=4AAu}M3shgAGwjQTa0yY^o)g>!rA?q9o}@KvgoOXv5$>)iR)1pZR%`Z}+l3HbWw zrw2LBcr@DrV;O>wpPN?-DHrK!vN=UK9fddfXI4Q5A-`mw6vJ53L#d_v2?xjcTbI@M zhkA&DcyD+iti;;uQFv%F=UK#Tmx6LsVgw;C*+@9&}xRx0;0Q~^x}JG#xs3I*SQq|9-JMg z)8K;DUtypDPwqS+K$_4Ug6?g2o?*U}2lm0u3wQ(B1FQloQQvh1@BcxJvoc=G$eV~te0YCnNMXXKlMA!}|Df1v8T zomGv;*Cxhflgyk$=|6dgEl=}ivnIGyh6j>T@5-0_f6Y#8PbuBgZf~R1wb9nth4)6;zQHl6vSFo6U4y6NA}V? zfQsC^)b};mkmK1b*pTzNwGdrd&>2PzMwZqjtT%OFej;N4^t-mT_5uA6L2-+Ay6ZdK*qR;_g0Z6giPyy0I-iB0+RY^>$J z|C#Tn8O&jII<>@M^#b<>Q(C6#vWqi|m@qN?Fw>#*9 zMIo=VVk`w(TDO!5?g{#nlA;)l6I0^%#Mhz-B;uF#ZE+O*_*>Wo7x)QYK8-%6;EU(6 zTL$(Bu2Sb%72e>OW!SGdY!ySq*ofnl^}=D(koi)nZgl!e;$o#fCn=D(a7GEoOq45Z z#7JR^6FI8CXdww3K@_Zv-O(!J{OO4R3$@9HvJThs4TZjh@5V5QVih}EqEjS0K~xOI zI*@S_TK%qrkQ7J}oKUP5D9p(akLO*9nY$RNpkT;C+mU{^D!X}MHtJO=(W6#u@Sp~B z)kCDm0=+B??si*~WGJk^rpiW71eqRCp{gwjY*UDZOTC*q#V%+KcmCo+2b`XZUz;&{D!rA;#aS?TC?Z_mvRHIbK&Fmbj6UI+8JooBK%z(@rn%gD*8^cqJnfOY3`iq-+3*j3y-v}LH5 z*U)U&?tkxj)N#i>Ii)+nA25~sqb72z3YrrbprcTu)3LvxenWA$h`UKO5hF3qi3*kt zm`N{M@XccY3;7i$UQpHj9eg+30o_Pz!4rHLyoQDCvjCXriiFdVKRUK3!kXcsV~#pFL>wx?;9e zYGd-p*5>x#9%_OX?P;VvM?bG!-jzDLaKY(yZJbM{N%u5$zDdBByvv00 zb#lc%(LCXr!-f5!p5ZUz>$+i4!(-vTF!DB)!*|9;p~pl02tUFDVH9m5QQsK&NpUou zHGa4LK{q5My!$t5}C;LGFMT(XQRsk*NbaoJHWwSjbT zmA8&Nx-$+gyR5ECihfPOG*llK7p2BUPStCWSq{_u1Q%zZX{qV0PL8WS$J04A-h$SjY9~P#9gj|ZJMQ^=X--RSP6vJ8d>uk`)Rh==c zOJnv$H?__h+TXfSf*WQzN!Cs$qTW3YrPVI0zFh58XZt0L`J2N5jHbG~ZN$6hjCWF1YKo>Ih5*GW??8LTX3Jg>2n^|r-C)H93j*>sJi zJ5{^NXFrEcGw3gv_Wrd{_OkO$W@YWj<(@&Gkb4_Sr_@H|Qj6Bw)h?5#>rA%hJe%!? zGnTTq%<20IN8EGP2)ZFA%C@#rsm4IW+jh#O)iRB?7mt%(zar`xrke^~C&T6egx>`86C15GyW8$~y1K~H9}c@~Y>@R? z>gG9PFHfvnQAiZmV*23$FbJ z<3lTyT36*W#909=K@2NVMqdzk2{^B7LK|z|Xw36F2A+>vM>pr5uWH}D`W@$N&Hz0O z$bVn4Z>;(}oa?)w@J%jgci--1&1CB9F}5Z*k>uIg17_MaeS)PIe69qko88SGE{h#B z8-jb%H6es?zEY!^CW10)>SnCnvYJ10Q%1%l51Oj$!_|BiG*jzja_f~deT#?Ivz}ypmfjvTQL24v{q}5rQ}&(_ zzcKc;?sAJ6E>*o!cPSVaC6CC(>EEijC#75XC3$c;rrpK2WiL>^^1n|Xu3Ln!->JSD z$+w2pX%FdXg$xDnU(OxQr6vy#oJ)qszLq9pzY}IB?@M3@>**z>N2|IEhs7tQj~XR8 z$lnT~j%!i)-1&I(IGEv`V&iFt?FUZ}UmSI7-vmrP;9aru+qBNNv%A(JDac3jiL@li zdnwgRTorc_L`CRmce$rMHtBG?)MNom46uQZ@u$P=Vf-j`+UlF; zdziKH6;f?`enc}c`c5aM`dN=JQ){QH8-55j{wc!x&+?_*xFiQ#a;ip#?VqKo@zgIG zf0f2le?2vk?!BarnI;nbB)JWm`x5R76Gb#rAtNnqAR}|jEG?~RaD7`xBRpBGB|J$c zF*~n~-J(VTR_uj(N^?;(d>LnXH#3w#ucAao|{lh~z# z1&ymnB!X){!#~YTN80Y=FOXcUS71SB+t4E>A^bjYe!pxeuh;ATeu9wRS@ML1L$WPq zTdyBw;26PhFqWQ&y0EyL<`rn|I@Hs(MKU)DOTD_SXUGHT5%T)eTlz%gUMPd5ME6|P zrYrgPqV!K39D4`&cGM=J6#A#FB=7u#y74-$3VVW-D@ok02XQw8_lqth{K!ri-2) zDaoQsP9AC1v-EMoP4WzReN`k;wk)hhGf_UV;U(!WcD7*GH-N%6kKlG65Lbh?|2_>l zn*bUc=WY1$#M@g`cwno>W4vXJdcpbcxk%F<;~ZB>RzIm#`((@P)8%eYR7}}xSzN{8 zC2zhXNpgLP3U*~aFw*5B0#1bxdJ8)&E?k=Sc}%q?r~Yzpx^-QdLFxQJ*ztc*r%$vl zx27A|E^!SW4+F;?M92$4mxC7Xy@33V3*Mn>)PE52AfJ%Z&!@9BqgvMK2r~n8$KQQfMZXzNOx*c#xo7HcJsjB{ z1zLaY@nh8~8e#`-Lg#=~G;{8I=`S&qyO?pmasJ|TK{ip9GK>Noc<*dF*4t#P>g`lD zAiBm7mzi7`5Sxhl#h`>q!QkaZVju4YPwxBD$&lEv>D~}L-Y3GShp6N1S%y;(k=C7* zVmOKt?j%ue%qEd!f+Z2LgNMjsqB$1pdsc{cP?Hw>Stgl>!56g9O9}O!l@s6Y@|d)GB&N}Yr2wRYQZPOj z6YLW!E-*O<3~*Kf*jnTASjRFa>UZbOTiYc2r0JR@4Dg;!tjI7&s;q$%+P-PfpY_gv zv3~w;Tzszs2{$D1;^eO1*(Z;=*Pz^0k1;4UY?)ZNcB(5pHicF<^=))6B;K?3*2Yu# za72fi$UQ8-8)j)i^#m;}cR)7VV9a{AA~~d30V9qVIQyv|EpK53{8NUZXouJiLLeg4 zAn%f&U(QKxS`BZn;=&r`_i9rDEC;T zAOOaQ?uE2rHn$J_K1x%j{y}_q+PQCd-m3A8PzS_9%TjRKiXg06BbV- zP8&r$&b?Nih2IF69nR5Px@#q8?+`Y*4XjoDi;5qz;$Gj}z5KKjr9G;<7XM)6%U-?+ zh|^r=%eJ1H_1qioiSGT;J|oaS*Lee8>8z~%NLUG3<0b)A^`%tbZ1nn5%f;43mA>$1 zRcSp(Dq1nR^eq6^Jpa=BExowR2sl%mxqqOwaQEuekFA66zWLMk_-)@>e_wMl6<0c* zMBk9)hB^Fw^0yX;%TzY-0J)hryxUmgI04tk4dv^A=0BOPvBe1rLsf*PF-aQNw6>i? zQEXn&a+-<65={B%;MxbtG-S~}PRwHzq^!`|2asiClOkFP&tsRnonG6u0KpbXz7{hH z?t0K%%GMHxbArw0dC=TR{LNYr0>_q`e^AwXYU)8 z(}#})_2rCcNs^q-5AP-uLXzjuWuzrlS;ep}6?JhPc!;^{uJBR?&R6b(9F*(wgg$Oj zbD87(t2pOS_4b>(7^25$&aIXICtBuxVwZ__j11t?x#mUAxAxw*2=_FM+9P6@s~T%l z3-_tc=Bh;K4K|DJchICC#~y)Ruk7ugzD};r-+w)MsPGGX=IiC%-s*NbNcj~z{h*8c zCkem*)-02ic&c%JYL-4_}@CxAWY05GB7TkkG!9Li=)iYn+SqtZV41TwN` zX)6+_eV0D-Ot{PHOI)n|daE<>r4#J&=d>lEP~a}mf08>&Y-4BjCuc*#ZsrV-xS?H=^M;>56v;-^ks+8J znqw9=^a)(BY^GEwHzk<}*(BjVF0-wN^=4vVZWqT_$$i>&iICj*)cH`LBnj1$vG61<$0?t@@c%FRSm1kN>pd%v4jgNqLQ=9o%V zKIyU6w=;Q>!K|l__Y!R>(Ln2_ZN`{68_?jIHC>GOX)pP$&ibv!{%$J#M|{cenhJye z4=J|A(VHJ;7GHb$_L75rCfhTi;+seiwWw8cByO!-64!L~a%DQ+#9e~5`h~$ulp(P+ zYHOBIph;~md#H#zTpTfdUBp$*DvxPcVd-dGN=F{-;@{Uh24;3ZIPoJ6ko=W~3|2e6UnJ zg#hkps1%F17xjFRf6HfVtGUl>$w38=+cfRGvSuQ#m2&-nRQT=E37sZs7y3U*nsUsl z6SYY(#rwfjXTjB%(h`DeNIf2Of}F^o8-(V1Cv&r3p7FlB*?Fo<;Lh}Y_TwT zqu@zHMPix+*o=cSW`*UR$zHi5{v>)Umx%x6HTRc68t%hW(QkuC#Ye*!2ef@svABWP&wEKGJf&z^Zno{VF%y- zIzsPzjGulv`6v2jbM2F0>8JfAh7NH6{YH`Mi5fO23QEyj`4TU zrK=92YX}{YF6^kp5#88%3k3_iiSiV>J|)`G1=>$aN1>gFe!SrW&{r`V+{Az-i{-(A zlvnNEqLs6UBCWv0Lg(A$=`Z;@3hGCfBQmzSHKrBg$2T&TL_I5o$h4&T@yZ~9X`&t0 zZ1F7T@-3nxqW0vD8p-mF;*<$*$Ei5iF8*&(4 z-L=LjUYb*63}{QYrX-wHVy>y~CV;8&kpeLk4s1^^pdH9^REKSkO(uGr6|j58B@^Ff zIHFW!osoH&Th3c%yB9#~7ryl5nBQzyZU;`57!|WzCP%a5 zZ*t^=y5R}$vnj5AI6bHrx)PWDH`#&m|D<~vP|rwW5h(qXc=U&@oc(V-;XF5esK(VZ zGtJy-+eDncbgH854M=?$E}h_Q)^YaFS@BsmxH5gak)ZX)P|Ungb#^YkGu3GHxOWkz zA@!Dtj+7C6Ou@m-^|_#D#-e`t*d^2Swz0fLDdfOJQ55@u_M^}r>JNSMw|-2H7kH2a zbxM|x!uS8^AH!$^`D~8ZjQF5ZC5^5eEDxP$8nWK79N5gGMg_O+Ep2IeEEE` z2=0EzE~>9H$5+36t}<|bzcHS;G_O3rPXBo*9(_L;aCgX=e(o#{pi!LEMta4_8+XI5 zt9J|@pJu%Lk1#V_{v$WZKVaHxT0k;t2+7?!{*c!Zyy9?Tx0cLj7(@)=4f>rR38ir5 z0}nvs>Aa)v5ZS?sjhs{l@BZ{zlUh=`P%YV z1`p&F>xPME|8>MJFbo&Z;EQLd@m~n|&>nwMtq7ievCnn*k4KOE=2PIf+Dn6JHgpCUv{!Y2G?IioPA}JWYT#W4crS;eYz5-rY2GOOb{YAU zJ{4zmB5@xbQPODqkC}G0uDrs<9Ezb*ZBMz@?9l1D&_VM_zu64JPE8uk>gIh9I|=|w z*cA*Ezq*ZbvqY^%{Obf=WV6?L`Ig4l>PZ2Q)uNg$l+-N@iwAehd#-B@2iR}z!JF%i z^SXOATjtjKhqdk&sqT@@Yq^|j$lcVXnarj5vhainmEX8lwPktE9R-4^D`z}b52gea zM+Ru71uZ7K^9C-O4KHad9>40GaalbAbuS)}%=3Rca|4@yECjVnH~&~os<&W$yTI)k z&-Bep>ydY#Rn7>-ErKlCwEf zNDl^XF&YfBBLo+W#u5IrkK7K1-*rYm_4_Pape$1j24eO1d4|RonVK35#_I2D42?$^ zjx0K*OS*>^BM1gBZkEoUOel5N41Uf(4yMI!!EBvfHvRp60T){zLePWUy|gY~+WuX; z=V7Z$T)StQB7(u;!9B`QZsx4kA{2x zKHD>bCdtbyBGR6tC&VP>Hart*I4#o|14Czr-4>uL`T-JU!magB3uc|=HEL6%Wq9O%bI;;2Y9DyRa57Bderc#aoR zqSdamR}Sh{!2+?ruo-pnn$3f*zP6-TW#=Z*#jN_I>#U)tuRj?WRQ-F{vNywoAB;S+sz{h6-YLRL*Z$*hxbh{HTKUr0A@uTQDT>8wqO%h}}m z1xhIs}Z1CG!0wd8|thxQO7@QAd(@ za`kISl~_}MCQ4<+AQfKD~U26T*@t|>e*aeJpYmReg>@993nk05^(ZSCG z9!+Z;P4kAO&GtxD<5$GWz_7$vhe;s&6)Yje5@Ze8%6MDOyv{D>^j7Bks(yYevwx7^ zJj>2n;N~oUqNqK#T$)R<`ek+$;Xu2;HYGx8Qb^qpi5VH2L?}S3IQ|6VMZ_(`9-^8vRWzM*-z1gux^R3(IEvs(z z>E{bfK(>w>)feCK8*}?MY1om72kK+)V(s%ibNC7QR$kgMKxcQOr6`w6!0r8_$ePKXq>N07Mp5vaC|5NVa!XoH1I1Q zSSVZ3r=;vYe^RM7m$3epBUXUqRg^MABU5Qxv{udj@bV`thl+c87T%p5Z_J7#9a zF*{~vW@ct)X2;CT%p5aAcJ6)OZ}-RU*>j#!siba6)6*)cTk5KiJ;VXNkmwHI9(l%$ zSa@MC)|>vm==h#iO0qMgtu}K$*I}eO&`pn?NspLG4~Ljs25^p7mtz*UWf9MhAUv8r z5s@G^D4!BU^tvEJ=WxOn5%l_`1~|+!sPR94uu;?cFYooY%q3|!X0;Zpyhm)4TglkA z=&c>p+B?n1O`xPBbl=mNM?baQw2&j_c3f-~)vA4Ma(n$$)oo8}d9Qf*_zWD>>>4OB zD!RyF{?-Kn^?7#ABqLX4>)Ha5>h^iHFY*v@6qJ+iPRmk_p>r_D4$;lo91thg4g!u_ zz3cKx2ezL_0-1IWX6@sfS1&Y3_b?;(KKt za&;1QWuAC-l73~LXM?>uqs2jRHXPLB97nhen%5&e>j5IU;~qvxBkbCF04+Ql9l0z* zkw^R>IIx9gh6CYT0F84=IVm-=OT^FR^c-}oUrfnLpFd^U$XPkHU&S8TxK1~3dW2&! zv#*~``FN?Bv?4aROPi(FEPq(e4Z6)|Q!J!Fxvt3bFsU4x<6hv&hYWM>WhYO$$){7? zpWZ?Eb#iBq4&qGc3rf&BiGa8xCn$cRBEY8Na3q35E`hO0A-%yc>ac=r(!K-JuvreF z`R7*v9U(l5$*W{I(L|^sP^~KH|ARQUF1a|iZ;$_j@KD?TB1Hukz zM1PuzbJQBOFLr_4H;R)q7&AdC(mMj;0ykXuPWuXuTVO)RCZF!St7e zZ{;!aOD!;WAaLK~?4@)>?2Pg+KV;BZ!wWuK2g4!iTj7j^ghZ%kqkZAF)y6%?*)U&+ zqTO~V?gy$=#8@((^$(=!tsg7nb2Wul$7#VVp0pz!$%aegb=IbUr+mJs`gG&%$$G%C z2D{@RCFK;}g&N}BxAT3CCgw?PY+$F&PtGs*6mgu#+Zvr~4PN^0wv*M=!*%Pe?EY2) z_B8dl$zdu*U}z?d=j!F+_wCH!7p1x$j&lUV|)FPD?n(uSEfQ8Q!mx5+UD zO9pVqaQE0?qw~!W5*8H-9u_qM8x{qP8m0n8bXLoZ8?Lk=U$ppyhTZ%rDsCeXuec#^vfsU&!?kbW zn0fshXhMSE`UvBNTPGKt69FF$zhZ2th6TL!?irYlf$7%Osk5sfj2MdQz?l>`kRODH zNG^I1fJ*XPr7RK0^n5+M+={ZKCOfUe#Rw=kIFlIv!J<5l`DOGU2If3UogFN)<-oZ} zANpU$6aJDW@Q@@s{`#oOddAjKx!?_4$bHZ##pTmqJOi%nE}c0i|G7{iWvCHfDPCSF z7UwsQu91%Z*u>FI#d%$3>-fQTcVgAF{t;vB<@|@RT-|h_rM;$}RU5tK{BBl9Rik-< zaKyfT&NO2fR{QZU0F~By>AHxzs!k)$Fyfr9hO4ek-G7J_mM%rypq{KyOI4G%+kdD6 zWwk;po?Ts|>w8$iIYK9ng*7orG`(n1eQ+3S3v&E02Fd)Yuqcjpm>{kT+x%*cIItZ9 zHN!Paw58FgljeE1<1lo3*#1Mbq;+nl5E!*lef!BM8ePlPR&R z3dm`;Vj(z>oW*UeTE+dl#mbFCMau^wM9WEIM9Tx?MavJ8M9W3eM9T{^Mc0%L{bmkt zFr@Kh@rmS_8ydLbYf0ywBNta><@7nYLX=U@I>szCjJ$c%o#vN$V3Fv?b5vp7=*E}T zVDsl6ys!s5680fv+%9J!bkc)p~PHd#4+!Xm~`%Sf_jv4nZsDD6pYsf8yCM$3ul zsPz)k!j`ALxIkk@Gal_m{Dz{nX0RUUkY!{WKV*I5EOx#ySEy~=wmHJ}O(K4dn_zzi zgiS048-1A4Xt@1hH=aUW2PGogDBA91sojvx(bs^srI|Yq&%qU}AGJpkoIU=PK?m<0 zQk*?oz({9bP!Q9maa)%>(eGPvrI0gmr4B3a(N%Qm$6_Q+#mF=M%tqiXJ%p}F38M3;bP z>N4RL(i|$r5!}+y2AR}pW-I3JKJy1}rcM!aX{{l1kVOW)m{P#BmuIZ++7g z0kI=~*}L#Cq17R28o70-d&Qu$d0DsOa6%d-?;8&BvR;*wu@|khXT8f6`A+lEdWS&z zZy2uOOX6I3t1SVUIVoC+3;yGS#%1rx@0LgMv^U@^A5FqOJZ@f56e(z}H-Z0rT-z9) z|Bn&Gv{q(1E$ozP_)4`9C1XLTd}k^NcXPgR#Tvz=LY)&TiSl?L$r3?dRYv3eJ87FY zTN|DGW6|!S5aaNfk9X7vLnYz(6ncD#WRuQ*L3CXaU&xhGLycs9_(1*hNi<-;ff9S1 z<(ETjy47a1jp8|uN`cBD4z=?r0k(5AoocD@tz`NA^Jv+BY4xg%h>w|OS8j_P+xdv3 z*?7XUPFnHOQn5vYTDXPE`IcMpoW!VLv3NvuZCn@{0>f0gu(eo-5)&8++;@p=C^pnd zotz%(3x`sjQ@hYVsxzs-L3i zpW7APM9ePOrYfX`@V`uwZeyaT+pB@S_$M!O$>C`QG6qr`A&KJ4`E(JID%xCsr^YEP zLnA^*?Se=)!No(D9K-oTgIw+TLxcZhQI66))N8!S=&E`NxwO?r!- zfseeaOC|Z{4psU0gtW{z1_mZz!eG+>B&4??Y;L|iX3NDhak#mCbH3x3fub2r_FYCm zvyZ8H`NbVJz}3+}xDx2-WGa9Nb8a9|Vx&aZl+(EiN9}&KaXtr~C!< zZjc#F@**24Yn+|4Y9I}ldU z%?vgi&IUHzM`IWHTuYq=q2od;u>8#%+SnwC79ab_M?$>)GG5Wv$3(_^sWd&FX(gC{ zDk{o>Ye6y@I+;ot_Rs}8N_RL3?^WmZ3iW&QOQ`cPMtxmau~-#d0jn%F@ucRolej4v zrc4^SM`T@)a0)zHO4F#G(eH$1wBDl~z2)KJ=svY*tHR^-2Mb4F&-w4`h4~DkcXwXt zZFhotm3TM z_cQ=}i{A;ezr!NdBbXuY;?0qEbLUOHxpVvRI8v7T!58#b?E2i50^#6@N&k1XN0jGf z^!syk=V!GLcA~I9)*u4$v$$Q(>;goctV1`kElkf{8l?5I+xYL%twP*nbd~Mv>T8q( z!IE^-P_1##0K=5K9D}stE=nx3^f93T&z3XWleg|)ha01wevPT)=4m|=>mKLAM$3)R zsEvOXQ`6klyZR=zcOlLAtLEZ#F8+u+glKVTxn?J5FOJXU94zaW-o@A?6*{MqbN!|- zF4h*;)*K)Cp@7lh-ke;#mD=OA3t>i$k2xRtVT{Yw7HCS>BD zqr=zBiytDxk26w)xI8;+!2tea&~I-JLx(Ua5WX{zEStP0r_v~Cd$qnVEQACbQ_pYS zpzx?zo!3s3z}W9j zMx`cwU*=tjjveFlyAg>Ap{Kvwh{Wy6(+cM|#=OR$Ir_ML3{(00%yY_JJ8!cq2NcCA zW!6g~h*dBs-IFY#Q?or?R?>pvG!&yS{(a;lmU3YnKW9E67hHS7mrj`2BjtjAd z8_6o#&p@Yn)LWC+0si1O3ulL!**WwecZ>4b-HGA4D3PHqdV@#kmabgK!o zguTtGua%MOT-R;M?Zb#{hM7U?Q4qCqnB8|N6r!3ADO0;DrY5}yq=iBI5+_AnT7Nes zGGJb09`NO7l#BN(BfTKo)ODjOIL6$oG2c6(Uip`jDUgTvqQY-hAaC9cem=Q$ zc{`MFr_WcXuRP67RJJAe9x52^6tm-o_F#Ly7B}B%1Xzm^ce|5@E<`WIwKq` zy?(FzYNQZ(s4pnl1#re2j*!uv-P_YZ|6V51O?;9+h{Ud;ah>rZ8)7x~m|SzZhd#=_ z5(cv=hs2x#Q}>vd_dsQ-=fzk?C*QKE{$`w7>iPrYhApG-wtE#6t0{0x0x8Pu2mVHN zUgvL|SMT}yz_UQ1=neoxgZxFHf190^V{H=(<=$UB32CtQ`H{7VBqKA`q9!xqp96v9 z_;eO_KU?$yt5-m_0T*n3>l+*~EqaC3pW8jZ>~`8(?KU^ouWfLjTl74&YrCsg`j;;Z zE}ZKgxmTs|<{esy@b&c=2y^v&d%JFW6a%yLb_9Iy=GSTMl;l@OoV+@36j9)dj(9+v z2^T#HpBXB5wKC&)#~OK zOKTp^EqdM6>UI}P8y=7Ujf&}8uu%txd7&rto&Q__sNiTp1Nidt@8;+2WH-RqL1zWC zEu3(0$_S(7MZg~mg{%O1KF|ui;AJ~Nh_psO%Ai^LJ?;Gj;D=c_nOTlkNSEXT0Dicv zSO^IAfgy4E{&xUmY#gds(VbaAce0NVsBuzSQ$QYXgYrL79$u$ug^l4qP>e4g*ikN)RUqs^AILM(R zP2Tb<VA*Z*unafj=mmap4hWg^(OGM|DOPeORs{ivRRn}Z zBu;1+dqlHcyvaVUG=E1%@Dl^5>3;aEKx|;fYfK1K4rEE}(a5aehTmD{SRgCwYzyLI zY?h=fc**y1GR9&SAlwr|pw1!I7W_jLbdBtXVQ)Wu3Ay96D zunV&UQ710hlq6yXaL(C{{cFlMDXZiwj{hD16Ht&OzIbii*h-KV;$qUooMb|Zi(*6LV%+%W)uklGWpM!DAY`OH@LoZn@rr>M z%;z)y&-J807Tg6*8iq6iN;4fP&ew{pFy~ghh1g=*H3OQ!qX5;2sPId#s5{NhFJhIw zp@QYm|H&br8F@$J3WO#Je%%dftjy)Zdpkj5elTS+_l+1k9Mg{4&!m*1?2Od<4W^Rg zhFD6}r6P3LP@-a}C*E}voCXzVoja(k&Y{T>At62hcix9hQi9E}8mTPIlk~b#!esG; z)J8nSWN|zmseqU{VJZH}P>@;ayH^H=LL*v-5+yhDr(YPfbP@eGzp%D3H3EhB0wY|c zv9jkER+zkkFD$perRY&jk7)CTOkQ+Q@!6Y_Fi`wQL8Cynz7n1h4uwKk$}aY>ig|w9 zcU_-xS|4&*&wr)q3%nRm6-4Jy1*EA3@;rq^_>c1PnRZ0jY6&e$LuRx10M3eD!H)MQvG|wWwCw))r3eT2{l*vX+#pH`mI(4wm zE63+X9bBxsFQ1ePpA;+800F!|=Itc2sX6bOKQJTLPh1y1=~81~v)Xfy?%vOQQYJ>c zrZ;CCwq_j28F&AD(qRSy3y6pKSH|)O#seUL!-lErci=(j3DtwZTErUgT?HnRf%+Sm zodVTjwKLzjA9@3T~lbwx1LvadM{5cdmsL;z-_H26Ls7quseQg zXgz+I>QJOv1+v69J=Q)iJYGzP-cfqPK|&r+dFh0AGm;}1GD4*Ri*JfK_{Ul(rd4+9Ss zvpN^mXRsSK;0+Vi!EOylXn!NfAGsUYpJ?(9cJmA9nKo`PaSKBBoQ|3S8AD00pSGxL zT3ymptKHW-TQ60r&f&v@@AH1;o~0QXyel3BwCfQ_n1Ox~Z%_Tpu(NB%U`}6AP^YiR z64l4l=9q@B1~7ehJ}!P-!(nxlgdPw1(1hbG$<-4Y^=5=s1;_0YVpD%y#irm0(b;DD zXwGwL#gmN^x$54?Mnu25vKUTm233)h8w-Ad(K^-B(3VN@y%(dBNy*Yg1|NL3<}RE( z9<7Ybw1z_*zIT@Unp1V>!-p{AL>x`_D-gf~ZGh#F1>@@S4JDmZm++45O(tn+lPML` zqn6KOgsWIYidHmx`9@zSm8p&X>b|f);sjE8y)%C432|tge8NwpwL2Fld2)EidW&)MWN@Kz@a^V;VUd`FIk zLdx|-oCVz6tet>FlV!~aKm%BB=3%4W>tF&wW=G%m3uX1%sn^3I?@($%5V+$~O-Wc! zN%TM>X7eIF%*6emvIXg3aW-$;*Fg|67H`-7WpfeYK~VUcx3Ai@{kq)#7yL4`;wU%| zz7kf-C^&54X7K#uaM++Y&ZrEg5P-#>U1=zJijk-HK0)HyNh!jcq3-l#`OUfA(_}`h zuEe5_n8K_xURDnu%k;hSNSA1BDW1uvD<{ROpRZG%PLi=6i4m7Q+M}AXr2i1$CVwsz z16wLYgEfZFZ5^hCwJtao!3(hK4StQ|hJqE`>pPj+ni$%nQ{tO-%-gGS>Xq%=u^P;4 zk2w*V3aWP8k70GYH`_;u^I{CHKkTFhaI(i)`UUiMk`MgWMC+gR9-tw>{N%m-dT7-bxH8_xV2ii=Q`+A8kB;)Y@*_Xs2m6-8PqS zfT35^o;N&ULyO9d7q!%epqK@28I=tAyOF;YDSuAZOP=Zb6(+H+4xNVYpoT+c4z-^c3))Y|A~aM9&$zR$VA=9M3G3v z|AWeOk;ueAyWQ!Ya_;w%^UKVNM!SlC1n81;yqEivzFYz5uMbVHm4>uynk;ZRDEU|0 zv9qb_5tWu6tV_c3!N`hrI^+Tq~ zB)!?opjrH!?{eE*S^Nyw@TEI&+aBA_MLPo1b_-q^T@<~4+olCJ*>wf@`D}|YuZ>oZ zOar{l1NMkRbgu>aYo2p=Mc@SnZ;asu+_&l*hLU&CUCm8t|HyT!^>pml?N^`RUQ-5Q z?U`5#cinpJ?CM|3O{??jkZaoSkbQEp5p10}3j*($x)KCJTZ9Ag&QGy$bi1a^6tTKw zx4%Si301x%MRtE9m-HK|I$_!I16ZtUQ%+xPKv!mbaCeCGyuW;kuc|t)()1~AOH@UG z4+b!R!2H`|X_3aGTMK**Tb#l5VMIw8{ZVOiGy?O4EospFRcu3 z=%Kr2)_271hDv~-i#U-nY1|OX=;dfAuH~{az*ua*8=fuvS#O1mpFcmefI6qOex-T0 z5=MocpR)KTQ7X0dE*evanFi~PCYA^b9ZnlXg!qOyYxJubK%5K;7PQ)8(mL>SvNRc_5ltF@;)X<%s=Fb9DA#`SpXBy0Fkjnw^_Q zt@17^k$sby3{J^ZVSzuedYEU;H86l1AJ>_Lw@Uez#yXoO^!K90J^AMLaors#&QE~V zg(I2ir4i)m^o|&{t)xia7QR($^G5acQi(^&Dt?noYPEOjR<)JugWB{M#pKPZ2Ad!| z3GcQVLR@s)z{myIQ}{&--72S0T51^bs)PZizdzZ{wkV-jSYIA>mAg0NF7kygp$SD@ ztCW!-qUP1ztHBFd;|zFZJHi+UC$7;<>?#Yz6J7*gr4j;k$tnxOlD&Zx8y@>y@BnB| zYY-(1Ep8JEc;p)IEY@lNvC#NSw}0`USAt9s1Si13F2KslWs#(Zg-ozeD?C$*j;3Z$ z(4epU*ynFv^I4Yvv(~oeRiKcS8}orbZ|P0HV02hz5Hc34n2vr>pP*ReL<%w%qmT}9 zU|(KKG)udX%@Dl^OjHPDu8v%W@neBob?^*F)Cv2uSZgEQ)C9{)8Dk2uk6O0 z+Q^k%rAtD!nN3@jOxGd$pq+D3IR)-RM<>n?)+9KXq6nhlrq>nFyOzeFvzHIWc3^cD zh1^Sh8o&l9oo#3p?y3CA=VgoOG3?7PJ>moQXCu6QE^12cRxSwySv#STr=|%-8!I8^ z6lGuFJYGMYKHnT(>uo}6c?>%6Y6(lTC_REPvT&9Hlnd7q15afOz0?_8?Gj!eKh!Gh zGTRPkWmz5C4sXdh1GNx2kfrP)Nt{O?m8PuBg)2r(gwJP9gy}fa<09D8n$YrXGbrIa_MOvHrS(VOjhm?KmML9K-;0R zJm$xbBr;XfOCXk>D6EhR;N6DH%87Y3x%t2 zR){{9)uqk21f^UywrzI!i6awhcmRtgQm%Lv+KGGjz;TFeJIb;hXKrVc_Z{Dcmy-Qh zKb6FcErGd>*Y(wdug9B5(^yPQ+Srs?#)Hn%vms?ygT~*Ix?GW-oDH@sM2gc}K|2f< zB1eim9b_^m;_RoA+ju0g*R_d`^dAyBBm8W&@*OsmzEGIN}8J(4# zy*RWh@z|D$K=ULKY(GU>?dchMY%|+~N z7(4~eeRaV{Tug@Q@im3$-@heoL7Dmj9i+XQ@J-E^JVN0yGUxO%%yj2&AK!0qP<>|} znCIN=E`7y4^WM!zd1;C2b_}-!okNVgjG(9)#&&9jnQC+g7Hd+xkRJ#F$sdZ9aNFp? zxdQmo0#&Szwqn&$BKiql7KhHr&dwH{vpK$WRXzur5_weU;}Aqz2osA0oVxdsaT9L~ z>DqfpFM|P!A@EQB-hUpjNPJ z&)^m1kK9e2ZI$R4+MK~zXEuIm!|xwYSO!R-E-;L|s#D%qMuIDnua5OU`drj7Cryh4 zsSp^qq#;aG>4in?vIj{8{UMRdS_s%G=;foq=ou6Yv>_^*Lqsvlb)LIwi4f3PUao`- z<|1NSO@yTrDA2SvS<`2k%g2H+bYv--oUEAh#S9X^(Nkv1bjIx@g(p?lD`o{_Y`ZUq zq^O~FRU73+09JrrleqHC{NmZQp|OJI>lO>$r6L)4Gj!nr{!tbe$z*Qch;*ml8NE=u z9G9L+qi#jI&kMDmB3-6isT+nSa2B_*Xf z-Z<5?wxvOSg=<_+7}aM?kK-_5Cbkth zw|6hg(~@5*b1AlZDET9nlQZ^xMNuBgQib8NmZ3}?S`ob|y?NS9SN_!60b^%v@}@*9 zz_kqxX5dE?T0SEgSo4;i&}p<;RJKNEoJgAHC=+~v&d_D2n=qE=hZp*o62v$e%!#~;`Z9())~HF(t;X3Aes<_2R+63;O7o{~!o`*GJw z0=h2ImeB3uzWBKey}1u3hDoK0(?I=sSEz`1V$s}ew_APkcn)VHr>iIDsq9I34x++6 z5*XmRwhBgN3kKuJg*-Ymkcsy50TQ`3rIu$T8E#X z1Sw1u>z!#{#Bjec{8UfiH55DR24W9H=O3xr&P}U~rq-fB@^p$KT89g3Mz9F?V$4R# z#7SBSqE%9uhgfdRh&wmpfZRwuxB*aW>3EXrJym-Em zTA8(P&k4Gt;CAa8_$%PaiX~7Xzf+8C)U)0r##9&XfPVJ~wMbFV>q4aFE5Z}{^e9q^ zy94d9h4$Ka@@y#pJz51dUI#7Q06o|wmhC%fsI*|8YMpj?9UWHRz#Z>bsNjS|8}VK| z2w@ASI7`sDrykd%XS+>u`#Kp(Feb&f8S7XUyl*&LF6#MgF;{kSR|XJ1zZCfk2E&F4w`jfYirRGGIj#_WJnw zncaDOR$jSs3|J%kER2N*En)wT8I`(6V!tkR6r9xj+Z}yi#6cExIFj?4fx$ldxPhSg z+s*QQ7tHrv_n%Q~+Sy%pP-qdqd2aYBe&B>ES_KBi(w3hAO1(SCalsyuBh}HIg`pk?YxI5hQi_NH%04H5(FQ8}pBv4bvoLqV>Ih zf3@o9^44QuSWh`=eoF}a^wX@mu^RQYyvxn_C_P+(KzNnM|16EEJ4&vlXp!RKdNV_; z58oOCwep?US71#_zC9Q4^8MSaakFya^v0Im0kh~sF*bz5uF0i;_@}DXoW5NNZ};4h z&@}C3ydz3lAQIwgMQ56glA0|I6PqbNZPG~F%pV}Cb= ze9Su8lm>FFEt>)fJrVY&2$OkUxqrk+(wo?E+A>}Pgu141vf_~+%|PzHHB(}iV7&jv z5E>^TytQVB@S0~*t^QMgS2MW3J2WcTJq9tvv5gkYaNCaM*79J#|K*9z=R8=_NOmNxq8+KQrN5Cn*CtVjR83rZzQa1e0z|md z(PH}fqntWwcbAWso_y_fuE51g3OsF@-b4ug_byq@3X9knBNoTqtb9ZZ?o>hV4#AAQ zAV@hKgIde6zHtaoPD-H^e)Wf|_W>Sy@*4sb$!k21>)FPauDY-){qRxxBCJYHFCxpA zmZ6crL%Br5zV&EpN4xrGR&%t>w8qTUz#pWr{goQ$ac(q!59}(ip*5X)#%HzKNw!9(E4uxKhScB_ z9`=bR&D9~doTjZrG4pC{nif%R$SGhQ97%LS{x*ON55090Lz>e#?cVX7`alDuXC%$z-dzGK)Sx zt}Tl(s^#&Xzm0c>mU+zXhVsjnTb20Zq|LO9>^#(DAVV`xU#5;4HU2&pOq;wm{hiR6 zL8>vEnqO0&tUmi2q%U*2!Y%~qVi+U^nvwrlkcI&>F-Drqe?=!WIEC-9iDO(@*ZOF2 zLVOb%{Wd?zK)NXlU2QKko7uJcJp?oRIX<@-2mu#>U5IqvzHiL#50|kt%#qXy7U1cH ze;>r4TV~8{+Wk{%OI-xhPs9r?cbw+J@%6%AtyCv@o$qd!6uO~v9Fc$G4qCLo}&hPo*8uX3j&s~

pSyq_g%$S5|O}!shQDQhT-vV-99-a-h)7 zCQ4(zx^&V!V`PA{_k}|SPx7`~)~txXZ8-u9@HjtyQ>0i=Em<18?F?qS;_g7Fy;K-7 z)>oJ?;v>--MuW1b=f6BEi9oI

0!bah%(pX!>5^fm94S94|Z*2TFq`KxQtCWy|%!nQ%}ybcPXLHeWA$ zGLwJq{542;Rf@(t53gky=cfpH-&H`B}yZs;)1#hd_ z`_16JH|L)Qw*NJf+j|$hO~uUlfZ9jmz>5W6@8%+w$cvDIY~CbmKL50`O_A4X_G~+N z?;nwy3KX+P)-zE(GGQ7rVVX5aD9-{C{`|HU>{fE#ma~20+w!jwpi*#0MtSZ29l#MyJ9~pf%4{14TM^+k&Fq z%LX|XcImmA=8L;L_<6NNfPmXZ+OV*0BGy_9% z`pzcypL!HQVEs42h44u1N3TQ%IhI_fO+v_?$zn9&^A&1=NEL~xr%Vck2sJe_hcsQj zGDzYJE@nN1mNq&fPEf7fTNjo%Q=36xYb9K{6fpzGb2>E_w(T~Sg8wDv`eWRF`Zpvs zyWXc8x+w?ML3wkhQysmJ2Q*Q}R%Gi$3Of&ShW?I_&xqT<#Up^)cW{B)+XX;foPGgy zaU;xqV*hs(8=wu6y}G`7pYH%}aPTuPMgTk`+^^0LOpEz+P`-q0WU4C`hv!`jOJBPL zUwyl{Xgoc2J2?1>tU8cE>4uUXWF9ZlUQz%5&Sn`M>X{AH<-xyEV(h}D=hF__AG|Rq z1OEa+1`b%P#ljsF(8gks4Xv0NlsGd9+4{sl>&soE{8#$172|il@IClxBg20}lFTwfMSAw4Q{CN>@wu5N7z567(|B{E(*H8>%M; z=b}?j$1hgX$opNQu|LLsx|Vuzs2Us~B_+Zu_nyM3(7yh+fP+gLsge2V+>>)jMMv{{ zvSelT<|tx8LBy8#M<+E5iTt;pJ>7mLvn>Jdv4bWKn^Wg?3Q9#dJi{3KgIl~urM@Tt zZ*c%;{2fW$bSQN_(4qMCkR3`FnPVMfj)AZqb^oAqQ-o2PVK5lL?t{N4(7l#l_YX^7 zXEHp)lcuK$jupNrb@>xEw`l?Gswy@x13s z(M;ahNKUP_Gg+<%n>BVSKhG<-2_tl{9`ceyz=W)Dv_x!aqDrl*qJ+Q*s@FCa3$R*j zz^0|FI>Q(L_30@iu91ixH@>ui8XsReFh%izLhEG>Zw5~RkD1xsCkjt;H<+2I2O7k* z5p^a`%!*@^h|PC8w8TRDaST0g@Om7O#cy(6Ymc6XaQsl!NtrI$Qn% zp{Cf#7LP9tnG*3M*GjH&+rC>sKWxRs1TMEObv4q`-xixySMAALU|U%+7hg)X!F2)w zA2hU!Dy3>v*uis{*S%7MVLY;_Aq8;z237+V8DOtL*7x+}T4LW__`dU!pWXj9^99dg zz|Brv1aZ&IPCVXzU}^^3o_oXDjwmtR=UshlPrukVBL%CXFQ%jpmE)h|AxBShS~-BK z%5Gru9F4RyJKz71RzwFOtSma138M4qB|rraV&67fKIq$M4j=9d2-gYQWZ|#OmGLE} z($#>HdZRxKtHyPCTDeHa)PnzZq3%&?Wa*W##N{FSR{o@6X>D~LzPiciElJqP(VgsJheY;M{tLTjTn3flR!<{;lp^Xm}{+0ws zV$n3_a$nA4vviWEgtMohX)t2v%B*|*R6x^C4w+kI7%2Qy<>k_5*KpfmLsFhxbfL@5IZE`^k6fBnn;_8Hj_>b?=%HW%eU0SRBE!<>3_=&n zTqkU>-l!4EQ}A$5;2zWLN3juYgsj$zQJf&hy5IvChGLEl=V*Ru>Rm-@(hZXQczexC zS+5htYZb}%AGe!gSAGVU>ykMvN?wzz(CnNx%FI3;?m!>yd3@z! z_&0Pf%U+?o=$(1o_+kUL4uZbM1zvD^u)ei%x_6Ryc4wD?F0&DD zhek^Hnd_gx?qs}c=ReI0W}e*v&Zh2Gb5sO9rH+qsBDE&q37xrF?=JIJ&@?EwKC_}) zytNCO(}vEb4p4Vd7By=^611|Mc$Wbzuu9U(+E75kGwY=Fp@(VUFNHP^sKd1;Oae%h z9(z&r(gvjj$}g`*%z5xDGmJ}3W_L&pK`4RH9?T^)bF(MFa?YOtm?_E#;AgC50DqY$ zmD~P`6fHN-mUIiwy&_hs*q6x^HBRpx9~>!{xUfyqUxJBwB}`b6RP?gxPhpm!SykFf zqF8`;k|tw9U6v3*B%gkLTsX8m%Yk;e)R8sjV%V-L87eHP6B}8#21|=9wmy3yDlC~= zFv!O!Fwswo%1_b+pjme4Gnd<*K@xIM3~SpOY%3XCsaN1CRK8uM>t~E#=xT<^Bpl>t zVfYA=HJY`s!-%vh#xkzDM{q>BwWP%hu0moy)pWk+a72Hsg1Y`>p-trH{tO5)8~5Wr ztRQ9)v%xxz<%}fJ77Q<4AsyyH;OyY>9U_E!Es=VEaAZy$k5Ac4VBsU}(2yXxmtdnyc#})` z)1Y^%Pz;adT`Vg?JN*2ChaTvp6sOxo|et6x)9O2xjL@B zpD$h+ZiBCW+W|Jqt^L(s z*dJRnx1IuPy-0)p<9deOwn>zIO#MN%!^)GfFRjHneh`QB;Pf9mZ`5-&*sPuQRe)oAn-j}A;&;-q?*0XXH1@sO#v>O!}) zJO4Ez3m#O_YOIW;4yD${-6_#(Y!7hDdIiv}R3w9&dI=7m>VX+2H~o7tgnPDno=8X+ zLrAsyCTl63`R@k&!@M+fq+Hqk6wDMObV6_Tq2RNer>Lc48Jiw z+Ta}nv{UgLBx~USS2HDSeDW5uFySrsjs)@7GL%#CRF4M%-0P?HLz%&ZFY+*+lX>YL zwQ3zXdBi9LJj5^5VEoR3Il`XenkK(Wq9W3da)^zfS=#k?b4<*YL(oi2b1JlGCBBlg zPAT`$iN)0xa>Rkja#09sF#Z@?8bl6>_zuuUXCbETXRo)nurf2XY4w=uVq7AoLS5|_lI7F{yR=kcLZRKI79yl>PgtusHm0;_flm#^` zWHDonF_g`OL!)GeXd=PgF;LVjQYwV=Nimv(Q32!@1&{$3Rm!zyg3bab!gz(K7+Olk zV3E1Lv8ooUzUf2h%kJaIra;c@gU^oSZ`c)#4eg@j5JS9=6W%-Xy=k}Jzhhn2_}{^J zhp%+S%w?tRN&TCS~xFM4p{;_c)54w|q#7p`bLyS*Bh`0e{YOD;~7 zug+7hg9k!1PIs8Dzb|!UUx*~SG;9SQqs}b+zTUNU$eEYy)Crd$A>B=5)SyC_VD0mI zfTDq&rS?@fyfPr<;zTFBlFa`mkhlqG@#(KG(->pIdqYyuay0IV=Xvz>PE+X=l$IC{ za`KV9A{OoB+yjAPCube$D`KrqP7ktI#7{a$UUG_BWR;6k-0|VLw{8+U-t1iF1=CsS zfBwaXJg0(RY|7oc`p>Es!)=~HPvT`t>TJ*Sc_fDe?q57aD{tjxOHV7$>_$h_^L2jl z>dPmToCnxq6!haO(|C3Uit2cSNpWy$_;*r1A*eW~B~I&RL99bz3LPnj3+bD{+eL|T zzK`)mkQ8^1r-4SZB5z9wT}@U~&cR4bF^bTAt?ha`;G_ z)3X$xv*9jou;p95w`D>!=XFmJ-qr3kVm^)9v~GIW{LLS9j;NrF&Ra#b!{L((HwV_Vupxs7bR(EKC`-orj1kN=RT8Lw^&bB z4hhoc@+yMze_xqwpnmdaZe{X%B_bSE-s$n9uey(RC2=!h5WwxeS6x*r4dz{>t~pLA zf%i(IopC^@d5#HE^?qZZx&oGz^yM^b%f*hbp&kj8J!7wBAN>JxZ(w2@3^~Fo{IZOeqV-^U-s= zVTc6MF>$ZMEMAjo|8YYl8HCUDjtx_R>%F!pmF)8~mfotU0#`;Yk(5#zdkFzp^F}~; zaXxo#2OrscGFludoJZ)?WVu3(smZgC?u?A|Ju=G5`6x)REM}sMcC9Ago+M0G=AoZR ze`1hW>Y+a|S7}^o+5ImA`>?QFlNDoU(xT=oQY!cq@5>vr_ZC(JZ<)-8Ei zIMA>7gh)la>xMvLBYF8{gO3&>!kJEzdo;Qxxq;VG+EBSa?htbWZVXFW z_c^Rmk$=B#Nm}-i;pKFHi{+|F0r5AIXG6JbP8$dG6lG$#it7<##QJC<4}vr?N8`pZ zefsWYl@sibo5Y@d;2O==s}iYjcGJK7Q7PA6MG$$BvK>_a_~+)NNbAv%>wm+-C^5x= zpSTDe#L18hFB*~_>M4MeTzW4-YRDT=u2?|mUB07+986YdebgZaR zkrY#hBiw_vpwvJ4Tx-Cki2n z56q-7w4Y>cjG9mc-W7ecm{7`dtYk*_3R~;RrsM~)`anUxVL{w7%zea1!i#%H9|j~- z0!f+HQMjqgyDdQ&DAV$ddF(rV!S=DCPh;b)!l+sMK<*lT8@a>Y%_r?@qmsduqyBM% zAGmF5R8S>!lB0EhsM}L(`^OB3oBWVKAu8B6&L~UDWe8~*)sGv$la8YU+T~#uC@CXnOjGdlJ445mtd^@>o zOQ0;g0UZ)kug4#&>=xXBj>uuB%Mf#gd6O*Av84peiK{anHTl880B8q!nsaGlX|IdW ztTP}9XJL9_8iMS^n4z9-js@N1b6Q#z$!#xpkYczqYeU zQ+Q9VT3!#BiFo@|(X_No;ss3(KIFv{kxFdv+~!1&qGdE&NvN%QRb z@1fGqbLYM2nO}JIpJ;63MFz>;;P-w&A6JUFxc1q`A3Z;yc|*kMB{`h;ig5<*Gn>KPC4^y1Otw~t2|5kKHK7f~Lof&Rm{lbRW6!s938Es&ls>1e*EEC5KuJ8l;{JjOS ze>cni?IN@M!#iogVWYg7XXt7jGHVnZm;Vr&p3J)TrG2q}3DFT7dlILIz2_EI#d<~2iD7i@@1J(=|3V*#rx?`Zg;$tD z4cg4B13FEP!kf#F7kVm#8c0NJw<>V+_?*m^{v>Ff4-V5^%ys@3yWA8|jL9tMRv)n_ zUWMv-!#TwUN$G6k@C6j{qkqX;%Hei6)h6 zUHg_3)LFUSh%%=>k8}Rg=Uo8F7T|wf3RIG2Y4eHaIGgGTf@)1E8s_lZ1uR_gc~bL{ z>4)z{t4F1qzh~01V5M~H(>28Iq2VXf=Q)dhe>74==D#61kalSVYm^G8hqwhD+9>`w zTm2kfz$~pr?jg-wRo;|n6jCGRYBl+@;>9=k(MkD|u#bwu@^QgU( zJBvFMJwJI9I?O0TI-vib2Zr`eI@v03M>w2^{|Ctey>^ZdcYeS>@PgKMU(n)iLZvZL z#hr7r+mjRB>cvO-9M7bD|BHmP9JxM79bciJ#p?;{6J2l%)#E3VmSy^QBUFYeBbXK0 zUbvZ;xS2Dn(>ZK_@IR=qjE&gYJVMr>juS%ZosRLO=pT?Q8*&BBhJ=^V!Gb4#;uUw$ zsE3Ha%cUnHCPWbH$(2uak=*}=2OzoL3ozf-U|$|^5AI(Tw~$zN)~S1GULFk9dCm0; ztRLu+)C`UjVE9gdB*WdTea=lez2MYRIR;+B{VW684;5`4$(*PKbSg~7;F-p(T|I;2 zT*Sh*FZ42N`D(ja#bw^0viuM0o}vbNdhCP-)Kf(SuGXLgR5nT7IhfRfYCkyZ7oMlF zdw?A*)j7=m=M+skZ+?a3;@RH_FE>egu>V0yKl*j8NtAvJ+;A*?>q3nm*f$&}Soj*% zGf1YIpzjE!S&DHA^Y>Y(2}8=pzX}aZ8?~)Hm!h~8*$hmZk#NZd3C;AaxyI3L!MflR z3*@35>kYILF6O!zKc&{O3FZn)pZP$p?sBL29lFl9@G_Uq@ptBqk3oR~)c$X_#i&e~G&a}n(-RW&WF@K&*6iWc~%+HpcWn@t)at&Se(7c#}Wh5fgG(`dE zzX=)G2KW=V1rkd_CShw!s)yVEPWI(xw=l!OwD^5Oux#`p%pAGyblZnc9_Bw)q1tMY z>RSW85%X}iE$eNdki&`N==KC+ME8J4Hx)x*M^4BA`Y$lvqm*q+^C30v=C0^%wc2pd zeUxRe^+CycUvYH?sQvaS{=T7Z)g2$=b2j*0)mYyw=I~c&%*3%j`EADJ9s#NU#=V>;PN<4M0v^~+ zb;-aQf3Wjt*!9|IE6<+gu5BuZe%g?up6*=z>0pjI_5r^*j7}X@9Pazod{&m`RWJG& zJ}6)9zcZ{Mdb@)S+a1V|iU@y#IZJ-7`0njtDaa;1be59i`f^nuUNvqE}O-^*0C@qGx??>IS&FJDZf!32g zS_sdybjP6>B#qE%!Y^?L$qaCDut5C?^CA0{B^I))?#9e~Bt&*sCdz3G68RW}KUf@j zvD`U`uaf1bl7%TC&geitxETuMphB&H1XcW*#4*DE8}MVahlP{wHE$L zf_;i{d&KQh()X)dq~!bmkk4j*-($DzF)=UA z-&H3^v2Kqx-phje7cTLc{>KpqaHMg_flh$qO=+@}kCrwcHEA~0fZBr9ltMIVLUTMsz1g4rTysFa@J2GG=HbU$1( zU*>D#q#KmL5Gjfn*~#jiFZPD&(7UPuO`7@68r z(x*hr+gR?mM>_L#ZAt$~@W&X0qw&n2cIWf_3vciQC?+>~fp6>B$+~9#zi|5{qSfq0 z(o-L6$5Ym|zhc|Lsx9X?k;1a#+sH&*ACQS|zduGUF;CHh3frCSW>}6-NEV;IqqLE( zuDEQ@OIa1ePiEAeZ!QQIrNmK+$c%XXZxHm=0aOl3WG7`oK`#U?ERQ9BkPC=YKVnAb z5a5b4Q2I(iH)SU5kV$g>>cMf^C{Ypkujz&vz6Asaj;giM8E6 z5#=N1=9p{CW9T3SP;<+Db0qEVpRBqjoehg)p{;Tk5^tOcKMOoQ8K4feY6?3hdPI274AT(KQN$@EMPMgx+#r*bDtkPE0jpn|4|b zhjb$^fokHFNYx6pJQB7luIG>7Z_5u!Ek?^<=tf=}o@&wN3|TNwW8;UX&S%fd?#l17 zsEoXPgTgxDs4V;Rphk6Z)|ikj(J4X}E?Dh?VKLeyOk*2J|NK^wX%;54OxerjJuJ=j zB7c?JX#o!O=|F6Z$rh>FDJIMWvbBH>9JP`(u~Nf*Qsm&IgUl*LKEe2;&y4L>W!9$N z;N0Q(^kC8wrReGBQH*?2UZeS2q|Q!8%eT^g6~)nE>ActdjA{}0lIt0x`kwYaQSnnw z6i{N(N>o;KFLO+wOoA9`PR>TQt}1MvySy8k&k2PfRm9L(Ho9PA;R!|*65L6ekU zNJ%p;M*nrjcy&6|Lc4XH4?A^MFI^QrUOlVch49t0CuVCnaHrrX%ZYg}qq=pJxzEnp zFtswAmqt1WA`HT*%%AvvE=helmLdWB(Lm?F`eG7z)snqzg5$bf}Xn6b{n}mXWZ|me&`7#B4u^jdKDSA{t4Jg0$&Y?(z&zCK$tUAQrvd>dZ6{ugDI-o|m= zYPw!;x{iBnQyV~lTXFK?zk&-3-1C|bU-`tgJAM`6AZ`G_|3O_i+9@YyVZbjoWQ{jt zPUiGyIIo&h{(QVPHOC*zLIHZ5!@)sT@y)_&NiRnTU>mpULmyow)yn<;QlxLMpU)V zXu2{_dKu2?T4ud2R1S2SFYhwVe6i|XY+urKIcGQ9fO6YrfO2OVAl0mobek2m0cy5M z3)Al9bij7I67s3nehKxdA2s+kwRh`vNta9~&7L5R_O4PdYGe{7799bh7(Ju6gbWt9 zeg54l>@gW5M-n!4kpKdH_uB9XGTLc*FU3W|0Q+h?S~-Fvk^W*cE_iU6xjz3ma+v?m z34%>DV1#WUUssML5n*)t;@|~VJG*9X9y9R>ywWOt#egNzFa}-*6*>6-d#YX0KmxD= z0vCwt&24!lEXfBqqm&23Guw7!jgZg$3gyAHW>s0n8Qs1F6fn z@xix61z@HzTy|}1)!lk4MjX9!u*1EC5q?UsO^POP-IWt=J}z~@JI4tRE-|k^s8B_udTniP0 z6XAhc$k!nAys0iI)e2S<1#&QC{1!W2Fhs@oO#aOn!;74&l+D8!>ubj3P<*1VOt-}p zWVXT+GFKe0tp+6w#2J8ZUHQ6tkOnKFr`9|awHK2OkxA{oT-<~N zPp>`C>Zb)na^sw(1|F^)>~u%G>8y0w&3A?#@JVpc3_imp=*NgSZcbj1odI=n-b9bw zL2%q#XP4o?!Ovj#JQ|YCcj2+QmTaXFLK}FtI6cPDn^c^IqxZrB=+qMz42;q(ffc*n zNe42kUWM=IqdCBEoR_JlW9?`|{18^QL~yPVG96<3OC8{3OJ$vhRcbd1eTY;rS|+F} zZ7XC|#c>|^TYiw>GtZ@jYT&}zqot+vzTqgAE4pxzI*6PwL!>dw=AsQSieEc_5IOp@V&I?;ims{7sjPiohsvhaKzt7AxHP4nJ<* ztjwdqO%pMyyDSe=)A1)zkNTd~Mz z39ppK7t@zC!2&#O4DjTzxevTTHp(;=*9Y#}lN7WMY6~*~Xo-aTLP%%=VC4%TZ~~XU z4x+u1{|0L6AVwY+Ie;t+mrjWX{uMIcgr4Jf0^`Pyj7C{LUMEr;9_7W2e<;7uMPTR; zl|Y1Q%O%Om!t_?kfXTZ*&YTZYvT3y4Dn~|N@eHq_p0A+>wwYCeIBKAz>0e=+3DN`i zL7?JUd_%1PqQh_?01T?uYs9#TtsSRSVGy^rvM0R?;9AALIYgYG@UuQL2yh9NWI)+Z~wHWrHMH1#D@?SE3JdYhxN)LRRFE*-= z7%{_~jT1cExc?Y-#-AJ(S;~7rs1gfcC=%Yffgu2H>?*^~-XsN+u07Sklm?y=KAVNW z`zS^Vnb*mw=gA=JiAO$j2kr*+`git13P*pdHV~$>Rk%i$dgyr-sw7s#(I`5aOnl?e zb|{}!0?nX77^%>QWV1IdK+mQSC}GS!eHuB;6udZg__H;(`>kZb4}Z((*HOTv3mw>x z!M-^36YR%WoYHvxog@U7t0JvJfN%qT12VHPVMI;H8Hul&z{|dix!vJt2AZoMyUhz$ z-RIGbcp>gkh`=_d9*>9>V*iKYcJM}is5s3M6CUSw47vVky8o=}uLs=#9Hyxrqx6F+Y z=xv`C4ytoG`_+=hN}1TdVH(MKH_(&?tUb*f#DR6FftD0mO6BSI8=bU(t9BuINf)i& z&|@g@M3_=Sl24d2a4Rr~z$z@Ck;g8ao>4}!2Y`)ebaKvUWfo4iR%gXSmN|TR;^BqU z%}~oE%c;@6@3pQ=*h+O?J_?k2Lq|jwxHBDSdZ%C9farvnatsg@;IoxIFTJDU!e0?G!F z0%U~Z+%R`E>vQ^JCUtGS_GqZ8o+x7%i{4T?R;sRBhNEQV5rSnRVDds$wfx8g3`BG@ zKccE>w`rA2Oh8jNR-XfdvDXM`u|t^EaUSWrzX?z0VpqqhX3xw`BQ?>;kl?(2tQ{EC zH?IoJ&ag;ecGi2N0XwvaV>wq%iiE9!`}IR$rm~0vvz2%!Qgth0LdtOK=M+ zdGT8%S+02Z(0=-YohTZYqeJaQ8W=RC1dYqdbnoKuUG4&{CKHc6q5P4)5b-bbAlr|b zh2@zfcEB4*{}pHv{vOMv>ue{!fm&wJ^4{jgp614kY@x1FQ`b4H!hq)I%)sMjQ51OG zsFy(4xCJuf;FW{AT$XS6Ny=g!+UiW5LbQ$DDo@gL(9rXkdtgAY-!HB_D z8mn@Pgf^el;0n9aOe?pcLmIEms%$S*B+#&&j;ww^kw z(2;8&q@A_um4+Gj{it=V`sYKxOV^eSzp*DB+1pu}jLXya1SmezGJ6G0>Oqxkmm|Q| zFIy#$LEGg>B-D?EmNyREvEj-UEr0p>>2j%Q@gg#}DImA{YCpc$N?~(>%vMT(%pUB6 zK0P=n{zd0uS9VAuUkYY+Bp=4UeX3}W481FVFJ<52!(~cT{|}#Otr(NT|$E*L9nD$vON(57VxUYDx3e<)!i z=UHIvIA1>CGsLYwD&woKaxJA6_{L^0C|ih4{qQT6sS}> zo8N&yySfkY`ECp3F`qSd5k__m<@y9IupcD@k*1`wAlT2sKB!b;2B{iqf*dqS_n6SQ zD=vG4Tr~@Sj~iTUp3%3aujzf2klDN8z+tt#7hvBH_rJK6%rL))x_pgV3^qOt0P9yr zp>5Fy=#;a-wSWdpFVTvb)Al1``ZA6#hC}oB2t9d4ppGoO@8+b*Q8 z2iz_@E?hz-I7ASI=Jd%lqgqgcPk3t_aODNCSY^>z@KWMOiqBoSN6ZXh4!IHLU`@FO zoFgWd-^*(6T;UkGwD&n4DC%ePzL|Xnr16F+>F0??^XXucIM`S%UuChR2rCZ2{u@XL z;LY|b-Vo2@C5WA>g~HH6iak58KRQ`%%BKr-bq{+<}Gi5+K<%4q!VtMmdL&id55&v$VNnmM-`m zICa7?22qCD-6e9BLwp%`>V$h$c)-!cCPF8#dSMYKFI))nNa&oZz`ZPo5%u_h-6yel z@Nz{-KHiTQ>JtU@4HT9x`rw$>CsuG~xuRYwRvk!K@2Hi|j7*v)Exv8gSvFrdueqxa zC7ZrwsYCE=oe5s`dVY+kb9HA%chce>+>iIwj4^b_l}3v(wFALlwrE>h{ULvYCH3B?PL2A%%;aOw}j` zTX)1ZpNQYk!Wb`TGCx~=&BXMA>LFf%_}+1YTg}e`uB`9nCm4A-5!npRncm^FT0S;b zrxIwK3hxIk)V0PY9T>$r7!6JQpcsN$5AK34twGZ8^{?o_JrE^OYFHFdy8g0RRrHdt zzA?kB-=xtv9E=2=sBDpQs*Zdhs8vuNh>C@*HVJ=SE>I?dGg!6qxt9!+HJA!uEC(8n z=3aFtq_ctN0{veFvIbvCpMI;++lEZ3 zJ%u?nyzgr$F#T=p!|Pc!NYSjn6K}$Op;-Oo0|6B`-P_o=rv%MxaT`11bp-|7nrZOp1hS(t&MH54|-uv!)-xtje{`4^^#!gFk$~0fU)>?cHsS{0^rd4sqXA#CE&PIJc6!vjh#?p(i zVMgp%UptpVfwOfo1w>2ObB++n4~c-QKi{UT>G1~lVr%TxSwAgrK}S3P*Z9&Oyi={C ztZ}+KYVSF;+nf|%s~lAyJ%>!R>WdQu>c_beO^6$`%8f5JyMljC9X2|DbQUn~PeiAu zFSHKa{$<3iIk+pji>`fZ`xtv2TWo~H56YcMm(N@ zzsCV?^+^8CzfHX(_G&U9GnnYI>WKdmQodc4vKFoTohx1&v91qGhv+q`y(2Aa8Jozd z-aZTHhLpQz2;b52nPOgxFYPsGK2luw)`rbKw#$hd{~;!-hd8d@3AvZ14*r za3*RHx$`p8KC+5;?`{E+Z$)tnQ(|rTkT!xyKiw1zj7kD8^nV1&&&G0*8z2%(N4*pQ zv^GGzVc-c&mzQ|e(k}Eawm)|XDyMsVR8^<=@BEwAIUX40&YQv>`H{zU%Xt`b;NSVQ z@S0MEf`C3Q%zJPCf1$fEDcb0#2p84p|BDpoYGY~0J-Q*Th9B|bn=FV#B!1m4YoYfD z(|y7)i(1wq^%hgxC&-=vu|E5ip2=KcqTzMW^j9fo`CneX$m)D0!$sEtF43>S6G=B;lJEOng}?&wX0ddLkEai};`;A(!?^L! zKN@<@geM`hhes}zir)8Y>H7YiRNiWK&-yU}FQ0Z)Yy|xYoyu>+Lkez`a+Q!e?ff_Z zvCCD2ss&3S6O_cSXTaW#o|%_OmW^b2NLC2gLX$+_9-mH!qUG%i@-#~O3IPq^J1x#cOOKK!rr34D`WT%+8D5lFI~=Hp~+y0Cof;l zWx0b$I$$wkoR~|s28BSz=}%aU>fgFOj)KOQMumOslCiX4tb?W)QmWj=Wg*8PzJvNa zDr{ViMzF$NKK7&T|HIi^fW^^lYoiGvK#%}Qa3{gtC0MZF?hbpL6g1@AIr#QeD;4UDYLBRqyJ!FG$glLZeq?uas5e$${-n zex}t51lF2MyvbOZf~H&4&dj&hEP@}QJn^d8r#C$PBZH5@lz4;K6&f*bwfcR(nF9%X=K#JOFs;bY4P5A9b3_%8qCUB zq**I}D^6if0PXTOf)10+8f^y_rgjq9PJD1%qin?#X@V z1*e}bFI!gS=d`tD&};*M)`FE1pf^*rqrKK+fQ^8A(_tQcU@qtpBh2f0-(JtWrJc_D z6*xvP$t|S^414Gv0q?be3*(^4Jz&Af{)M5nwsZ@VrOlIN`5IcYGkAdO|KaM7T(59S zdeXf>t1MaT#i_H}Z`8&HT4DNk%5NOE(OXi>UTo$AR?(+U8_9_@2F|`Z z8893P?pJ`I8X#Q7$oUFS?Vi)35go8u^l}D$)Z+FF@2qpUHbr-zW$Kn6wy}CETvANO z1UbMir<$Qh$V zbx1?%g>{m4iQ5&SQW7Cy{AUd+|lxRGJEjjrBYOz%s-t z*_|=Zb?(zJ4j|Ze7a-xgIw$JtFP4lY&vjZ#=|ppCem4jrrcr{crojH3YDhF#>671bj=VR1NaZX*3nUh(RSQlpnSd<*W!4=fBq9A4a>^FT8 z;&r-aB#nAW)QYlQixS*{3*eA0dS}IGo3TDUBmH4rrJH{6CKIiE$i<6AC0NQP`QS#Q z03&XpQhii7ZlOxuN5x@8@3~SRzXz5C#nrwlu({8ytkJBDo{B)89e335m)u%%YB$&W za9bDr<$d|3q;n;V*sq?6O~Ja7fA-u;*o7Z=JAz>Y-0 z#~6z8;x81F@8KV|qG`n}s?vWTDgl;2)Z9MRvCo95pw9@!)@%0Xy6p<2w_(oKP@G-? zkgnIm^E^1!o=d!;Laij#8up@BmTDAJ#ZtwZaT@1Ns9sUUuS|sR6{uaHNgOFMKg`_fh#z3ZU&z7&s!YDY`AX6(yxsl1dR)xA_=$v zj?zUWp`6R94=kb{wJ^WCor4`$33$-iJVB-cUJ{f1@2yu7}VXW$%EU}SgH&U8bupZ1V^;w3vdGY^r z6``DV|9TWCQ+n}c87)z#hHLn%{8Q#0M5;iKZOEr%b)t)O^ZSIMypw4Hl_6x3P8^<=M=#ytoA2Y{a#i_YXf*s*em%;poDefUbGsh5-_JJ zKz}m}##hGjcB$%C2}p0LU{U>TmS_D>9}G8Aa0^id<->=zy;k{er9qd;dv|An`&?)5 zRHJFyh|Ai@l)X2cfxU<$sL|xgt>0w3WuQ{Jcx+{=Io#-fh%W zMq-;^q$UX15LM=uAv_r`F~bs{(S}+K5-RX$f;PXzt+bG#bY4`hplRZkCOo(8?O-0C z{z{L^hFJ>CEaUZTwQ1SXEJkq{wd6R7Oa&i?YuM77xD+`Ea4pnyJee-GknO& z9}Ul_t%w7h4@)KPRjIDOPUsKi1*Cs{3gX3vXDf?a?RKI^$_0I3CPD$;=_#5jrsb0k zoaxBjd~*!~`b!kVdXeL_8$zQxd*^%ES;L&(4h2IR3cq;&_p1u(^VP)!ch^)D;H7nZ zUMxPHvGUF-dLu8CUQpK`=&m#{{)Zo|4h z>0GJjITMDpy4)?!%&!W8NEZ=Kr{a;U`%Ky;4#3+{92`N_cTmSKMU&`mtL=PpX%MH= zkM2#i88;EmFSp&f(7zES0E^aY6KHi2xeVP~v*a>v`+Ut*8d-Lq$-B;G+Nl1a5^(d> zpB$ces7h}==mhpVwEg8ZX}=t`(<&hMStR%NhWj^A7MeK^R=CC(-lb8vS+Ej3bUc3p z(`GY_Br^<~Nf?)L_wCDEMgrdEK;1GM9AdU(qyE>kj%XDz^T4#F*!-(ZLQ zP!o$KiZQk~@5F0=q|49HI8yoYFQxin2o4JO&49ypgV(N~z z+pN2RjN`UQ4|f~g1dS)n0)_@FcW=08F7@B?q^Fw}4=<|66Ps(?Ffvnz z*Gmq*VjMV894XYV;_6~S}`ElcJHQ?Amy#riatHTSvjy-$A` zeMWN>p`Q}yb9E~rF8^W#%ayrLGfGGA>UwaB6}lcg!75nlydW;UvTHf`CI%mXc~P%h zljbt%?tWRcbgXjzjc8`XG2`ESu9edv+3X|75j4JQ_%2;f(74`0=9sHq>|Ca?r&odf z=;36-`wTkL{HEH2#{5d@*XQSp(f99SeG%Axe}8knMWX_uwui9d8a&AQ}1kfo~SlCgX6at@InJav}8_=oD$gMe20 ziqC@Ip}3cv*^EUD+lPY;zY3{%XA&Z2AUg$2P9)s`TWsTSSOLdm^f7>AvW?;}P3@O9 zp<-RudteYYrXK5Di*dD?fN->!f4Z%c;PSS2D<*LHn2PT|0lnivKajkpv+YRG(HsoG zIX|`+2yI>@Mf&$P>p3Q4Bu-nVy&OpBl(&T*8Vy@FutCGQ@pBcLRgRg7yUz4v+!zl&_gOjfF z#6Fj5rwaj*+T=+w)AqKhFqU(`=|bI+6Fa~qP}D~WTHhml0>kFPFecbVI_zN?mJYs8 zSB(@0+z{U9J;2>D%2UivnX0m5hR+HC*uWPoSe!u=b)8!B zUlW<->JqIe!wOC35_t;eI4!Ef@vXDO=krH7E!G>}8LGUMr+$%z@1`b+JK3u&-H|Vz zrvFNuhID&3neZXR0ryQ`5LF_?(MBw4}?I2mQwhaARk037p3ydqJs zHo0+3@|k-YxJ06OhFkFF24NZ=wDQqkIJ1d%gm|M{qlqONTBwx1{)k0j5nHK6|L963 zg9#}l%|)V@MN)=ub@=gYVYYu*&83!AP1ye*T4hySb2J~PwlAoPg8Nah(QlAFHFQvK zX%K-dOr9QpVQwheP$U3C20&aL-1HlmgpP#qr`!=dFQwhsdkBMa_;NuJg`3etXW=bg zAST-v0?7>RLGOk6X#ppFA>-`VYi_ySganCOmV=71e3qby%=HEW$2SxsD-hd#6UB&d z>K^e}Pz)+RXG19{W{>wTQ7iIht1&{hbZ=(;Z^BspwD>E}Ln>dLQH1vZ8~x^X9p8xX z(x~kgrQEP=N=h;}50|}z&FcC>S6@R&jN@yn|LH*Z>okXvp;!-_+yzIrjm-iI!|KZ_ z#l8Oe!X;O=_EbH z^jf@bUH56nc6>935bh!?mrWu;opCCuBkEe<|Oy{X(vwg}8RCuo^oB0DX+pL_8Vd0FRtiP$d z9WjG5GOQrBzObQpY@Gk2(KUpAy`{O(Pq=uwdTIL-u^u@ zVC}Bi@o~Msi5^(*zcR%hZuA0HdlwLc)iKUJ=^$yg&&SV74BY##&kB86_)VJmN9{!1 z`!yo@smGMNcet2ymw!OKpMh@B!hp$kev>;ltWHQ8>qB#ep^Q8pu*|G8rzH9|pxB!tZyt6h(f}SS!8#T< zYf9rJ2}uw26X!iSxq^%w_x1%lk)=8#+u4SHa2m$wgT?x} z`rEuVe4hJBiFz)<`^ny&GI2RD2kWD!qF&S=XXz$3hdepO^Y25q&udymY95_KiBh!; z>LQOyGF5#SR)Hj)y(wVkSff!gv}je%?wGL3lL#nikc)QrT-?huPYCm#EfFC;Q zEZPGbii!wqzD~G0x5-A|l-ktMC@oJ?P>Ss~ITKi5Ug$0ryvl6VUWU^a^{Q*7sSOsl zTzd67b5tu~2p9&Q^^Qcj^P#^2=62<;KAQkeS9>h&izQ$|HSB8HN+nm5*op;HoxnVw z%AQZ6m`dS=!Vo#Fs+_K{N?}s{n*xX!Ud1`{bs~9h^^$yd=;GVz zBPU-Y$8Xy+=@A3w;Y)yd_%hiVV616f@OXJ5B@%!atwiSkq<$Mv;|gjn%CN!zC}@|D zjXRFb)B>4qgZ@r`yz$}lrx(YocMrzY{9rXrE2fBNIm^y_9r$m=R0D(n3vYulQF2J8 zC#XCA2G}DU?tq6hi@Qhfi%1rOD#vd&{vw@@DKQfstw=FftG?)vV%m;Bc4es;PGzq*89X%7C1N><`+Y#-z_aeQ2GBiP^a8n~A z4uDDrMBx>7?Gxvi5!GM$Gyjy%QG48}-x2tz`T#27!dirNWLEkhzHZlfjOO=H2>4aH zOYf;p*ZK_ou$WB5(3nn_6@^Pz@qm~N5sUJ?P{CUVSsUxfV z{o7n^PoZO9o^Z&bN*0oZ@Uf~rYj$O{*umX@hl);F0^(hH8tLCx;l_i^!oyi6PDa_Y z`IGwbf_j><0w5(_hun3G(cY{~KS*=ai}#qYdlK{DrCM0n(uE4ROc}ALFS-YZ;c}KB zP`!+Ox&81sYs$e7el09aT5ogX*EuY^CcZTrheNb|*Q}Px#R0FjS4Egrak$cno=*Y4 zwmXD?i`zs}U-68_I61OGfyMmLXZktbNLBLoEAQPNX#nvBMpYd5@rETlaNmU2r!;U~ z#v2LX(*Z%~M*7{Uymy_TWW>Eg!rlJ^9X7s0t51CYRO*?HDdb(+#9#T`$JaQm!AsD*e8`edCX7(p~q|gefpFX z$k+%w#K=d2^1gUXk_`WtM8+3FsD1QJgK+BvL18!C%>V$9fQSJn7fX;JULdD8Suhol zlh7mcoZ&?vi?cJS;ow&upw@&y$g0zsmR9SEA5c_FkdZ4=Q@RQ+@A|*U#rvkp>^Gca zBN}0f%`?Qj`5)~&oOX8qG~X|^??kvB{~53f)M9vonEt`LWxZFQvHUdlUU_!1X{#)= zS#=&vL&+66=IV{hwJ;1R-oInE*$T3MFBmotHCz}*Uvu%stvfTL;|14dnrS{Wypw!D zQrL=oa#7r75b-q0nP`wjs7lYD$ECMe#4v)W(wf(J-l=!!;}>${W7jhZwax1$ChrAu zBipm4>v}P}bH`T0A;SBVG7Yxnnb1gW_~n@aqIkiZSAaLhmjos}56LG;#87(fd-FAZ zg8{U+hP!GEb(#JJ1DwO~H8KR4lqGBW%7Z|vNS4>0YahcBSKhF-)0k#L-<$!$S2^tY z^8LN6hutYkYXuI~^a=s^G8|@a^#ZVZN>>Ox?5$8A)uok*5{GTEFw9}PEkajJkp5bn zqqiFxOC5pwFm#p!D9h56vt&!4Y;}0J^myvLTo?VHzpQEh6qE3lL1AuvgBvB5)2%`L zsZ?^a&i5xdvU-4Pv&xTdqFb@j^WE=z&@OXZ-E2y?FxefyadC%pwinbPELS6bTw7sx zhtDfVmNUdk>7d;U^-x%c^1=HY%GUF#KJ&H`7 zX*?0`vjtzaPGfi;Y#4ZTC~BR;-7wSqxpn@yLXqh-_(KM!y`{;Te#k!8VyyZiCszX@ihgEuK)eWzpAH4~vkrP~y#G7YC*hXY?~!mDBtf>GbBfao7&q`+zAhgxn&d+Tdo- z+s8nu{16Ai0gIm}0x#M*H1A~8lR*KuZ9A}PI$~^e*au6m2U>F>pjAG%M#g`z=E``W zZ4e$*TXp}vT(!Qta?#Pg7XU7^=z{&=vS!Va#PyKfWCPm58B5m05TN$z#U#oAkJM!n zAKc?f%KGlw*1Rkn&dU0z8q|ek1o~2uj7}vF9g(u+FGE;r9oAkGN;;%R04by2aqR?u zde_ImtAH=*;P*22<14MbyW}IdBs|#nvhVlKLCe%XmjvrOZDj{KCA*TrvP-=&l3nZJ zL%{s@)e~FD8s0QA%%iOLlEC}^-h-n1u)gKNTPK8ZL)}OQ8Yl7kp<%4Gzsx`Jbm+*N zv+Z{F{;<1rB#!irx84geAdV6=4x-XpakVhxVYAQAb(u!sF%sUg)xC5CQ11P*>@APC zRu_7}{MIg|ZC8DE!cR{Gs*} zc)q&LdTyEXz&81^PWE=5GHrf}F=DGWBX9KjtV->57==@NK`aAzT;=n;j@}g&CF~TbU%twH zT6VPdC~_3`?Od0a;Vl}CI6#fVK12XoUkX(!+at1MPIl*8xfs0MH;&3kL_pHpza;i6MBo$wU5}?Qw6nv1Mxc)peAof${((f? z&5pp?8a#O_M9Aa89SLkP4&n-0Wf*ZrS~JJbqdo393-jX%)J*eWUA`kK2Hox%ZK7rE zYNGuheBSH+WRqHkXw$>7ex=pE-K$Fgq{SdA5X~Y^pYV%|@p~kKjXS}}mL^FT;e8yI z@L)xjaX5xUntbJ95H$CmFWqXKsH<>wict^iX#17x^dBLgD&wH-3Hn-AqqwJYP^FbdM~@&^{TQh77cA5ULEC@rtM-jVVdXw{lJoRa8F2w1%LXy!KrqHLa zeTdOt#@?KD&``GNnA()treIR$oPB5R%61ZX9SBs=s|Khzu2IBRAjsWCbd#IXRNXiN zWaJ{g$(?KFYAi>~;k})=!5YL|@CL=8J6mbZtP)=^X7W0*xO>Ey!<&+Busx9rhYy%O z@C@~RoQPy|o*$eD2UVUFnJ{?J7@niG0SMGA){o1^>LwAbeZ&2PUNMVtP|DSL%2ikX zTHE~%Re45gV5$m`r8JLH*NKttQSvW^UVVmb8Fk9tS4&U-?c@QSddqak*8@7C=g$jJXvDtfsS~QG5Vpu7KBpPbBN#Mcqx+)o!C*%|nG`;>#itoN3w`sF&%dx+i<%Od{*iiR7 z?ynWI5crQQoCGl|xSq-3l6I)AL*3c7oRq+wI+)E{$@s=pH{^*mL#N*$HGCJ7@%i;R z%!W^VtAff1`HJscbWqL4(-*qTcMNDo4_xx^Uw|ICMtFg40RkKHlLMZ?O)$Y0_zK1C zFg*ESc9M56U^#B;~DfSS0fVv;&9QiIxwpoC2lCr)y$v?~|tbfdIQow9>8exWS zl`MnrX{!SG$2RLRIriZ{wE|dohqUPApBfj zs<8Ker%pnZnv#PNw7U6DSzjhUczuKyt*Ea&@!nmtN*vcHx2#>ncE{+wpQLJWDU^3V zP)R?ZD-hVJQT7_M%)7~+mb;KFOWlppBcoB%A)|q?H}EgN{X5r;+jMPATXI)A?QwVC zlN=?f%d3Oe*HvM5JGwlNH#l87*kNd2Z~o z)B?XzRr~8~>N!(>)y_ADNwF3g*KAYWEUs&h`g4{ICA1=JkOq3 zx~TsZqvSEYCM+*?pc{$8Pg9pbet20QW$?9reofeyX4NJVjOm?Png5-=GSo(Cw(yebc?{cB5rEqt?&ZbESP#3fSd97hkC5NZ zOOu{T$Xk4pVlux|w(^5%QqJCBvCu%w@`EMY`96rrAa7clHA4Cq^F=h4H}odRijN$q zb}^=08_=DRO3HMp6@mqq{M58isk`(ketr?YQw6>!1*lyUD}zBi{*#|gI|%{!E{Y?7 zWu_b?n^6HVC_K~|fYGXNKs>{|@VAb!&PbPAxO*7;BbZZUqL!O2gu>NoQz+D;mK)7q z0JZy%+Vlm0RUg11TbPs%kZGtT8)W>Nn}d56Fg1mMOI?j!*9OfF76~qCZal&;qGLLI z??2OTdHa&A_H?XuPSf?$#~02SL_*!Awm6Lle1FH<3&0RBP6Db)?jqUe@2C4h?I@8c0OmU1W0ukwI_zUBC#afwsQMJhIA4|f@cR~c>t9!5dfxF8Usl3 z*OR>V&Z->WgAH3$u)YU>A0kq321v0p;0<~4+Sj_j6IbyUEL#JO6NErOjQLNO`$)dl z>qH1li>6@+`UUuZ+K4*;0WXw#21miq{8Zr)Xpb((k3fm;IK|BD2B`}4q1k1z#MOnT z5##s3s|qCgI~hI~<2OTlraYlOr2wwreWP%!?M#(%=h|-jY%6Q`vrxP3K5lAF!$Ogt{mW&~fKa~2lhK1Qi*<)_E0J*Jth)g{bN7O;~Abl)!i4e5n zvyU>DyNfK;{%jKSi!UOtdj_a^Y8~y(YE7<921Xf={d@ne#|;-$A9AZR9oq322cS!hqHKHuTps=dJ1P{3V9(l>a0vNQjKfv^8IMuN;bza z4u0ve1b5jBD^we&0s39W!+?SyNW*n4*$^$?54<>?^qqJr;<9MY&Z?&BPR^=AKhqmI zsG6xeyZn=FSk?d?Q+Uy_^W|_^m8*AZ%h}$3s=nFL9k0jM^z8e#;U(ywLoo2*{eb%# z_gDbRvXm~|2coJ~Up~27Ke4Zec z+&EpTrVqF7j?cOts5V^|>UvC;wpdK-)mWCz)uKI*6B&)@d1ecbp zOy;WAd{VX6QcYI-FLnN{YM-&;cwa|uOn@vEO0pa_XDYoFujY(XW%P7l z6etxz?%f-wE4|kPes9;9&?9Wx__!PIPgk}tLpjAqg|Qe^M)4FzpVn_bxm(GTyW@g; zr^ZRk`viyROX$lVVu&l5&&pxcK3!T;cwg>uUc8%9>d!yj-a_IlHfQu2cow>OV2!%| z&)=9Uf0+4$5aWH-GXYae#`Har{7ofB5^RoygL6y;#{w|PGmeCt^QSV71ta%90#}GP z&3wN`#0D&L#3&N%0lK*Fj(Z5FyTw;`FCR;>${W!Fl3uwLZC2h3I8N%+E>jiw3Lb;$ z3I;B3B?=p?+9Gq30M)#(A$G6*bK@?H=_F|I#yku_D8RY52H7RDLd%LJibd*W z67Q(g-c3E5^i$Nzm022jyqDO5?byECG~o82B22Xx`tGGL(fG|V$NP_!>&b#q#{mxoZT|=hEd5M)EGVlyA=p?x7P8o)%z%Kfxm(Z{q*k`tL}2 zPqsH@Bm3Qup9NId ze>1pnyg3%U8BBckI#j*EF=5}E9aZg=?bMGmSH7>Pwkx+2F#YV3M)Jj$RF~wEbd_ZK zM*K~Wf}aAb5(~PT-jYvR;82+Ca+G|z_7}O#%)bqa^V^d}FXiH|UpilLAOD;2`!=`p zN;c>y`Q&~&)_)}=^vr}2b_2h_V9P8B3+K3SXtoW%DWJp&(@1KBS zG3~9)k~I#lkeb*rUUXy|9R7Me;QXVt&F0#A)eKDTb}f9Y6@)tI{Mzr&tqy)+wTr03 zx5#}jpI9m7sJBEr)beYZ`d3xV@;H;@^C*6^Ihvl+uH$IIh*%^VPU?-$d6fOANVga| z9$(wxn&Bd!*4;0mI zsLr1jTD$#QFtKZ?`$EI6{Z?U5YSABqr`q|Yb*hN=)~~7mUFB~ic6Z`;(hxk)@Yt^S z{p)St_aK-E6-tmCL&V3IWQ$wBhF#33`4*zBmmKf6)^^&;VD5BBBl79;&Qo!Qsp%#f zW)65$l3ic)!4DT}RdRA)rb1AE@$Nj;qLL8WGe$N~{rt4#HC~1)$WNfAOrgGz=mak5 z`ZPVywru2@PyJoZ5Dh>(V>NnIwnBTN=+rxlH2*>cu_dw*KAHU~OK)QJ+*OYijx$Lm zYVJz-vXzdbHjc{O4i3`;kPta7OFDNI$Hd2H+bZ{gd*UJC-iauWkp%&tOrlmN%y-r_g8B z#jP2qv=ErV)XR+tZpL z@lKN?wu!y;4#mBD!1dF=RAc)nbxI&3>Fw9#o9tWUJE4whqz)R#eK*bykpV?DVI@&w zxX`i7lJ2XSCkYzI&(}n)D(BG%I41$3vT4)JD$9*{zF@yp%w?J{@_^lWS}w=xF(j5@ z1oU1k$j)7vc?d+%<>n(os6v~=z5ZtF`3HMFj5i2zQZ(OqehM7C884JF{86mZANWZ% zM~PFWh&Ha$P)oHScBnh@O^4m}C$81%OeH9Fikb>-8%`cpoD_$VoN9r}+f9|f)L1mf zLa7`ph_WiJNoNWzwOSbMPsPzsyPwd6e^QgGguw~_%Wh8w5kk@{b>dnpy!e)FluKej|-_OyN+xUtCh zsZz7$-dZ%hwLCxTm1ugg->gaHkZS)sDRG9;#YxRFm#P5QRj&lxQQ#!ci)E+2%-_0e zvY_5u0!Dlh|M}0vW>Mb;5E69K=go=D!ZzUo4HylO{voI-Qhc&c>_|&gc%KJGNadgy zZRe{9paI|S9DB~<1po7wVu8~KRHDa!aeDk0z>Y;j9DB@-k7~5(fo{e*r=K7n5q|Pj zDiuf$zNL#x>H<_6g3aj1G?9#M`>ka5(9YIC&(?gMEzp2m-(R4oXO=_?(nbnu zc{h2S-;LG@0_{q}!`WrsT?XHc!3lb%<2>SWTeG*Pw?K=R0L&A5a=tMyjbeEG`_3ey z?cwg>@&tO$!v)=dp6#`zdEb48UfP2vPOh^C)*>%^NUS3TrKE;PC~`I<<27ftreP{M zm!GY#*A8~>f97D8=f$$^(`=x6Nbz*0DGirLK4p{Hgk4`=@awES^x0m09uxR{d;LUt z@!(-?Tb=HiSzK)@MP&dE*Ks}WW-c=XFT3Wry!t1)Qnt=;@(ounz@8%dF=5+>{ zi@qT)D3pLftzj4URzJsJTFP)84Wgs9-~Gb)OT4h@@7a;d$u`aV2i<(i zC(BddLPc`!`~rxXm}b1ocea82eaVLMr>1~8;r_fpyManU-F^V$V|=SwO1 z=beg-lGW02=F>u%y4oQwLzHtRQTegfvC^g%pm3l=Byfd~s|;@dWh&M3x$bjLAH;cc zWkWtOVmQ;@^WdcM#xV>$FOka;+!EHzqaoR6--rNOSqH;1Wg2ZpTo+oC0TT$&wKOz8 zw!jJDym6J9JhX87B;l!V+TZ1r4ak$rsQ&-En;r! z>!-Iq>;+VD^rV#V9A=sI=s&o_aI1X6pf)2#*ET&jHhZneRooF}_$2sOcuL(~fw2|C zt_-I%#W~dwTDwstkrHFvcu$tJpQ3szU<((f5c1r~hdOJVVnztk-MSh@qltaGn z`_;-lscRL`?lG>fb~5wjYL^2%!g5rIl!qEL86+FGEJt_gisg+B=@*>qc(|64$5W;aI2P`fVWu zZQh*(I2YjvQ1O#+1aNH&Cga-t-suV3&T_AAK?Y2!>fz}4R=$Y^{M)rs33_=N7NOcv z(Thn}))V`R3b7vxILN0~9_V z^kpCSdq7wodgk{Q%i}d)?HSq`@uSKW2epS>FmqQBBT!9su5_u~y5pv3pl|tU-U!L` z8iqV$Aq&bu?eZs#r^k5hppgUwDgp-4qwzc)Vjik`6cSRav5^KbAIRsGk=dT0#q08y zE8!IeQe)v53BN4ze+9%9{s7oKFM+dd$UdGe6tl=oV0jj-2Xt?q0{5REPTShRb%T7l zuEY1~=|qdLyQ8fU5Ala8YiIWJ>7ImB5)^W98@Soi13Fj7Sc|^w-3o4f`227KEwL3@ zi^RU}-+_u6s~mCz%hQLj;L`Kb)Oe zLT`5jnK+G+=GZnw6E15wD2Db5E~do1trhO(GH7nVt%6=&6~9XMu-wo}lzGC3EFDw( zkA^J0Q;iJCP8CH16kVZ#Mm1CgWeg}R`!BrwYOXQlE7siKzBn8rFgKY<=K3{OxO1_+ zj8>{%&uDj_k=Gi*PI-oSHLv375NvuQa3NnkQu*qDt#Y65n`xevN*&oxmAtFr_pWUo zQW^r(sq`cyf;~V_u$arXKSgqkXp$D7q$&NKC(ik6ffZ(fRfP(tWM%og&~II2QmYsg znuYHQ&Gudj7Lwsz@qXxTk&Ch~du_b12ak7UA<<3KLd=~r{R}6(CN_Sbx;Tekt3NY` za54(0I-qbi5W|MX+F*UpjK2q;Ty@W(sD~s|?&-$PQQcKKC&d#ju5^?+FI$DO7i{|v ze4U3QZ0kqs!qPv8X(*8bu+0?A?GgS%cu^+-mFjAG*g{fl^^gM3m8lQ61nPRm{$aHH z!zi(wEpi&N@FG2_$~N33?JcSYzjxra;mgs^%nRg9QR}zbzWPdEC*zWaDfiFUE?{_X zEi$i*^V(qdXV2!3F#bW-`1jb>__5k1CUe9TuMQN+6(e4Wd*nu$kGy$xkSLtVuPhOp zb6i=OogEZk@-%MBUTVrseTqfm5A~LOo3igO`Gz^8{_9!~&u6cYunVpxV~jb7gbRK7 zKw~)^g%+(-Nu;xU;ukYL2Ro`X8d|5XR408i=w)(5O>BL}*n)zzW_7HXG>=j+kd1Ex zdNux1uYT$6?2TBbKIrGdur@iEU@i;@IU0x6?ZCzzr*|6m&KFqu>tse4 z#vKR#O?9;@^0>XOca30bi-QQZcs1X9!jx=1tNg)tqb4`21Lr>zc0!qAgl^yc5_nN9 z4|nii>d0c=*5SD0)oky$W8F@}{P}{l`ynU4YjT?9#RTN13ivBL(r7>M2564+mQTDX z<8-M4=dB{)kngHF_M4AY8Qe+Fe~!{qN0@$K*+m3;fnGxk%#R8*$v+8zcQ0&fpp#i_ zk_{*mv|Vf4x;}EgyTXOFguxEi+Qt(~*4j+&a6VSYJD6ObKp&nXS_E+`yzlav`wdrc zy{t&nPrpgrg?+h3kQFl;H`>14fh1Ga`$;n=LpY0_0R?Fe8KB6O03$)Qtrs%v6)n#uo zy>c)P=mO03X@cHlF@IC-SNe=VLnHZp( zM2=lf#W`JgnvOyYCZkH&3>3q?_)|)&K=i-V(@?sIPjfU}ESxe`iVYzZhDqW5vSDcB zRw_0|RuI!Hhu5G^gWq36<6ki>l>aBwVabJlU>QRHilti9!a^$A{Dt`xt0tOd#ka;{ zsdqbUcdt2m6mN3c?QIrYVcD6uxLH)MSk`k;TxfV$j;(PlB)oX6DR4H<`KjEYIisN| z>J{3R;k)BkvvlOB@1oOxBVns7g;woKvEaP;+907YDCTR#_^Nt~+`4PTHX+Z0MdZa- zkc$Eh%W#dQ94!T-l2Is|uT+SAsV%A`h!gia%U4{OY?RHn@+iso@CEY>N zzKiq`jEkm=&|A^*`U|ABfX7n^Nih`C>4E4|z9QrF4$?{B%hOEu-(n8WI?P?;%(ZCY zK^i^e^&XkM(XMUN{_DL;SC_p?^a&G^`~d-l1g{LnTOr*`pT_-XC1LJ%1i{+2ZRA0Z z9E2layks#~`T^kx6up17jZqF<4b{TORW9u4EYXsT;45||Agkk&)?=(a*wHW?CHfoo6{X zyTV}8M5+(4#;ksrzN-opwiiJQ11~CWz{=h?+`*iw5@2nI>!&a#XUn;pk+ZYgWhVX2 z0a$a}#sJC1PqH>o@Qs8k%=6){K_{$vy1q3t#>SB)hTpywlwP!ONX7}=8P1b8>Or( zw)u2(YBgfhOO>>(s&UmhGiB5Z7&uvFA9=?g?k@MJBg?DziKW9*nc8fj4nqkP`nhfQ zN%vQK>3#EU574*zt%HXrSShg3=Sfgj{_D`^#r8Xr9iEBC$4;PD8U2kAY1Ihbm*X>& z%;CCd|AP<|(EWhJn)lB)!aWq7BfFON3*_lv0Yl+imX7OAXa{U&a?`&A9Je1;kz7mj z^h8!&Kg;FQjYOt0=xJ|4f@dP%KJnnG$sq;IX6P$jWd9Dnpshv8G`8nB$6ZUnlG9>W zskP-xJ*Km~P31EaWvLb`se%Mqnu|)B96ipQiGkc$_t{t_CdZ<|xLoP8Y$$78|DAd3 zUBR3F3a~P>HL+Eu)5TX zOIU5y(!;XL)I4l{*!>oE$8Y_y?Y`|`yABp9F}tjI95`Romi?>4yE*q)Y3pq$@|h}r zUkNvdZus}TrnYis{hZ>CuL|R;{j|l$?7EMJ?6|5$C>A8QXaYNVKvw-%vYDetR9dN4 z)mkCP`~MJoYmd<>1jkix-b;Js7D12rPVm&@uVmB($0i4|ze>2@1f`wHsGmLKU)xb9 zX2~sTm?fqH;%U?KqT;mN*LGX=>v4NSPfj(L1eTZ5^jrDwkM1{?(_#14;fa1Xz|7P= zPWt@v*);g>sAqb4IlZ-QCLKmXGT%pZd_W=y1}8WzXy*lZMX)XH)v>7nK&b4XOgZ=Z z-Rz)hIro{p?4WKr_v`)apcy$i3)jVjr&kxFX4OrRiq9@83^D|aP$zogd~UYc6iBiV zcHhnlc{LN{M}B=~zc+2a^7D#$?erdcv30doX0Ep-I8R(8dgQK^CG_iL(I@FD$pdg~ z<25cI3%&z;4)TK@G%mvK8oX~{qikb63vAf4Y+-XTA8HO!ER8UME7k4HgV1vv2^&hm$g{^9C~t5cCVb3XYO-U#UAH>Ep#={{Yq(Ubz< zsDJw{XyrIq?2>C>T47new!+yb$9?fTfLb)0lgWgPyvB_MHTpAo!O)&{@QjZ*hZm{M z{mecKMxD16AzrYyAm?|~t`ZUgEd=1-hFE~S>Jd-&0eXw^VWEYail^p}(Ph{%>K=sO zcAT2$o0I%f7!}C)p6MkdB6e$F8{l=jvNs;Nai9;Y@?pe>0v<*91ap*I;k+J>hFn~y zC6})94i@DtI<)6>27e*f72j){s-#cCEPUE3*VxuVF^BZ4?XnWK;*;efE%OCSuh*(e zt&GP>_-;^$`R5VYmuk_)!&gfumRgN7eJKl*Qk<1;(pQxttR*O1u=d{+dz95N?$t9m zw?x$R)uV(fkB)VHZE2xkau~8xE~gG&PMluEm!WfF%jQ1iy&SB*{oGVt^^oW1Y=SoN ztV4+33U$J7KxmNxVb{kZgLoKW_d{7h$Wjn1xY4xsP*% zs1G~o@sPOJ6w`FHsrudVheIpZ2RQVVhEMz5X6ejq%Tm@_94N2*p{sOeab+nUtr4g- zNnT4)EErtAdE8}g&+}`b`)~ zR)6F@2KfIF_7-4KK3)H~h=g>rbayTwA)$0A-6<@fbW1lZ-2!4ET@t%=Hw&VIAT3CD zqacFdf7b8wKELO^-uM6eUDsT5&dl61JNKD0b3POIY~po?+Mf-nb-q!VM}t%oDUw;i z4bh?v?{J@b6kOa5B`>&oV}$dhw7!f3&W5q-jrr=Q?)%|<$49-?UwJjoQv1LuO86CUxzY5tFE^xtBJ+B z{&Zts>R6xTcdsc%NcbBaa-RmqI=3?o2zn59tY!<12CGYr!KB852h)XwetC^Pmy$-h ztsVz=7UOJsCe~(Lkvw3kcM@BYTAvx_FKegX%xr#hS2xGc5bt}nhRruao{w$3@Brgt z;lm1`x8B2flBHnN;<2DmS>`7T105c^5gxv*+84WcbFpPFdS8n$f8@dZ7deB!3z`W% zlbYL2tx&y+sPfq%4m_r~w>6;uJC9oXII43bhDpZhU~V_`T)u{41!uF6m{Gk`He>$6 zYN`_QZYg<#=B#0LJ4oMm&TRwZ!z1l*j1M#fCMfG}kz1JAED!`iPq^XYsmd)(qY#dp zx=h=pjpA=iHat>Oa^;^k<=Py66&2IP+P~r*`-Vfle|2Z#c34r#H5taJ)XRDxUbn%bv>Q@UmTu3uAbKWWYsrU))c?)J&eyo{Z7 z=Q52imGFt-JBXs4tq)nwxg+qU(!;r~_c-JlU;EMes9ZpG{869yiRy}5ALK=vwQ`cOM8 zlyCv|bflBMxH& z(~q*K+^1|_WG!S7^I`4g*guO!nywHpOq*3JX<*DALlM?owWP~ky+S{^qZG9WgJ0Xu zo%_fvP2>Cy?q=fnw5~gD!Kg`%x8HC?=y3=e>k7G_c)cH``UQUCu%Y{dsOzVy`JZnC zL}_O|ic${G)i0N--*MY^;}~J|cZ3OWtA!HZKaV5*#Qbsc$)C+0oJJ`&iHd`=BNayu zIfKhWQ?mfE2W>x9PJdL8%`V}z1!aEwiep!PhB4b1a{t?BxxD1O{iyW2!KaTV>e55$ z6QugmS08$;Nsv7r(oIE5$)mtRer)L`+ym)sa5Vo07gC zhptlU`PZXc*3p@GpewAh~^LcO)^0@TJ2_874=2M*mv2;KGX~-GWz!Vc8iM2w_L&x( zB-rqSh)5WMGk8|9We;KwT~V~hjdV!c8UG`z*9Era3gckJN(PAW^m zR#2|yQ?M0OSC2kra5fFzeUw)kYj)*{&{!caJlhaY;2njT5l?-ko`Y#|t^Jm6R^${P zR+TZYy<#fbelUV_1%$E{U`I930PK4ICCZKLy$I0_aB zq4?5warr6(wu9gG_BBEY&MU^#h|)97;M1a5A1 zPq>l3#wqbdyA3U;oZc#Zye2K4`Mbp)yP5wEtlwCJskL_F-7pqStayx~Pei%tej-q_ zZ7O?=U4(2i5~V3&|Aa;MAvPz?Fq^DtSTEA}MIb8bM|g+ypMF==Uc}wrg#H<13W9a} zAbSIs&Sdcuskrb!Zg(rOcQj$;rLgKuccuIwaAq1Q2pcg0`|Zm^xkqwxk8hw56e?;rFgQwAV*j4x?tnmx2dh7?Obw)9d9d}Hw zu6@a$>k;~IJwAHEtUKFKd99bDGJBWLr&C8qOPfah-lYxf$A66=-Z5tk4mkX{{a{bl zI>J@kj=OR7@ZMW*9_!$zOgc_XiPaM;fx8*VFCwnlc`Ntr9Uqn@$$DQO|D>t44eGt- zR-h-o*8+}Jpe8j58!>DUgt$?z-4lD*`KVU;+Jl!74qdhll#3tp#fpnzx@0%y0sVoTpSL5XcAN?u#8q}!;LR_{B&;h zjjkzBjxA|GyU zr0E3EU6!`zhn~=QFXTkW z4x=`N+_<}1ZLTH>zt*_TggxzBHJxjegu)ilpz+K2J5g&bXcuaUC# zbh`>k&~ayCa_iarDYe}4<@ukvu<`G-zt6AF2oFN9Nd2^v&(Duy7xZg{j_#*2pLN?D zO20X2q-unjO+N8DMX3#VMP2FsoC)^Jgfzawwv_y{N&Fo_x^)p-GIK3L6O!@RX8T!Y zNU`>~i%>}Iz2EHX_8|PBSo`ADgCFWI<4d#|2ibxykH%C}SJNp6Vq!z)UBTCFoONR5 zOejrKhqJ9%rXm{UKTFq^8DSxp_?=}>NMHX7O4O6JSuzNLoJW`hS{eOluiOeSt(GJB z_>5(+ycX(F5k*j0On)c4qH?ioCd|e0{_?ksq@c)0$J1}`g@#?RwYn~9aLVoSHLld* zw4U4d%K%aBH@P+^w=RDZ3Vo{jYn@?N=x`=9VDS2bv|v~J>iIgu^rLT{*C#2Dc6_di zwWj|epMDOKj2)=e;Y2l%iK{ldKU(bjB{T9V!amrprP@P1h$Cp&_G15(haM7yw1#z< z2tz3yBzp2ZI^uttzAk25e`uIXr!{83M3IQS0j_!&>ke+>A>$h*+-*ai%nKXj(!~sW z_vr+T&yAAu;~O)Fm7wI> zTkRW6X&l`!+bG$GPt|rGj~JY9+c?2~*ztOct7&ggN#!MsbLNq{kJ@^m zr&3>VNc3=#A?Na?P2~{wK!S_Te=i@x%cZ8fH7-i=5CxSm#WFzvXLL_i!6x6QYOYv zV59<&z+4*4AD5>_@+7cqoS)q1C7>(nMSz8mwnzu_*sV7J#XM_};VM72zLl!=JtZZg zxY`3hkW&5v!%e=%-~yu)qF??4GZT3`z||fy3?tjT5NWTCz<`tPS8_tee08;khoXFxW4R@OhSiNB{~vy zZXS}ZY4zPW2|mLj6UqF%)<`BPt@mHM_mWb|ooI6^N+SM6p8j61a9k=tW@o%B0ZKG= z?@L~f&`?rP!&ZAb7lKN5^MqmZW0*Bn#|ZC*uSNE_wu2}Qbxvh_Wg!4L}7j0q;Dm#2CPo8?@7 zcy}wslnb?3ej)oZw$C#HooNN7HT$$nc|QBTjA_vNLNdcc@H~-!l3&)xp5x`0B8!@y z2^zYGzigu%4IG8niwH}y#dAvI-)Q_Cx$RTRZ9SKLs4kw}FLea7qH{t6T zM1;N$qsaqDt31%1h$ldILL$&RmybHZ)wJ=HuVbEF`hLV1~v4-7ah0jK_=C2>HE@c@?4AEqqySsrCn; z&CvV%0(x((Lfst06-F zu>|O8(`wjSQXAc7!xmLECJ$cl$z#@K$|%$29Byncb`u{wCTxu7R;IjI$>DMOn1RD7 zi^W-m%}IBQGZBmP5}VT<_u=2jDfI6AWw5n?eeNem*gw!2KliuC?wv5e;QTnIX+jQb8xekGbWmncPlNQ&1g>2Ku(9qP9bt7vDT) zJ)D-hi96Y4$DtA))}5E~m<+pZ0n7IZlriyHdGqCp*bteu@KbIhTn|PgQ@oO_Vrw%rkrgIOQG_|o z^P~*?+jl%!)_VFOBmb_Zit9vRD_Gjt-2{so9yV=Y8Yh^8p3%Y2HJ*`+zvK-dEYYxv zD$kf^*6O2Q;a{|=Si2MVE4mNICh_tWuwS+toN+u* zwS0IfU2;k295x8jYH_)QN7OFUyTL?Z+wjwGW+|*^ljRZW?p}DDyLQ0X&v8baF1S4F zw)+o95q0ywOugs(f>sQIlC1F#Cq}(B@a@PMNrlH{hYaCuHBz;QiZ{K?2gHi>+}`EH zP)HG$`{Z*TiLnFigOz~@D4}ObApctZQ^wmZUd3s~#&}Zx#X7%T>ZT?Pv9$yxJiI{Rnobfd7)c+VdZvH=q9$^ZDARpJVR>zx*vFyB@3(FE@C_w)%EAMGtD+A9+zkJ zCi!kpZc#{1+96*S2keCs-b~i?9hdW|T~%)7B%Sjq%B%xv zD&-%{Z_gt;9EUgLqctP&U z63ohbGi6y^x3Ef*&aV_rV7L(B6p@(5yD0gBt!tcgzVv590{EW4>zKK5jc(;ttiEOF z!Rm>)QQx-ucJG`^`p<2MoodvcUD_Lh+Gp~viQtQEjENj zh-P)#s3#8MQ)Q2(wSK2O>%!#sDS&I$e^#fut3J)Oee5GU@+i1Zb#ZU(`{MkTxVZ>OLhe_$9Q%CGI8g)x*NES^e0@H#R*K&=2Jmb5& zNXf97km9X8*ivv>UG|)BXI&$(C4;J(eL0KWLj4sVR&-V*YDfDmU9%ac=nYaM3NPh5 zm1Sj=hAjoP#z|OwDv6T>;!PdRni1hfc#hR+e<)6n3RK)h3(40`gK&+(6u&SQ@s9t%)cTzURByX%W|pB&@>u9(3rENzz7j4I)wk|Nb97Y z_!)U&rL%~?6C@uZRCb7U+L4Ej6p5Ugb`NV5Op|wa#Ox?0{2}xd(Oj`F{FA6zI-G3v zL3lVBJ})xwfAhXjxyPk?BqQ7@e_}8%4dMs$|mE*o|xO7T>Xs*_irKL zH+vTeG7jX(ZuU%^IGMdMY#by>EVCykziCP5^x}QAV3My2U7zHl|X@B+}1nC|m$`6$`-PiGxQ^$@xwqCzGJv@oXa(>J=ZD%alSY?r6-r~{Z z72v+kx-?wen}wgi_ng7F=Kb8u(fjXICGDt^Mfp}bS8OO>T$s~QiJ15Q6kvQ7KPF0* zdNg$2l9(#nuVh{p7%=+jbF^PHXFHqNGDG~KLXhj8D&6H6DzqNS^gr2#tE|(8+D;>* zk>O#}fIBwp8Q+Ih%%!eO{yN-P)##0X*#Gq7M&jelwYLX%wpC9~$XW=XdxR(iHEo}j z13^$eG)b6)ObRw*o@|Ll?png=k~ByEz_@>l21%llluP=-sb5V9xpBV|SEV%pP6W3ZKjN(~8u472NkHBMEc$DXInx!Oyn&=N4C%#uveHo+l2w~uV#4FQJ$%uE7c-X=3r{Co6NzW>Da_4ed?BR1*xq;P^p{+}!y za}D0N1&`W?KMk|Nx133#ZajmBtn}u3+x%%oP+QFy3+;_jpIzerOu_$|pZK$04BLc- z=sWf+>MtS3Py0PyLt|Rvp@Awt0%6>{s2&^J9&xHLTMpNqUG-(USN686t}ZLd3^ulY zvoq!5z8%R7KDH9yW@aT0$mmkd&~7j{*>)6#e6l)I=ealAaw^>vx;b??fAPk=%X`<) z?P{cIvE{VQNmhB}4cq2Oy7%T#i}%{Rte0L_QK(PcwXgqJIOKFv@ki54s(Mmx(CLA4 z(wrBiYfD0-MT`C+{emAOrY|FpFQc|E)6;Rb4=arq`{I>niot!hvBR5dymlHclnRxK0BZGCP>(4ct@QM2!1oPfY*dD9C1Bb}E}NPt<+>TG)&iJ(twM zNmUZA^NPorA6m+(LMr@ttDn0770g7Vx>d$VQW(d?AqRRGqhrCa>cgQPHfMwKINi$v zEznNXS-h}`&N4$%Hrc^UBAQ((&Zpo^WaVbgG%2|kBG=X_Hc7O=pEv~)@~GWVHR*>J zWnlcz+wtjEE6ED5qUZjlX+Th?WHpVXg`22Eul}tT-5YEwVRRhAumXX3>VggRq_t!` zUZ~RpmjN!$xnAGIh4cO)VxncC*rRuf=a2Y_*j5|kIw(g2t5$gFZVj)AeiOaM6;1$kfM8_@ra9{j-G4;B?y@R3q~|DxB&c(3{=&x&i2e|*xi zqlkLLCQmlx&1x5iTDg5}{=xHe>~;zQ+l-%Ddo|aYE*Z$0%RiNuyfRC;=jK%M#{Ba~ z$vXI}$r4ZUUe&o0iTgiWI6|ix@+bVFTU*#BmKgGL4{@tJRUPW2$@Y7v4mIAn5V%~{ z$39g!Z+E=67p+t3r>)|;KzeVCNL(R$u9ag&f4 zRvXb>lKyC76I_;(PVMt>Wl~sC`T!fR2!4CN4p&W3FlOk+_75j3#zTGB zY`0WUpOX)ge)j=odWvJaC5jRbO_I2c({m2}rbd5DlUm4?Md6F4P`D#NT(y(}|;G;78u=t3t+8j6Z;+E2ct!C(>yJ*Y<*2PwbX*+6F zYAVP0oPP|jR!C)^KbSbldWPU5K0326u+Nda3}F5dTVQUg7SW{y@FMErp{Q2e9ZM6H zgpe-kN(piqm1!QTW1k?}5*qJ2HXK4m9C?q4cWZYGQ`CMKLCn&y^AB=}hpK-~8W_?Ce=Tqj&ibb$Qeia=w)7 z@4+M|879@-#kePn(>A(v!SZtn}izR&KsufD0!l1WiR& z%gu#{%y7}&7p6L|q{e*^>vW=T9KQ7ER}0^JnrU3`{?CrdHewPniVe`0Y)VC(F>job zxs@K)!&RtkS6XDACcLDC{#casz#;W*ko3YaNK))qxo%7UQ!SHS^^c=}V8r<0ANPBY ziFvCl^n#eA)^$QPK3!nqx4|bkY*;BNn3>bMe@F;+S2gK3lrt6#5PSMiHV)1;ZtmEQ z*wl}~2B5dBFoEzyS(a>k4ql?nXMvD$MFPCl;i(^z?KPI={nwQW@M)WiGg?S6MoKW2NidE` z5GlM0W^Hs{opRSuIX*3Vt?9EG=g?pFK_!@7Wz5LG4N9A7ut+NVv5kc_)A^Jr8JDmj z(BXb`jG62Zvw~t3W3>(|V^FVPf3&!yEM6B!%yBmaMQGbw@tRTj96iGRaG=~C;~g)A zT*Td$l9Wwo09S0zml-jyZmP^iTq&XPi2HR?WHoa75dtX0CzNo=&yer; zqjM#N5<{r^5ez6q2P#?%gp^%jW#qOMpL!eTC1CcifH}ETAq^>v>(uqfZJ@{{ZIH|m zhfS=geT~yiv}I>i5lBJCK^)~&*wC0Um_Q9}u70UmlGWWNxpJ)}BvTC7cSj1K%03}k z)sueICL*1wZK-PlYC45e;!uK*V5&;C)Bc6_3cZxbD_>^Doq5R4G-O-A=*ei^5JD6i`LKNkb^A(cPy{l?PJ=NkWUqWbmAyRtmQ$zmXM)sGG z_f+riKr;l!ok5ijNM3EBoz-ncC5B#So9z!8dqiw8$)Yg|5Jj8he7&Ud&4P^Ne5gic z0EuETgxpIOfUuv)WuYFUh?FIZP~w*$KGyxMHUWYgQt`NL-uN)nXApG={;U2W z-&n)~%Uy*^Q*yQk0~L3mwFZ^u;8TpFJf;WJplxNg26yg2S#XGnb@#y+D@)7rMTaO5 zEfe>n<6H}>#L7Q*wDf9#B%WJ|EKV;KI*#CGpkX6S)XPM(t~BKPw%Vi|cWf1i&M0(; zMLE~3K~U*$5LyF82jhPkh!<dGKR`8i;?QX;09l)Kx z!}-qPyT1pFF+Xcd6_O_6qlQFa$XiF45rE zAv}wFycYJn7KywTNM5Vy;i|Y3YG`dFcPR~9xf0DNX!gA3ham4+Dl}1QDVj634CQ7=^a3on5@42zYvE#3bK`MJn zK`RU2(6-6fcQ~Y&lMZ;Vve%uQ+!`lLTjAQJ?C(ID%b(4boc`0g)6@;mn)0(TM65ydFGGSWT|%uOZELPcmn%*0!QAPL*3d7 zS4z5)DVVK(iK%E))&$d%FAhRg3~BnE6H#jUD-FuFMY6|p1wcc7-Z-9vk=6*%l6!d& zlN@pbdNjj9wVj;q0!#k}d2i(3Px60&PMl5?w3S&wTA5fS+AOgwBF@S{3JQ#12@L=!@z zNDxk0))cKtisX6`%dB9TQ1*27o7d_$vYPjg598b(CcPH9D*`=f+#2rZ{-N?jrDSf< zRP)EC9k1Mh1IrV$p%+wMoR7vaBS+R^r7+~5oG2!T=SfldmcZYr)2}jX1tA)4jz4K< z(@Oe5;~q~=KDsn-)xj;;Xh6%>MPkmIoS&P}g_VMPYG>7hD{XmrcS7(noWLKa0)uS7MMhif=H-AsV2C7)p*NA5%#@^&RUv)b6mcDfd^E8t{%= zE6rT2*xVp({01g=h*RatgYPd~;o81@!GWgBq9X}|QF|DqBys7bWew+XxAT}a9AGJN zYQI@3pqx>0IzQlpuX7k!64OjzM%$V5WMe{c zt2;CPlh&)2`E)fTF6|14W4s;|->rWF)|$#;A~Dx?Nn(OVxJh8Z#ESr3(}V@|M-skU zuK)u^@QOHSZ9fXNTs)TiTt&i)cER7#XZ4=|(!x3^n(PA>YDf~+(hZ?e<)?_Q#Y7ODeLNdz^Cv{oZK<*!j~@=nzaQt!#s?uge^#mfYjN9&$H=ZvkOtE|_pkp7 zKA+BU5Z$%fTfYMaw1vcub}&L#1|dOon&NhDEdF0E>Mw;5Qnx?%TkUA4J6vDz7dk`* z1xPG@cxBE)C7oP;siudVaZF;TOnmcdrqnr!pYv>+mMt+pq!kqC0!3_mp&5T zN}c6C#PR>bwjIkYB>&uYYuEN$4QZV+sEtHtu4G1M=Ic)ihsd&R+yYBKP(Egr?34fu zXsypjg1GeJU%}(a?@s8Z4qwGuFo`re$p=}9-b3Q|n<-w^b%YHdA+lfxYtpLhEsvx)I^xT15bI?{(bu-@1VE*_w^0t(J83{=IpH%YVDA)Ye zFJV@eeohM89XT>17by{y-h`?ul-dkyl2PA}ePkntqJn(ZT^xWeJ!5!6mTF^bxko zZ-73qdF&mtp`-c5`+zm^xRrAC{GVie&i%nUt|v? zFkTBimg=jC-I4NtUK0p1wOvFOKP3O>IT=TB)w|qBm?~Rbpyx~GfYg_x}cAM$H z*MQvw>dDTDKq)=qDX5_sGj@m;=Dfg5Ch=2Yiw5;bC0RMuvOTx3b+}4?bU1&0I`W@n z`i6LDRLH8tj6_%z1F6%emkDN~F=nDFX5>PZbc(zGa%_BY)Kj1>hc3{4C*(M=6%?Fo z0RpeT981yDz3oH(Lu@_}u)mXx?Ss7M9a$DusZF4sOir&{QGRM`Cwg3P!D(dxP@(m! zcn}RV<1soxfoND93<%s`(ZhvHA83SH!oQK?$VoSH^L?PVuy+hlr$wG0!FaN76-G-x zfB(xSQr`plOh#dw&7d~BQsUugs1-*V)b0KJ>=Ob^6pr@M{*qs^_#$ayTmiBB!Gb^j z(MB7rPHCkK-*!c=F&kV{lT^te&Q8nb98VaJ8Zv_^qV2DFq6E8JJImPbEK<{dSkG0$ zcsh5rd4I(f6df75;gBq20KT|Ti-WHG6^;g+zBaZFa8<6X0vBQ}x#H%tEq24qPmtlq z1oomawKR&e=uxnA2I;3jw8H~rH=+MG0u7tB0nW+Gt@Ywr&?>i$mbG7(HRC%RGYd$a z8V;&o@sU=V2-Z~!^U2|P@ql8CiJ?GagG|}S)=p4YNkZi>3%VUO^_a0>!K*$IR&P(z zkagCJVdWoLiCcVsqs{f-ae{f9R7Q}v=E z%Ws+$qjDVkCvJMqypG0uoOe{_DBIMN(|rfr^lXbPk*_8oznW(>TN4(Fz$?fo^}Fez zm*ZIbsrW&wrAWc>r)bR)wX^p2V&e1z;fIgS07kXEvPyJvhip>tQO*2iBeqpSoOz z*r?20WbMwro348nMTw+;@^B$G#g%~JE97n?)W^kkMn2IbMKp$C0^+L!DzlS^ZYp`8 zuVqoRZ9QJ}f5hJikz@R~T|3-X(@G*11&PE47Ns)3?=lmm(0`oE*I|5jKB4y6y>QZ} z7*_t~0n4uI@TM&4Z$^IupubtU5_HT#0vn*?ZvA1ftx3>2&|6?q)XyBA^d%gE4Wz|A zjMkA%s0wPyusO?k*~kiR9zf}~ZYYV5V6QNNi>eeP7)sjy!*Y_p^FR&R!6@A*0Ry_L z2r{Z0^eRnGUlLHv=Wc6YhB2dnk`oeuWHDhhr2aGyAhpv5N{vWYR6@lo?qv%!Lvi9G zcxg%IL=a%X!Lh4;QDY(csO52|*Qen1m%oPZe9v;;;G6zv{5PSgB!jYFklz;c3;Yjg zSl=rGl_(*-e1-u z!GwS63Um}ns>G-FKzk$(@JwEYT|S{FO^-d=6T|b18&dT5Z9UoZS)X;}mjTWFWlzkA zfy8sy(U z%1}dB1N4)Sr?ya4078~nEI#T2N%-SZdmEs&lcJw~5`dA}==^D4&$_0aL> z{>(s@@p}KRS zwK=44y6dsRED7_f_F1R(Pey$1jkRcbKIQ}RJkF?xK4mg}(9Z4(Bx98!t};a?qz(jn z{9v4~i{15lIIeO)NWJEF#*g9uB=u;Sq8~RRK|juCp|s;mTK-iz$&woCYuP{Zl+<=w zxd_s3VgY}hxdFPCoE7q-tSo(v{Ah=u+VU9^mah@e*Vuy)CQ|DLalhid&iv_jS5R`Uc` z-}g6}Y99Uh^=o=l^~%199JxvIKQ4dmxSh_{r_=1v?o>HJ(_yfj2(G&`PS#G&!dlS2 zWk}`YPHJHKS@phr7FGy71)5cq&vNN3Rnf8EpD`^&)cWq#=$e>H`_6J#Rx$*+QW#C8 zFi7C>CHqtHl+`wFW<>Hj2dVsJv(T(BV6E43@c8gmO3D#{eT8PH{<693MbF2u3{{R{ zt$D-TR(jM1Gz0j%kvnI+g-UQs8~e<%I=;#zvPoZfmTtjDH9|y#qK=~TL4dMLM}m#6 z$A7!)R-Tf3-X)Uv-N1z6GL9b~-5|Y^js0p)Ja?rF{dZD$RTd|t)#3%mrh zfA_EN^w)oh_EEzLy~B~n&H_-)%3AJ9eu%RGs4OZW5kz+y#fwobVo|(@i|Oe@TQF|58KHMISA$RC4Hn_%`XVYvjMB9<{}9z|$w5Vbuw%KI>nV8H)i z;aNUpw8LA30{k1VzRq&P7!-@r>N3w9g;(8MQ8=I>82a>hI{Ox-X5%7brh!0&{}hC0JP>ToEK<~v^*uynyWlKKIcn?+PvYp&OCmg zJ3{>U6_xw=A0v73FG3+e0c{^8p&R6$ij95G3>VNn>%Head54qaF);t5x!W2H#pLqv z{Q?ixGK6KO<}J#`#De&|Uf)(buk>f$Lb{?9Q7zPEGzmTPAHEO_ScAW#j_EbCdij{U zk`nGH4{s>o7brs*Q50iQP9X=O7 zP{s7tTE#r(=BHD3kcZnB-U69jDDtqt^Cxv6vu-ezfysvlqXh7V_M?wFCLcbGpxLdY z&xtHE7iDl*1qus1Ok<}^F+7jDYCu*y$FG~_8z+koZ}WSlXrrmVDzr7#$J z>|U$RYiGW0*26oEXVhYZ7;cC(tftJN7#`70H!zu%a>~Gam)pAaAI<@PxZ&pRR7Qf@ z;hWU_xW#X$kc6=*X+~MAl*Y0BTZSW?(%?hBzjT~e`ma+p7h)HsD6D#(P6HlI{40cL zv^?<9Y(X#(n-N7STK?lmaNa1|kuubyY3H$xCEQQ~{}Og-)S`!Ig=109A^Hs2Dd$Yq zHF|iBL3Q;I!&#uZ6gd>UIx$t0MiC;Fpa(2r2D#5gzG_@55omtTxI;szIHoB3)`mNf zn<=F6d*baN1G#w09-|)d9^W3pNQOvDENLu&NY0$Wh(8zV3UJBS8el9PE*k*gaP0!~ zv%LLjU?l3V&^EL25#4`0oU|4<41szwebuCoehdr@PCgA=z<|61O3liXz8a!@d7mHV^$SQQuY16OL_upjrrG^$G77xr0&aFZU z7PDCr0JKif=^w(=4Oc+PbA3Y&^Dap!`8|?URGKi2 zS|k26Qa0y-6$qM50<5wpHI4a$c2l%rRgCtp8wP@i#XWwU1>TUD6vy4->o4KCH^hYt z|A$D!s7>JbmAzO-%QvCxEGiX=?}?y#mJhwrxEpK54j%w+-w4Th^sxX-=8-0_OkhnN z$0i7p{UM3^|Ds-u0Cc>kgUi6!-6@XKkPH3tj%eBH{7bePe`QN)(m-q}IjyOc4S3GS+L(J*;%He%(y-Q2{SoUPxkrfjj*evku?cf%;TjCYr8g8X zsw7oXW(k9)D~kYU1dfD}@is8>zJAV_cufNGR}fBdxeneE%DB%EX!#ALC+_h)%CG0b zaV>d9T<%9#Q*eo+(dMkVrv)bSu;wZP4KD-BS+$(*g9*uV-s<0a16hwMnomfzI^Wy? zCoRZk52+49^n`c}7bzVeg`?7!Cfv+A&V&Ig*2rbVo$IkVWAz+0_Ak^5n~E zo*bxr!nUUd6TrsGDUt=m;L0mj)!G<$tDBKzsK?q$+%_)Xm7>W|*nio5G+UyDo>ghT zW=jCIK8sgW0*5``%W-|(w7YywKf8)+hc0u^^BRgS^GxzL1kA};gNn}Lj^fj2*m}8C z%u>~4NKYvrD97nMLh694wzQ{G3|Y~I`tp;4>$jdY*oNSOOqC3YilCl$`zACBK(@%i z=kV~Qnbkyv``mX@Z{>iN*E=7Ii2r9uX@jncDB1ObbsLMAI6H7xRuorbIx$!rNb6|F z!??A-eg~I1htD7DChi8FRoIQlmPli!a1Y{qB)0}}93r+qP@MJ0mB}ZS=uRf*+4AB} zyjwt$&iC)@Jny`TW_RzQ*$d2DPidU*p~ZO;gq`C#oZxeXy(0v| znpL5{LHpGOOk&=PBL}g81XPx1tfPQ@3XkBb%lN6i)QoT;#<`H#>5L{<2HHnrp+_9A zQ14L6tHlR(AI>?qdA1G56~_~c+Q4O9?~0Vi*PGh7SWiz1Ha0OsL%LIOexHON5}%)i z*AV*$bW_`}ARWn$%8??Qk_629_G_L)_e+L{aUa^E9@&S@cg5-zL-%;a_2abO@rrMXl-Z~=xeA0><4-+! zF|z6{Ua`OGqy_DzuJLJ+nzy5icB0sG)LB1Z_tcV22v>_`y2od78F=`sc7COU5^`D4 ztW*YII}KI69Ss+67PVxE@iyS`38JE9HZmp8g6YPQi>^ z29GOHo1Q23e*;eFfPTtor;i5f;6)=E-94^G!|gC0G~9MV!|l6odJCh!1F-uiINthD zb>*p-q6cd;t0aj9$sRaQ#TOZQ-;~?v9fc890;MoK3l1~Xw6V`ODc3hK-6|3A`4Zwn zACO+YW4l_p@z9Wo?#cZp6&Ev-=6Cfc2iSvy;`X$4WtNj@dPdkR^Rwal<45t{oiqpz#8xJ|btm>;@XzQr=(n7h2t%=>LgCW zCF)eY;qQ4+xZ#vSU8>#*4;_T`{5eWG%spQy-J0LmgiagZ9rmf-3eZhSX>`H^g9$Hahd&cOUPhikls zlasbblV>-ey=8X}+O$VEpqfwP89KyG|Ef5B@c=6KdlXQuclvVlszNL$ZLKGi8i4;i zpGWz%K56C4X~%BKNy$#8o%CHJn%V=lr#}TnJ9;;+Q0qP2qXwFocl()AVsyHy5 zryL+Uq!l>dPI3xJ%6kP!HF3e747f~y9~#J;+lE0C|?^}r65wI_B|W43qno>F>>tN48<6Lt8UZFj*G74pb3njs_qvn&s~ z%ss?h+tIT_D}YC$$6LH+wI^t(?6CdfQ=rh8KLUl8kfL1W_}x&@!PER{KTx>q0l~z7 zE;T%DDNF1KACTHlo1wl%NZjxe4}ZxlC!4AIVc=no9@j3V;ZWIyW4iK{v9s-_PiqfI zpH7H~hQF*jqz3Y~!_E;%aGIE)Y$&mscoDBSwI#c-7=VK&ev<~a(E@KmvqF0Lr-gwn z#2oKTaY^&U^6>$0tc*m!4vK;jH+mKV)loLPFwftxSDxi*lL9)aEL*tCNRbjerW!0^ z=em+VgcDunB#Qh&oTwjY;38fswsw$5w0oz5LAAGf*U=rFgb)e4X}!2SLCuA?P>xqa zWbA439mqE8x2qrkcZnS+&|@W>QUIN(y*p%*^?0$M;uJUl}G3$)M7JHGe8TW)MjEngoLsU}Fj>k8=@u>ci%@1X(3X92}V=E5@!?KsE!7kU?pE5|o=7P`|)|uXc{lUJ=b{XzK zXL)Y7RlH|l7X#>bwGhpF^-9qCgv>dJ3Fl&{*yx;m5=L4anCe?1&;lJx`Fr3jYir}bEh+HO26eg^g2?kF(&+IKs{_l?&ko^8nyJsYvkP-% zA9-){-3GUUXMlAq7EpAbN5czqx^i-I)*C)9jjhR}D@f!+c0h@~C_HY2%N3N_xIq&n@qxO|04mDgoYTj>Swr}$^A5#6zgk${LHin~N{ zClY5k+qYJlVEnLL;|E*O<%f2W044V&QbT}>WsnzLw&6VsztJpzK6=)+VhBBZU7Z5( zh$idPr=BT(AVxg{O+=rClhWUSoE;@EioiBwN_K!VN14#qA?${L$MPssT{mz>>u>gu zcrHA6`_C&xU>KDQkKd5 z>W)3oRCXV1?%4h_wBp7)7VY{zWcW#$hJTT2di-^Z`j@Wy;(}CdvlLVW5k+jqI&{FK zvTuz$GGkBdaIjCRGd-Wx%8fo_?`sY?+4UIp4Iewjth&^>tA3158j$$8O$V*V6>#0} z?9G6z{$Pgmh;2A{z5nsm&0r+L>#JHUW_9Hi1GJN+J7L9k5! zP<;UP=A}4RuHOU9$IOx`a$^!M*IRx8p8}Zd(7B!a6{5&Xd0z9DppOKfsaM=-rJVG} zwV&_Y+d?Dw+1}sJJ_C9XZB3#b|G-0+KRAq6;+5*F)6V(h$%3v|ox3#>KLY&2HsUb- z{e!E)U$%S>XeCKj!QFQ^EDxXd<1p`_&?+ZtByOGkE#TJ8S>2L_gU}yxYiWJKf}o=} zAD0~JT!5N{Z_Ht-Zhb*P_GO)lzg=gN)ZpbOyjjVLp1C7Eb*|?-g?66(Wz*Hngz*zs zSis%ZRK*wX)bs4O3*Sft8n0$5RqPLN-1OYQwI(Z8`INqCXMfzVk6fp{3oC2A%@%3> zl!CW`?tz`>lQLxCU|DO0SFrL&GK!`VRfQ2ye|h-rLdadF-(j+1`e$8~fHFs=L4!uX z#Z6-lF(tECQ!?WdsRNuRd2Z?oyp4Q^%g7XegA@`>tq4t7yA4 zK=GWsx1Ax57wEE^F|}tEl7sw0uLZ-V-9tHf!J7?p8~yBEs?R%~v_t-(sPEmOD&c@* zwY~{KMFT@8!eGbk;vE$l$L-n{@MyNgaREfdqIM}j?NHq`-p|5uMUe5@Rc>;1ou`p!^B~=Jy~!tnPT82+`mH&;1P51@8)rcl&Ea!Zkm~EF&6Y6K%#nE> zvbO%(-K()9?NA$PNRH)4J0AFcoW3~1!_G3}IGt}>NTypenJW=A0G?UF)Y7_(cV`cb zbh1x^yxzaP8c{eo7ue0FUemG@BO7JyyKv5%qG|rqohiN_6dr6nl9Kt@9Gzj=Q$_r znfstmtOjQw8TH{Cl@L+a(@Ap}s{g2_3R#=i;(JXtYActC8_mRR0pBbNCUpXdWifNd z7`L~RNJi7GQet@0g5>QWi}c-#SWp3rxDjFrTjA_0qh|bS{(3}VV#gwhI!EYR(kR8F zUSLRmap){$U7igLO?&18N8Pq2F#k{35!mtHzCh=}lbMzmV@B{nJ&qtT+;8g9<9L-G z>fzY9BnUw4S0|m_TW0J38*Hdd7B>Z?qp97mWb>HGpT4Fo!p|7Fff(Q1t%u*0YM_Q1 z`|EK=7PCkFH?&MCz=9#AmL#OkSj0JQ2hrp&q!c(l6W^=~xkkFEIug54%)X_T;g$1IwJ&!AHd?EaE_}i1lmZ@dKR7=`#)d-PYZIxx@X7-V)jBP zxKa1k!8eALAk|j_Fjz$bg2So!yrfC22Or^^U#tgcW0<3ur%`?ze6FbH7NCnIDiM$Q za{ktF1AbWKdpl7m=d?Uz1*@NX+paSD7hI+%S3kGk9zNHJ1IZ5V-_fXW%x__bWB1$N zk60gXdJMR$;BQ4O)4rliWR_R$+G9ZwfA7 zOse!-7$))`s@;1PieFD?UzM!m7o?+10v0z|yDHjt_i+wp*N%gbmnye0l}fj{G&pMz zM2P8yc3W@;AfjuO*Rdd*;BiJs^Op(<=%%G+o=~UHTN>!}Q`0aNlfNS%PaQ0`2-#dQ z>GI)Z{7*oN5k8=$KrmXLAuFclASX3J16`2{_zN}Tj*rmG?A%f7e9X6?zv1<8`LdIQ zG9|qXh3kQl7Bo_-_myCz8td|YhFT#mTmMojm77%8pb)1dlqU-53u5cw@i>Sn9Azge zJ?zW$(6k_&iAH!(s~Qta5_wcYx~Q>oyyzvn`!p0ydQ2?7A1I?{%eXZkiK|@A%zv!c zLRWmJqy`4xLV9aO&n6rtf|>@zR8@v|s@rmy_r` zSJXLE<_bC-5*O2`@V(>73J-748+dUgo`TO%yhdNVW>8#A_gI_3&FEifVdnlIlia;I zS49tA{7p{T{y}1H=JYup1(Acmxmw0qDt0*(Kq8Ju2qNx;-lBqpF`=dD{*J+u$k|Uf zV|d2JW2I?}?kMCs0yn)#t5I?7ygM(odlnT~9eY(C)+9%1j(0rV3crdWa5*I?RQmuK z;25A$8@(&E3Zjd`6>_7%x!_!gE%;?+w|mZgea{KVzCL#ayLAA8*N;1`GDYxYz*=Ee zR^T2hT+HuFCeOIk-)PTiM?~SK;E9Nxz!?fxt=IP0loxb-_xN;w>ZW~nS_$FI zW&z}^Hh>MtwQKyxy0el6%~X-_(RuSv{uPzA8s?)Ml`A7fUNRh&k0vtHM#{P3Z|h7CB$v-bEO)*EtAuVy!qOag+1aT^c^@$Y2XwK8`dJ;6q9@HSD#O2n)QCEga~*R=Wf>{J;yT5 z6XF)yZ6eD(Lr+K8hj}%{?PiAckWrt)hCJ)tioUbju*$OKj1FCcY zhI-U4Vw^`95lT9iSj<4^V{n?(!|C&^bP(yvZ`w&P&T7>RQAFUc?|fJTXTw7aw1C^# zs!`&AiXDpvnMv6IHe!S8P1katXrRV1$2|CA&2tLJ>!{EW1E}W2l5vT7MV>=5A zr_k4I5f{0TBwKi@Ww z$i?PjL=n`<`n-@xuV#jP4qKq1-G~QN_kA9o4LMslx6(6Dy4DQ2Vk~<`yavR-^7Ud<+G$~%Ck3%T_RM7;%92Np7S7?cyiBS^L`^d9Q$_w zCFBCJ^5CHnb16cXaBSX62(U8sssH0zlzQdED8f&QS;{Kg!2Ai?n2-eY+Q zo-Iw|`bIQOp}}}%5Kt`eUPzA0^>t%&uh`0UrD(bf%yPh+#Q!TWGb0(qjTh)Ojs|*o z0T-9KpyQG^h9ot(qZA)~&qjZ7XX-Do61?YD^e?53t~e2<4eTz!$s2VSZ#oj7<_9FqA1iUuume9`MiGsad-$b=&dIKH7ks{h$140 zs(9&Zv`p*GD~qYz+$eaz=`}&UfFjWebiEn#zZ7#3o+D~WU=oXoc^`$CzTmzc+r!P_ ztN?AC&tj!L1di?zU{y0-y3uB;6NCdVzV%@4(cSfIjCU%!#z3=Gi~@A{b3vwA&AJis zIP@J86qU(~MS@!Nw**!x=(z7-3#90-Gw@F3%SSB{&oU^Yxj}tRaX~G%_RT0Tl2_CU zj0A05d_Lsb6qrukyB3sQL&5a!|iR<)@P@eZ1`qA0p*Yt2`cTmBX66&k$shKd8Z{uv6NMg7LC zR!XITb}|O9zKk_FQFtZomssAhl?ze1`Z367M~n}d!>o`$H(-iQUeQckJ&Lh#Dh*El z&$$hQI_}x2Z=?kBTz@C(=GwFL>dSo=bCnD!LdE(fSAr!4thln_p3J;94pX^Z0)bYx zC3$1|NSrae$TKYD;*wG?Svs-Fq`fW?ES`=KYtSl0QdsXRKdYhxtJi+}GqDLtb8e zC!#gRE5%?Ws3^5c4?L$JmT2s$6eUHCLIxRez>$-`t!&t9gKwITdoUmTEQHS zM3?=cbA%cPY>NvtF4+twiByc+0?n=3U`$?cHw#{0!0{k|z{fExhi?Ll1Aztm`*~}5 z!B>q}4AisbC|!YXR|CqCfx7bm8GX_TJ^0dIRy--`;j8xZpo%Li7&WyYmZrbb_1~30 zfsxRE71{;8B*krK?E=ch^UMtQuYUwHY37 z^N6b8nmvD5_U_y00)g*Ri&IoD-iD!bjMg6t91f*^ThR&MFYOFAT~L1Pn?EjRv~I(H zD3PD27w#O{wJ#%Hn*(BHsWK_MwMvp7&#=Yt}EpPs6t6KS@ z`f~y`R2FbNJXG!}%c^zkeyCgo=hU*KdO}<`ZH8VUPmOP;J3j#IEZW z7q!iLls?I0d%RJFoJ_G#IA{x)0p;)xr7Yo}AwFcXi1#HdM!oxIkQE~SPu&`^n5T{o zJi|E8Y8K>f{7=PjN1|Q2ufHGkzKlzijQ8J4kRYXimJR{mn~m9Fj6 z$i>$ay8N$8NT@}!d6Tk*s$Ei)?>?%%p315B*7iu9)AF};{w;lf%h0!BruOg8UgM{U z8gNm+nJo$uPo?Z~t>=EG&qR@l71@@$)f`lg+G?wyDB~vu3F?8F zt$#6HKyo!_eOvYZ{3qc;;2 zQ@CP!IX}3fH7&ti#!Fo6ZZ@sTfXkW{zs9f>Ye>fHkp}&kd{?Oh(bOXMfBmE~EA@Fa zLno`wCsF#2G^|}eLc+B;Q)*N%d#z0Wz?45k=&#We@tmIh zE~P@L$&d7rIZ~`dWiNq)dLX&CB}hO@C5wq>BLixMd$J}-OfSv{l6>u@2YDX z!A4oYGXmq@EiAeU&1bEuz38i=XW{sGV&A1*#psnyO_^))U%|JKR#-cp;_Gh=JS*gk zfK2?zE=7EV7;pm5jgvPJ;9(J_6}OVwT<)%!A5P3!_d{>-ruFBPyu+#A) z@A?$^(l|?!7JcKXG54eG*rM8>9p^Z@BV5|a$RKBUnde{B?fy(afAtk@zvsO8gB|GW zu{?NZSD{Wzo-((gfN@kCWAW^&Nniy;7OUqXZ{y3;%C@0;B`GU1A%s*iBKjjss4OP= z;(PKT+{d;28*aQ>U$dlP3U<*KCE$teLVk+|*)+cWwn0}{@DHV!=hIqu9}S}AT&;l@ zCeu5KL0sd9pKflY=xG^!+|S9kgskK9Traw!RJU?*+&Vpv}XLcUy!4mwSa@{3cW4!x`b?8=v}{zp_X3+Yh3izO)g;KeN-H#?u&H-a z79qH|8xI(MpSR?Jb>D>_M;k9$W(4VHK^D1s{aGQEl^r=X5Hs`86Ma}kyMhsC=$kADH0Y5mUDA z?1l)ty5~3jU_mGSG)R$*a}Ewv#!BOh5FA3?fx$g{i`gN%4O`^C6lF7ZgVP}0hK>() zkj90h;y&OmJIBvN(IufT16_X621pUXIXMBuXEz;S{8tns2{(m3Y0Fc3{}>ocqOYAr z+rKsqg4_QczQCB;8gn<9#giwbH<@ZRQZl9VCMOF_r+&Y%_V3u`t*qUD+24=h70Nx^ ztDS-mcED{aeA9Gt86K6UgNY#)v~i6u&%eh175Vq^e*#n)NFf~W=YSg*_;321?gTH9 zEb*tHrCtgcSnE+n^w8p1bmUeX2-drBuL`?XGEX_UB%l){1&fe)9e6^(sBj=9B7p3t-DY3 zfzG#R$F3b30>zKTQV|6yQJf@betP1!_y@ebNWk?qYfiOxn{!7Iry&lh>RQkoqbM zz@dK(h>rmD&CB#KvFPX7K>1&ML#Q~vS&C8jxk-A=au!(93IC}75#hpPigAHrK{_(F zBLwZ@#b|yc-8nfK$@-0(2zuD_^OgYhzI(%FajKc|Kf$denPMni7=E~DS97_lH;`_% zq*rITx=y_1op12ishCm7i%&W`Ey|yNWC(ODjJ*Z(O*W;Sim43fFDcbwr#eKV_;nfp zS2)eF)KYgHV&7z9sj;`XmZ@A8aFriLbz7iV4W3CeSR~O3VDan8iyCbJFIZPuR=%oR&H4FtD_xaVh}RQ>Zf$aw-kr^ScXe}b zfBWXbO4+tRTjL3~_tN|oUJ2XY^k(b{*Aw!;OVrVom;clQPR0JB6Hz*ByoXI64H|nv z==V_mWbbrM&r>FO$7t!!0=BT<;~l%kxPW(*SGM7}VCXYct8lfeFc8X#{0@slpZy8) z3!;2=A*H_QDJYdOIbEGep7uXYN;x zC!V%FH6zNe=Ef7;?+ZkzMcG~Cv5b>+>F1={$Em%s{byR&{)5lYEBGwFCaR3{T7C@% zRVo^PEe2IqcUu}p32*E+Wfk@-h6M;zq}YhE0PbLr0b_J}K(w>=2W+K_*2)h11Jo7M zJ1#%#NwMkb->S~=P9kASQul@<2yGelu>DM0Z6L>ih;EW)r5}C&#`DjxbcY>(@Aiht zXTtY-KAkkg?pz7|y0?6qbfqC*QT5&d#do=t;*2T&fUV-EL+i6qQ>wwop&Os-X|3$p zUBQfL9qz|ihDoXPj@7(vl^y@o_O}LK4CP)cz32VEDD66?_dW-+L{)N5Nh?v9mtkCh zMK{$jN=-+;jhiFF)h--9_CQ2;c6IHOvPQvsLTQA`jGxrp*a_GVN;RN@eA~E3EP%{k z+CX7E{h5rSnza88tINR!)h8CtMo+j9_M<7xQz663&~*rv`ovr1UY?4O94!M1^K+xi zm!c|T){;5sZW1CGERN`s`OxVdh<3nRPXa=D6*V&uFbQ`3y7AN~6_rk~&*!fb#=cNA zaN_g5SCmB1o&TxGg$)pMJv|6KA!h1a`HMa+rwLUtnOD#K8w4ypp4_2lw|tIGsCrN6 zo$y&w4%Vo+)%0-s*{sS9+pYY|Pwp787mQnWKJGd$?fhbJu2T-_sSWr+ZXZeC7p21abt4DWbxu%Y^x7UI)GA zPuH`%qJ+QRUuC1I6eTod0*kJSU#Kr*-vTR)_ROx+d2R**OW80x#mP~6^pS5E_(M&W zE16}1ub^#eCRCYpKVy@{8PRGRYT%64Map^HP{7@IVt@?)BtszHQ{cYD7oFAOcHboB3UT*mL(ruD!dhi?h zL#ulZ(sQCZW^ZFThg=U2Egc$?RH+BnPmfD#t2=*EU!Sy(97>hczDId^#olg@Y}V?(QewYm*ihwbv7(f!^jk zP1RTYdPglmVCN>`iExwbfwpE~_Uvp}Y4P($2_J3Ywt?|Sekxm&uOl{isc+`pCVfIL z^D;p3>@&lkBvX%}9~A2-Y|D!xs$RJeCZ0=~mQ}3;Uwk~~uYDH2kx_AN=x-1B13{ol-IXV2)Xmusz$t|O=C)l12UnYGYc#d> zHR9E0P%{=|&FPIdf@O@2d47!-<6!2^6UCXoWiia0P2co>{?H|T@y^3}LBoGfx-oUd zIat;5vS4!;Xqz!|_xF@Q%mr@}zA{UYgqQioau>UQp;5bXx8#cFU5GU*n(25bjO&H& zhRv$Eb^My@2}dE#3v&NGa|URL)1ttajrc)D?VY;oP+$^*l3sEwR5TrvJnx*0z#VW7 zl+<}Glrqnpt8&{3k1$rBxeC5^0V*sQN?p95j-YfkQukNH^w~~d-CgWR`=Rk`Wc=nt z%UivHaU6u;!UBED52N8!bf;Ki0J65o$j(F;G@ zYh(Qu1N$Yu8=jySgowTQNscpGIK|yd3QpsZv7->6cQ->6Txl%ne9A?@yu~67AJUb| zS5UGZvm2Y}w9-;9@!jGGz0lveYcs=qSL77$>uP^ovq|$J{XNjz7hMts(0G%{TAoHM z_Zkh$r@ifLN1$#8ePnbMeN6#;_%-=J^s^4oRfBqF$moQ8q&}5OSTqN|aN&Y_ay2)7W6KX@z(TCq=%B+Vm_lD&gyVk1V37ZLm}s zKy+A6kMVSzQ8Nqmjgz$aMZ95^_WFb4>OGz1*B$Y_R?DSzwHQ&AIjfN7201Bpw5AK| zV!sqV-8EWd0F-I2F;-G>yZS9dr&qNM3(aLT`bJ~*`=8b`>%|OF!mI-p%$i`c*Qn+; zlrnXti>OVW1P^PR#p-}|O!35tX-d%=EL`EW@j)z&nFhbrIWF+ ziV^*f%OGJH`V$hAf)SO2pv*Fslosu#tP)W)f)k*+#E`RRMjBJh(UW6lT$Gt5MkCzF zDD9?>Zib3z8JooP4MEJ(c%=L(CS1Wxega}EhSr05jVwm_&Vr)7SJzmm)Q^=q``9|O=mGX=Ad%+$rl9~O%vu`rq@sRN z3yaE6zKo)#Nerkh#77y85%Hd}+t{P3W2xhC~u8HS7zY zl!hasQ{PPx*O%E(i-z>vwla1^)VVO3v6zTHq+~?;XUr<<-N-9ze)B}^;^(V4LF)LE zB1g$9GNNwBF+H>kwONboOwwW9PBFfy3gOm6Dq*wqS*2+tF`%jb9mLlGf$PKrv&X`l z#*SrOwFtENkFYF=Svu?t8`@mKsRDQjn(Fg2T4XT1)j_B8^+cOGy6UKw0gm;iq1b8d z^wPP3*T_oI#~laPOZ!v8yo4&oK4^Mh>g7eJzjHDx7Wh`nTaohcVwUNtVYhbryVbMPG~A>QajgTqsY$_kZcGDXp#lep6Hks3K4J0PCrw3pN~; z@KQDXBrT2kiT8a5#>##vN3ntECQ#rRI4W~irb;PMGgnka zf32~3J3-&6OgQh27s}JPyur=Ly)3@F`%$g1_Ay|OrKFNzXGFNwxo7v}yE27lSZ!MU zQ#ta=n5nWjr;{$E$&`-oPlILxy-eL?ld{?|hmYn$qD*@8^DJ>q87-G%bkunYgKne} z;A(rOf>?CKQuj)Cp#>C-{Q0v3CtAY#%1xO+lgzS$ZgZs- z_7hx9Lo;-?toWPUv&ZxQt=PEpKTc`0eWmr5-fUcqEx)kXXLOT-F(4i(P*Gu%V#myN zm(`B3T`Il*;i50 z<+W}_E{2Xnx%eCf^aSuoY6SBL05&U-6fky01QExsWCMVm9Ae537_J(>4SF7Czg=&5 z^#GF0Bwr)vXYISvX!m=9jLEtWPV=w%*eoT$XhEnZnm$(IHITsPnYb;1t^M7h0#KS{ zI4`{p!uaU2klGBp#{YtDrU%FIwb&P+hkp;k-b8_HB7k{huP(Rq6_n2ZE6{@r9mC(> zpZ+NbB^njNXB0@5E-|kHJh{7p8(Tnmvo9 zDFSo`Qo;mw=N=Y^rnAonzgJ79HWi}Vib5coXvbF9HmZ-0c5{*KQ zV0AHKnYaTl8w7Vekg0GKu}s>bK$#?DX3N>R%?D)%FT1Y%RXMsu1091?pn$&wZG_{1 zL>P&Ql!J+hpjkX4iKiXs1~2=qBn)TEsk;AL`E9)b$q;J*=64OyC0& z1*MmXGgnbMgX9~!EbQ5AqSKJjfkC{? zFZo46c62fPEVy=cO)errMXP|60x%8IaC`72o5q1^cvEyBMd=7OA!uWYHn(Q91>NHk zOvNyhU$FDIdwgrVCCE1}bNCU>9J> z`)@kEko_lk{;t_S4S|}xxFdtK2LCrb66gIBP^v<(u_(+hAKwvP;HM2-PJPIE_ue5YVc2#er4?+KB>c=&2I@pYYpQ zj$iNuPWqlltT2D0v>PUf=Q1&_l79n}$+K%rKAGq_*Tp+l|DnWtPGQJD@9Y8T9f1;a zth}3;V~YQxkt!VMzv5x|qMQA*8D^g&^nX)CgB((qX=t8B$Vw*Ex%4A++mhf%^As1K z^bu20uPh>{94M&VEvS4!NZCe6xm-wjUr6-|)KgIGfl$2R2#ah2s)QZ}shex6{S%J8 zH~{yjZ&0F76qe`{#RUg^qU=D#NN$AI%_~6{DE`|ZsoP$Aw&gdbzBwRWzAQ%aAUV{6 z95E)Z=<`{e#`mJ-VpwFGcKg6E$<_;*)?Dw!zuzEK1TA*JkP|A@br;dciSKSwzZjhG z>cz+mj8@|%pW>72@Ouq-lVo>T0!A#}`4M%cPRzbSIa3wfmr4y(~fo zAG=v#Ffb6&SAkH)NsfuHb~C(*70SJERi5k7O;!EHuq^z^CG@`I?*|Uv*%c^IWeZ|~ zIyWJtd18hy{R5BkBXcgt{0DYH5A6SnX|A1kX6|=!b;e$G1em922RPYrZ<=F61%c|y zih{x&u~cjLefq0sV4^>uZir1K2>|#&AKInTOrU*awoTF&}nKGGY`H~$PHK}zeGI8VaA!`K8S{GSrIDg zfm_Ga7D_(4(BoyVbc4d6Bz&t8B9m~`^cFcHGdpF-uKsqn=prZwDfb`{a2W1p0_J>Z zqkx1YOQB@gIcDEN+nE0eMC(gB+DJap`PZbRdZ^j{T1ahlS+E~lS6%6ENl;SacAz@q z<4g-$g>M7?>9&2{@8_7|Y+a@y+Gjw3r@vo$3g#6z-V`Zw1~RKjZ~_-yL+FzN6d?%E zM&E6js5_&bt#3j7Ex@tFxvdpLnBc7oG^Fa-*sFohDsFu8p{N>dzAYCu;bGIt-sRO; z^DeXyFOmm(TH_CeMu&LX4*5x5bETBhGpc{Np2_SPIf$G4_ zTLle}Sp?f}cxG!IhJOLEdVyk`;o23j@zrT&K%khxf_~FX50>Tc2M(}xF_KpEzN|wS3%cZIjd1xB zP!Mdy-t>0xB~A?o<(QX2w2^x(WXr_01e(!2X~$^3yF6ENwiI8DHCPUSGxAkjVrmaI zZ%9X%xew>@i2mT1i+@fXS=jNwS1k2!7>d)T;JfRJwYLIhHGw5Ecgr9GDIF0G7qmra z1~~AX4=kBtYR`U5NAqlw2D{d|iU6NF0pe`p#*MkGtr-3U;I9@EoD;6teoPkQMj?{C zNxPuUMiG~zG!L?vz@knFU>?*2p-cl|(?m27spxN7570*9VaQQc(pg}^PdHg4P>1x| zs-rC-HaWu3YO1GDs1_q=GTH)?Tu4pf4X?uVEs;evYgA#h7uhPisz4eZO6_r%qMN(_ zP3e-%DS#3WWme&OVs!kn9 z1E@N#EXA&&8(Vev{3=>p&_-+pvYj4b6UWg;d z^nB>D^l$I<{5;E4OWxXAKOUwBRyD6T7!8#jWnk0A>1&#Yy-6~x4z{PSy|wLs zITe$m$9f!h)lcX?>ecPNxpFHKKxead^qlDwwnSMsKiy}{$k@s!N0+%imxlX!&TKD@ z0Nzs^0)Y2auTxU|&Zs@wwMMzHy0tnz_OWbc)0Yn|EA%mS{tJs?63#OzQ z&Fd!=)Ot3Dw3O(wnvgg5@naS$@o>k-=7Gx|;!SLCcse_jzB6QK1~M1p>(^G&7&LEv z1&qII+YG?24W-YmWi!uwK5sizrp_sFCODVHn_YSet{G}VDw|DnZnr0MrQYCMilN^Oe|S+vD}(x}xPpTanfp)r$aX`xbmQKL^tUm!xqjlpj4H#mw+iGM*3gy!hMJXoEdQ+q8-$Y!7db3q(M6w zC`BmQP9D$hJS#B}YzPfTl4$xr9R0 zQ(T}J!;ZD!ysJ=_N3SCvW(3!52+m^>!%>$E3QEE`jN847{&l=w=#Vzwb}}@YGRd4D zD*Ei{wO41y9z~%qGm17ob|^BSq`4uC^0?Xse@M+VYv!QI8}*3pJP6?-ygkVs{b=b8 z9bE8MU>6vUEwEa0PYH7IBO&!fik}5PaC5m$q?~8LYir8!&J-h~!RKFr3QP8B6Cr9^ zt0(NRh{QKQHu!xOe|nCAq>NC!@ejJX9-8jkBW%`J1X1v65;} zl}p1&K7Vs@qcFC%; zQ$6^>@S*x?#lChrYh#jPl3DiOl&(A(a+RWN{d-}}ymey^w5-%3i?2Qu)zWodfJF%} zo<=|`04heLAy&qG-+^rn-^^nV8}Pcvb%_=z*#eZ2NOg`;brsbsmZI7=9UK$2h&1Mw zh9hwCC{X3#OZOX5!qU4Z4-5Ng!}tX%r0&PdkaPGX&E(1P@0u7FQ$|GHq7;!wP%k z&No|-?s3n8sLa=-D9TO@4{S~XtG_rH{~nAue?_ZNp27>G%ne)52Hp%qFVkEsSUje9 zMRSo^{>{zY52(W%b(@@Xq}4aSUwEE_r0}IEp;qPxIhaLiv6AA1cc1Y^m{3xgKQN}u zKUBS#B)n^zyclE_>7Ea)X`^meVoB6u46?j*QH0TjqiImF*OSY63Z)QNFQVu7DNFLn zMTOq<CBlCGcdz@zgGi(6$9blT!>d9tPYvn-FvC_kzp#tgoDCUb5fptM%z z9LM&sq5}7ZSyVOsg(bhu=#Iy_)zoX}%$>~Dd>_$Ok%hq0QQ3KNCfEsh7kfpaSJ8)| ztHw>nyS+jc)`CHA8O+2OQfvkFLvH8oc;uz`)?fR^pyf9s9*!?*y`HK1W7M`;uYlH5 ze6GwWK_(Oa_H(?|>Y`g-)5Cy7-$~Z4nN?G2k%nPK&yjW5&5s=qx`MvM7xr(eoCEgq zJjw^dDx^Y<5+G*T9RjaGl``@(a2cJT{qOSF^fM}_hE8Sb!&|8+&)T)bDi5UuI$S*T9B8 z(wIhBv^ifm8FvNUiwnP^g!fLb+Z*%UE!dzDes#__CTHN5b4rDmZ6fOrxMiyt>x2Y4M{G!<56e@md8_-cM<$?u+edfxG%y|Jr+qQtxM zA9Q-HpWW8|?qbcQ4_RLjfCIzqohC+muNSOur-rLP{a|05S;!k=qFbE#m^Wk*2-N9o{LZJ&oRH!&ZZJwby6a7M1(UdCtHo7r}ZnV$o0XWJ=ces1#5w!4-&7dXz& zLq({+2+ZVWe8U)%Evl+foa+J0Flc!Ay^}e&NZ;zo1}p`BE5n zzWR1>Tt-@U2%vAzWa^VgW%Eq_A{<`1^~1>aUQT4z1B}BD&oe3i15SJh_A3nu1v9lkfY^c~g)Erds=@;#a85S{8wLP$|2pN&i+XdOL2${Z=pUBMi}O=MLUgXQ&1)cP+Q%ETr$YQ$p#Uc6bZTWHCgZXXRZuhZeC8ypz#5fH`?CRkS{BX zn)7DGd`Qcn&R^?E##$vy9BYrp*{~R%uH(63XLtNadw_8~VCyL9_v z;^dgXm5{GBXK&SC(f_*reQk8E;9mK!Eu{O|3!EMMh8-6k{7@J^7Oauoz5gSYW|Hgs z$!b!*a_G-p?2KgboeA-_Z1GZ+x`u&}$@3bC72%L<$0SP43W z_YuV7u|&sGqK3O7j-~v;$#I=pz3TCo3R;#aP_&mh&XDi@eE}<|#yXT(aUfJ9nEjRZ z+~JmG%8G3RH{3W~(db7*9CyO)D@&(aYwAcc-9cqYd$k@cK{Lti_4^a~Lm5F{%B3IU zBN5YlItx-)ViLBomDsXN`MvTZi6mF>@mr;vH3Rwqqd%zPVt~xZ1od2j-;kgJGreSx z9XryXYavd^r+dO0ZV}|&0zA#m5IO)CFcZy#(_{&qT&0tL)5#NR8XbmMNDGI&n&z@( zLu5g842iYoZXKUL0_WGyo|WJxOj5z~CBEh3+r5siKIee_`&uL&Am|uvUEkbUIug3l z92B;R*+&@{(0kZdUa6Vo=roP|2R;7*^bvelhdvwbOLEJ)79pEo8g*i28)X?0 zE>VBzYbsvQNH2L6Sy1Whv-MLLQvD5rM1m?=L;P<~Uo#v(J9XkKLtfc^1DziDJf%c@ zw;7M_c>L6*X+wP++t}CRrueaAfX~$r2wl+q`q%8x;jtp>-NzwjF&?BcM&ELIRC)Pv z_^iq5@+v)dfquC`r_ps3jpK!w@M;_Lk+(-~ZQfgelBPN0$3Tm^uZ{Zev^OyO`c6gQ zGqFVYQM>>Mxyi4g%|<3U?onyIaCZm-*OGFynl1C!6@Gr3kRzjmdk+@- z{em*B!FY#&lhVHUT-bwv(eR93F95KDatA8oV~z+lLc8SB(Ufo26x0_t_fzhu@5Gma zYk=0Vl^EmVn?>dO%R#!>r5#yxaE$Rdq!%>V=#%(@U~RGyL2AnbUD`S{!sNrnbd=yd z3#X8cMBn;&Q~&)qtC8!TV7wDSCUV5HxUCx7o~Uw?F$@5cCouJIE@*;H zI6)MPy^7;i`1Cee`ko4lA{qu=8&H3oTfep3grDy8p?9Wo^F2d6-i@fk-8<3Kn`84| zwe79jJ#C zw!AMWjC-v}tNO(p3htAFEz}%5-q&~2}SZz<@NN6+s!oJEC)-6)pqtDp##|sU49ZR zKxZ7JBLBdd5=PkXag@M8n)4d;{oPfGsaKLsTB=Q&rDeFD_J*Ez{{Lq+dfGV*60l+% z^xNT%<&_?qiZe(=8^Ve)Fr$}JA6?)YzB6r2)Z1i*mGk-Tuv&0PjSY4X)|5PrJL5i$ zoB6K#H6B*ZxE0nWhK@l9*E5AbImhNVYM*~JV1D|i@~Jh2g0vniDvxnFqxc4x2R-+g zSzS34{09!ET5KK)zxuJY8Q}~)UzX@;UO-p~FXbE+tqvG>0KX=;pKf|7U6Q%z_3A7<^f&0kl8Nsex)C;ETy@zG&45kZHy8=K zPL@q^T^5+r2Swt10UqfP^fgt)w^YD8H@F7Zy}7}PL~&OP(DT{XKvtl_-5Xhg!kXh; z+@u1*W132}oLcc8J(;0i=;MwlR)X@EmAsyEhR+@F-7lFqQh-c%sR+5_xZ7qA(#rxS zeVU)QPk$|l)=s3k%ZzxzmpeMD*ft5{MIy0OrIS9Z$18)K*8v{5S~pz@c#i1#`YyzSvZ64a@l6dUDu`*<;mM= z*%eR_5;g`1Ts3Ow!sOdnCuserjzMJv4sg3ddi{K@D6!d*d=&$=Kk`k!>@Q!WrEM;7 z+B+$@Hx%}`)(9GnEfGH$i_P(x%L6Njlm#csBcy>Zz4R=g}u3)X35a(R@9ARd7G3 z)*SfH-bIq?c)=DZd0<5tD6lt}Izf+b8GHQgRi7+ugYi?>sf#$dQIN>5AJJ%I_&EIx zzL6SwVSU_#itE7*Y&cfe>d7@n3m2|qRMw@!PwsEL{$oWou$)T3qK>mZR3hZT&J_T; zl`f=QFOYhsDEDQaKIh}x{Mpn%5;tFcJ5yzHRNBCK;=XVYEXgikS8^0D@QJ9+l2M-r z#Z#mV^bKX7+_<=iM=1{tgGLmV(-;^UxqF^&MDBy5afIPQxgOjgwI@jQ`C2*EaBC$Hw+uT{|Cb-@EpG;V$MJm8+L@ ziQ)V!@>c9VDteY&kzoX>K1`FDzQTKHuXyuEV+|4;QGRE9qyDMCL+A`M_ny)%bJ<&P zmiW0SSk{k!WX|U!K+U9uRv_kd|KON?jA)|n3t0aL)Y+TP37o1W}DCrF$uT>AMsY-d=WUSj}hIVC6uK*RH`E=OZq-W<6X$IDF@v(kZQA*!J0Fto&;1J~n7aRN# zql3iG2L<{nW7Ys03R2)Y!brvOTbcA_Bc02pvmNoIcE;Oj+H_=|)thF@WgNZp0J1=e zhs?G*(-s?@9zxsIF#9w?y3}(7f`T;=(NhOQZ6(o=1UX&jSfHj#%7ZCbzJ~ z1XuIClr_!hglVHUPcE)^DK0yi`X*Bi(%&kwWceJYHcTRHF6IXIQURaVmA-4)L-_36_Gru?CM9*!PH9ol@9AFl)hS5Vkh5zoLP3kEV(?p4T3uR*dJ71{YD& z_ltDcw&NH1aB{E!9_dHN2r$VGiR;L^i^z){F8u~T1DDb&QrN^$z3#b_?9J7#*H*{p zm%_sg)=>xjnQ?ymIO%~ip)sNT5vm&{eE#eOpfe?I4jIam#A;e@DLIMT4~E3jb?Lad zBeGVPK9Eq;fcEGOCcfc~&7o!2))CXgqg!`KJu^Z!PXx$;MaSbjkh+`O7+Fld*a;gxHvzU1LUlfSRC{=vIw+q1SLWn9C(wN83ZWUQR?y%GceSK##WjN|2$ zRNQ0M^hUL?h#yeVut`VZ!C}urXsNJaZ0%QJniS#XEa6{yFUZs!wH9d_3N$vfi$>{N zT(b>cIWdj^ODInyyD|OeTF+PB7oIppGjdT`$Fj!L6lY2e;FjKK%}j;aK+3|28R18f zYHiuB%{pusGUPQ13acc-l416&ADx-20k7?m^);(uZzS+?Lc6M*6lOGtke7CIQZicB zHH_=MU$D}-8ZHLe2L~qgM&Q+8vSK1&f@5U$8MeQ~C`V;jgsY(yEwv8_bZ!avq##AkMC z_i$NjSZBSa^|jVO+Zu90I%H-A^R!chZG%Q@wRVRt)1EKAN3aeBjX-<-A}09UNgX}+ z&jXqrOaBZtZf`(qKzTyYwVRyZp9i4a`?-!E1P3o@a?88a?3qtywi9XJL zC~f+u{b&xdb~7#FG-Xmc!kzw$-GLC-Ofi;oS(nz6bXO7Jke|oUp~sNHa|E9^k@m62 zsl*Umiu&4+;aTpR%*g>;&@ZYc?rmV_%3o(+ZGn`NLE|!m*8YxDQXkJy=mlK^xpjP# z3C5JM^W#~xs(M=y7s`n~g`7Ld$#P|FEpOrR9R3Eq6Gv7)q-;s=WaRsP68-+cvLWs@ zO?h@yi{892JdoSv*?vo7CE*S{^0a^>jl0H|pf3$aV28Wshp8}WL4keRGrX`f;P@q( zV$#ISu~>Q1$4^1Nxx29MU!#n_)HIIWQa7FB)1Agb5lp{+eAaD(81a)099~bH^)mP< z&h4|kDJRFTZuW_1xNcviZjemp(-LHjxaEB9l_q*8(c*uP9Mb2MaC0uVZW@0;+ zy+y47f0|ksSk`Bz2yFZDO`3rBddZRqk(hN>}M7<-`% zVGSyNUV}pxU@rx~O~yW`sKrONH^pirMDNZ_Aa`>wXApk?!dCTJcP?Zy-h{ZI9L72A z<99fJjb6Z(4_aS&g$)=iK0WDTmg%&wLO3S15 z!@zQd#nR>a15%wm4iJf-zj=qJ8fZ30-|%iJOfOa8F%iG6{McHh+{>B)cU*bJ! z9kia14+8w)ZCYD_$AtZzc_+DzY@@}?evW9BV+aYD-_Q<*YyWcaDq9GctJ$a~38Z{Z zo$ZEo=F8h#cBvCXu(Lks^s5Tod}~itd)Le8nw&vVzeBBRLHS-FjRD+jgyW>w<}iI& z{mSC=ybzw3jSig9I{NHFTJVh@xewzwtBkiFK(hOO?KtBnfZE)rZ=LOx!e*)*R)N{o zG-`(-qomWMK=vFX?!5FC59(#;TpQ`g;{l4PIKrkp#8|!Pt8NnsoS9#B+0#Kr z+=W&u#;|tavyn7B?3ZI>H8%$u^xQ9=M(4{=2p0UIl7|`ZCu5uP5#ooLz6~SRqNqs? zWsq@k#=lxW^%h^o{%Pjjvqq0aZ$k32dyNqbo1Nk&tD_U=3Tnusj{U5;=35{7OGm4* z*5)=vd6zC-?=24*PJW{67`(Rdc*(%*Z1D&Zm=~9*AtGMGWAZ{L0l8Eju92Y_tR|nq za1sGYO;?kV60_O5QqjaN@DNefb|hv=PEGh6P6>U2W0^5wASu(h`5B8tY7aOy9BOpQ z&X;gSr+k^AM6M$JW#5c6&igMAe&nN=#Dxu&zM zc>RkanD$Rq6n!`L#yUIrR6EypXC0$7p7R7`Sa-*4N`u{Q7}M9t#_$JeDljTrntZ zj7Y!)!TPYt1CO?}6#L$N?ehdb%{MxG#Vo&Q-OHs2U;D!)?HPa448N!Jz`emNSpltl ziB%q-NEW1vrTaUfBjh_H_OP_HRKm2xZxb1tOLkEaEQ=w*y7unrDh}#>RloRg7Hxs^ z3oddH1#NuZ7PqgSR|OC#npd?3Wx{8TG`mp66(1wAx5`;tx`b{|6fnGw*o0$b7Jco+KE=JKlosKbmoKTW;=l+<}j4#gsr!JVa9%bVc!1Db=` zOL z2I4Z`wUEQ*OM?JQBs@YpPS|QeZCqSDD5w^dFj0(R@z=2(KI8q{L-0}3{5v~Ehu9cJ zhg4zKsW%)hItZ_FC|V&lfY734mt5HJTtT|i@58f?p)-zZQ#nV8iFufQJLf|E@N_>@ ztFjPS$6(3dIKR=lZhC*+Fo<8j(c3Oi>qU67ZKd<|dcek{Q;j;w)lNqk1234ExI8w` zEJn-WrY3;1sCdTdeI75+=_0=LBD>}k69+Ta4E#KSV>U-dnESFrrS35qb9dxTVhbQj z-OhIT?cX{Ahptllt^jk-1#@#p#&?3V_aq)QX!gH*_axl~w_p7P3Ul*Y8-hr+8Oyj= zkfgzK$v0wISoEHS#Jy~et#r@iWMW^BAV2NgiSdqABP?2L`!}`Q#D4z{;{X${Sbfq0 zU51Na{|1t&s7n*7WzmB4dwt<|+(H^~S$r!#9?c2E26QSq*$Y7UUy*HBvdlS=ufkF%C79 zJY?)qqAM_QBg-L2GhtKEQ>3tW9hjGZx$I8S&lW+gt`IvB(J-l!aT!Kz1&sTlM@@rM zyD`CM_KsIuK6U9Zq8Ebg5CP-)*{#+5DI(Y{eL3;Q(KAG@YTCNCPXlZj)0!nkHTng?=m^u$bS0sz!d)G zz|A=r%yQSfCE{`S2Xl>Y|&SwfumFi|_xa^{+n?FpF zRwE&OKC=LEp42Sa^vF*~n_gXbbXH~(=8*BCP+yK!?6ZzKhg?Naf^y|1e{DD9vYA}I zb{{%@{~Z$>zqpd&b%=9>|G34bQu8^Ru6OfPg5_I#;A^G9C$bZMAN4&AlhNxZb17Y? zU&KE(nGc_~zkl0ci3v$rOM9I_=O~l}R-uWDILECb#}(T2QEQ+alMS%>O3}|KGxO+a z(Lv^8Bi|Y!Hxvfq{MA^2_;8)L{jWyY2N?2HBLO0ser=0yxB4B9nU|d;@L4vEJF4|R z+oJM?E#>mQ@J8chVOklvCXpFSX-On3>3ZBI8-(&NhP+i=;r-+uZ;_WM_Qfhjl(o3X~AMe}1@th=!x90#Bl_Vl)c zrPDt-$xyz8#nS%w-NQb-{k}3R*dP*9VKn&3@zO4FB22ydwOajJSj<$c8Ryn!>Hx>J z@RU2f8oqk$u!A6#oAWKep6e^ujtjyoPW3xU=;^fx9jgdP-&yx?vWU>5MUPHoP71qG zl<#e1a+*jru8K5^eTbsmq>giDnm*tB*qaKN!kbappnYQ<|CP2HfdNvPh3}hoZ)HWS zT9Kf#MKu0V!Avf?W1rLW<$=6VQ%sVbCPKCFsD&qjrN-=I)abZfZ%bC5m=WJli`EAW zImV1aUkAFSTN(m-hoUk_>EL2VENA@QC~%Z$Lz{CoT|DxOGeJee4`BI8Q-de;XJ;M- zdwe?!VH7NTeGJc^QS>LsGuOo5+?v_)jVV?z-ocsR&OQB9R(RK@i%q4iib!d%zIX=Q zs0d>dnKa8pKKet0lE3}hOTn|b0Opi5S>Q5BLH#j(bJ}1r?QLFd2~+PbJX0^UcWFFu(fFMn;pnd0MQ ziBuV{XDekeCK`+E+a5hl zRld5P!e?=7&cLzWK<0S<0REWR!{ua7Y#(!+1Ny;K zAe1ZGprqmh#;jx~)9Z>NFZPzP7v)MCz@<;)hfeCQM2$SFM>OekBQ~}+=_(+pXBPBm zC#K?)fUCqxb)U#Sg2#{v;sWThEX%0X`{D#0B{y7{hKvlHa4)QJSAY4FMccoGxdx3O z6?@9B#!Vg+99rVm%;E0n#AZ@i^ro`DoNpNEeTRUgnK(x|)N+JPWBt&;b3=(d%@5X{ zK_JNd>@|;Z3PT7k4YyL}Z%jg*y2#>u zE

t8XXp*#Y(_bCs|s)m}lg5!Z8@yv4SrRM^Enrc^lZD9fW%X{o6Nj0c~zzkI6V zNFDD>>Ik-m#oxMTaDMl}V>8cmn1sC=i|gY~_5F_f=1$|Gkjr``zW44oXC7lA2`S1? z#Wf^w-oR7>#hi3=TX4UOot%Un`NrseFawOtk0BlA(O_o+XWq}R9@V+g7_d7-0Rdy{ zhN?CMkX7+Tl}cK`!*nfo)sgR52YT%Gd7mFq&FZ~rBk~7i^)AN55|9f()FtVW0=8cI06Q{TRbl*pSkbYAF{`dM=m}T-8!Fv4j%v}RoiZ@ z;xLZ%ORMyr6WcpaDA3xof%BAAXtkM>+`wqD19q8mwc1xcrZx8hVWjN#FmxMZ-(-BG zuD*M@o3m<}lXExbA(1=Ayqa@d30&@nf_=^JLQO=$+gwB1D*|cm0fA!NUQ5ZO2Q;2s zEO&6^#2`tV@=B0?h}vPwXqnI1s+77KtGKJgt;`I4Z-S70!HTFX0Su%Vt? zlVRE=xR&&|1y6FdIZSD^K2R|ldcSZ{OXX6Xd0PyLF1JJnv{r1l=E^GPtzWV$YgNO# zYHCnO52WE}+)466t65kxGhz8>2z`loh|0)FGL%h&HmjxgV6vAFg!#m~|vgyaZSC zvXq;&1;GHI;M}TqFC0?pb7y<0GG{cxY@{M@AW2?cxIe`!At8b?dbTlgFsod9&UU?)8mg*z*SH41}S;?kuO;PDH*U-~OO=2ZW`^;!t z2m4s7s-;Ccy3u~7+$qTBmP>~_8zgG1s>+OK_v#6rukjfCxlu(bLdY(O zqAB5*n%AU?J$m0x%I_%(S%)?ZH|aZ)w|l1_q%fg!V()BLQXm{jMtQ400?XA{+L#%n zY#ZUM2QBz=I^-A2@Ey9V%Gt(o3fyVH7gbRs5@SLQSbU+id`rh)|Bbd!h{2KbVyZ#*tXnxee}wPb;rVey z#cRZyIq0Wa4a*C-V3)|eJ`S2TXy@rv*+SrU?SnmZ`rWAbF$oMw9S~b?=D=7LReAWw zvi5EM(ICYTnR@+esgKh&jn#e5^b8vi0wN<(aPy7N(BF=$6(QeB8KIjG>vnBkIz!qO zPqW$g@@a<_sQ>10Sd)WEEAQ*`9ot}mnqiI-otB)_4NzW;Sps}}^9;b-8 z;~MriV&?@nlgNdx>iVRw|Ikt8i>60s^~tKJ`TEEF?}sUI#l&;;<=v{V*f~`veq+#@ zQ(Js2aDLEL@3LjNx!1IDW$y@WDNsQpId0QGfzXy+iMARUB$vy#Sy9~*du14em}WU!;!A37W50$m*k{4Mphrpe|BROMgW3=@d}n zX$HLqE)@5#jFKNxomq`9ZFGt=?G3|idFdCTth&zmOgbGmW5_Gx_>JWr;Btq3X}28Y z2JJU&$&Oadv3$lET6rvQGT1Vog@0d|Z&B&nX>Jy)Gzrr3oCRGqT-%NS0^eVCSzVCd zS)M<3_}w87}6(rWI(tIdN~tAktR7y8B9 zO}d%OBz=_HZ;lh~2wKwycG)FM^T_>`RDpLoCia4=8_@_SCZtOlZgx(BVJZ>nJC2w6z8HENH+x z#V;!u8q~tIknex^ntkAX?V;r$$0O&!9207@qCrf*)0O9u-c|SaN)=fDD;97G%JEH8 zx~kDr@cE^}3CpN7cx7I1V!vMTl10hyJLxnFz_{U`;CaE!?9k>90`d&@tAFH<@V;uB zrGY9Np>`VJl%m6|h5$X*oQc)2hDt3-au&J~UDLTx(Q{$x=#+X2Gpje9Y+9+5&0ZS2 z9r6R555Rf`=ltES-2b|;-krJ8<{e*Ybx}5z%$#s}bw)McFOwTAIh&~VsS8h{=WI`d zDns$O;}=TxkONkE+05NXg$r9gpj-=%Zk8zJ7;s*7M)JIKM)Ebu%qv`M9pM8C1L2SxWcXxjoNi2x(S^&l%gWjV%yi?_p_RJX0>48 zjWxr10%y2pcVAhlddVIWL%9BUMV9Lss*|tkSZCFMvPHF2Ehndod!Y{X2XPB|XzZQo zL95BXCRbpXGk3O@4R+7{1Fh?O*}I zt;o9Ck4-<5k+dAfY$>VWe`=3^y5u()cmZzX0@5}7tpT?QYb=F`zg6vJ(RJ6&)C;>b z8WBLUOJDZNu@b@yyPGWSpGsvByl-T>?8M z!2G{Et+x^{a<*_4sgep=^aLLg6;&FDHNBXNg<_@iJx{OipECYrfR5nqcnFS_#IvpW zYchLK&_27l{KA}jXLKR}>OUt~5J;vnM7B~EW>jg6jE;S_G!nNC#^9EreLg2u`W~1YgdV%!;06VueSGh& z$Kthf35VuO8qx)|l`fsUtW6BIh4QG6Eo*1?N0x~rvMFta#n(r^7#aP{{(;fjmZ>vE z@)*pgz8ZMw>hLDM4e}tLV{Jk+yWK}tTpeoTpIzb-jdc@ag>$DXX%F5`u6E=(8JOSu z{Yi@2ouEfofWwib^23Ck?X31Xm%>Sh{6Iyycp#GX0r{}|a4o!w%yus14n(WB-CI8# ztf0aEaP|A^^kdiq`NNsX!_C~oJ_xm~e?7yuaytcp?nVT;9*;d-bKhT&J-`6>1t#eA zwnrhJQ(8dvJ(6}6J@9}Gx@)^1L84>T?+4=BP8s#9ouvS%3H6rK0tcCevHSIP*j0&Y zEGytfogipJ&3K)=E%2IPdSHX~VPPGRL|}wEqNiE8TM=$Otg^9R7Ga{)CwX-ULl0m7N1fDnJ10Gdn z4{lSt05`ZAbKc7U0ICnqxr5(z~HcUXX-@b`T{oI=QQ@fQcLrb+B%K zz}+SAuy>OF1?hT)BpBOT@IC-Y`6KRDuXEu?`rWJ%L10?285-6Fs<^xTqVTaBB$ZS}jJbA|hYK%$00Yq7%m9w#FpDU=RKcPwzk^7iC}EfCDQBIbWEBHU`N z{>A(JnEsx;`J_B>otq}mQL?d*Y6Z336=W}erp2)c5aT=?17~Idg03qOw)PW`KdLS6 za27j77BT%d7lr6LM;u(TKR)G_nn1D1yT|xL?gYy0Da{{+r>>$SRs}lZ=M$LFzbNCO3ERBzq zBZhJkZ^T>IA$WkXHZ4|ei4#1`AGh3ZgXseW?_DY<&|M`)`iB^Fv~<^Fmm6a=2#7UU zhZg^#9;6?AeZLMt@9Lw*=vJ;k|6X;!&bgd4(tY}HzYh1>tO6OoKh~Lk ztzIq?*edCI7&H5Jzorvvj(dF`F$V6jaTMDlpbJFytz36larv1*gwFkps_iZBch(_d zO{A{ypFz#3`Pw@pU6Yb792aFX3b0ppk!^P;*|e2&fxGK-&EnG$=>GLuRkyxZbod}A z=08CX(1>QNLr~De`o9$tyB05(wpGcp*{cYG_#%(9MZJ4NU;uQ8fL3aXPFD^E!Jot8 zrbdOxCIY$aSFdW}XZlcK{I=Nx!{bu|d}mL~KV}aTUCCayson9kQZuIS=T{|L7>E8= zsNPv`Wlk+B1vMobL($MK@+Elr@1PBrw&s76j~(Nif_+}l&dIh_b=~A+`-NhaD+QjO z1cqMT0D#vr)>3tWtDe`f+GS<=Z9wmNQ|Nv?J>8$3)LE`0*5=9Cp`e2k?1QrwuaLHB zBjcgdR%>RGpIc0D5v=az^ymZ0<$+(#(uV;P#1A=n`~p0$%EC zs@E5WdVshVIjS0J=zhoLafnd;@R6hrC*Q&zd&4_mx79Q~7miC1X?c zGi}qWlSoPaWXiWDZfSn?eu&21VgLS@HIDg?U{WiP#Imr=Q)d6T)M^ zp==W5pPF-kMB)gX-Am!>qr<4X)g$8z-wogc0(yw=tK4Ca_zg+XYAuSPX56kt+#w>| z7w846#CnwEszMaEE+-;WdSfcSR?yXLvs4pTYvK8p`1tUey%hi4e(pMoyNxV00NA|- zf8P9~b=4Cdt~~WZm!)%?A*4M1Qewlr8e4Pn8v_A(l2rhe3By$t z8_;3=7lAnl1wZg+yO4J$wUHo767jV!mzBRiI3fUMxx8L4k&hZ}ua?+$DzIpH-OJ`W z()Zd)mp6GwEmVJe1$@H?E3xq>Bf1`5q&XtY%Q5_)!QHnj^Kht4M!FvvdN+GvM3$VjHkNXbgQMjwxkU28vph5a6(o5 zC?Ek^!JCp!6R6sl&d(RezKNNpLO9Q-_&I_7d%ntfF)4M8LJgCQ3xml?Qn10Z{rq8F z-Zw8QBK|I))!?=fbr7E84k!tf5>H#C{q=ogP?38e-It2JHGS?To5x;`_r9@oJWcTW z;`1$LNln+_OMbyV8EF!-Zwcu>KZ-fo{%vK+7?3^kIwkoq`K7q1K3M8RVfxj)P?XfN zU{BC6%-2->ge5ahBRdKZccbjpcwQIBNd0zWFyN;d2Lwl@QPp%nEaW{9C(14TcVRmI z^EV5Le^}a`wk;#uQ!Jk;SG}IEd6wzLPl%Dw_^&!o`?zK4e%D-wucEQZe(5`;+OV9; zo2~n0J)!b+)2>@wtmv7RT0E#XXIvyM=*RCj!Z=Yics+j@&tLpFdqvH)rzRWtUB_{p z_Gp8-N7*e|!gv+GUi0nx>FV`o6n-DQmmRbE!hjwbwx+|U^tF$(gy|ewgjJ<_?(avk z?CoclzgvyS%@XQNkIt+>j%L3Z0=g=_fW6yaT9}ai(VJ(A-L3)g4V^;ZE!@5*o4%?& z;V7A4Uj@V5=?~t;4urbC^||k}F`q9mu!X;pB-P2@rtH1s;8vhXmpx5#NG9*Ai=R!V z!Y_Lul1rl^%xOYpe&YR{ddrx&kr6yy@-Zq@rbvoOI04Dw`POG-Sim+imBq38H35yl z$%)QJ$oo%{E$W3LPH#L%cj(>L&h(=Ax+qCB(j?S1>RU|BHuw*C^elZo9i9$P{tz?& zxvRaKI(4C{XSO!*v?cO3rk?F0la}j{X=+;*|6?vN6h~B5%>GmSlh{I0V(7f~c3>GdXv38X6Tg=#EjE83!1p_R;)!N zWM)+0$p32F1b*mA*(2n^Qa(*`r90PHQ5fQmYh4q!c%L3%Nj7^sr6*@#7~i(X;ofgr z&Th??bpJ0-rUh%}epq`3h*S9foac9~z7K!DMICbXFgM3mc8n!HUgCYRT6pkpHkEN=sI|7^NPsHym`F%MMXvbyYupz^DN#lzVMx$ zXcSKCOhlBQ><@3;`E=hlt5;$2J!~xg)a+WT-M98I21aYh4Lsyc=v$Tj$X>aBeG_Yx zyIUIaZRXZw_bGXZWHaA{ed9!Hd|GxOR)uHhL)>WgmN$gsZ&FcGj`ZsfXTRR5Tz)#! z92qpe8b0FY&#KNFDv`Vz$%b0X0KgL2GlYr7*zRg3h<$iAp{tATt*T}@zW*;eq4wuY zLyh-|tQz)U9M{ujzp=GD`nsojhGFjw!?bUI5|sobvQxk4-cEm(uI3d}Cc)AZsaKNQ zfS=+PH{B)f%_VVlaoATO&VlIoP|meV5zDNU7%MVkS@VQ1M__i6O>Q+3Qk-B;c89HZ zsFEzOL#S7flKhk3#)Or?zJ49N;qXYwcu$_zS!ty8XH$vt5lS?g7*@>lV?*e}zvyUoz|H zv3eJh!c)9>MhJ-vPq|Pj+&k%A$Nt_-UUW#yEmO?%Ki|A8<+;A?qNj;;t$4smyjiE*;|RFDPD9`FJvK+RcyBC*wlVP>3ns{X4G6THTsGj5UMcv?*ZhN!~^a3_Q{nbexVqZ!JmZ} zk3Ui_cH*&%>=7h)3z$A%NM&Ric-*w1{+erMXB3yVqC>kuRC6-Bkx29UQ!LtnAWkLR z_D^KX6~9+3TO3E4%+_4>WOc^rp;?|-fN0Fq6wNUMu8eP_|H741n#dVTSSKNeEz+kf z8+LdY5B)%C>Rs&PnC8H8rY~!-)Mxj7uZ)J{cSIFAf-XoGU`<`-j%x`vL(iugCWo|q;IsAeyivAMj&(rzTGM31-3SuAdQ&}eNCco!S49Qc*>y+_IMSPv_ z;(p7WR8*nS;4hTKpzm=O*RHBVbXjGlI-63pYhK>@$Ul>+7S!o|b(~W{KBHL_h;1yY zj~3Nl)XHS{5YRJw*)Z;NlHPaL+D|MKtGwU;ajf=tCOTx;JGz1G^NZGYdn%X4r_AN# z%3s>aiV~X?TAm|;P}v)wcx%@KF%uf;Zx-Ot&3d2Di%e~id%7`rIe)w6n^hGXQ7jaH zl67L z%3dPV*6F#IhxKocnU3Ar)v)tPWhDuI-%fFe^p5$zsV=|5y#GOS%~T6JtW57p^Qm_T{n?b?D<=2O#DC zwR>*eX^?sKe6O&Bxk~)Q;4`ZRBQ`~2Z#Z%0Fg;QkO< ztHFr~mv>#)Th<#G5!4hM&yRA%rm#Ljj z=Iqa{>?yX9A%yQ+mOA*PBCC?X%ea5{P~7L?y^VMm3<*EK;e12Fj;Qnifwh+qn4j&J zxGq&kCW6r$c`~#ib=8Lo7m^MFWk{+ql^^!1Bb1^U4oRXf&R8CZB8suLU5nt{lwle= zpSi@Ar#SziyvZJX2t$sP(A+?WUxk<;I&DAS?KD*+Hop130P>}jPR2Kl!!(1-nY!aY zMAi~X)@mM3zuRxKzoHqD{QgZLJ5Ook_h3Y+N;?TX!jmP8GoP_H89LVVR*Axnz&~3B zvfZnXMP4_$mY_J5exmtdSw{=bF8RSYJZx_CIj=jGnj8fi`T)$ z*G<{~>B}btv*>?`)b`qd-C{2zN-Z~E4E}A4h~9+`=+;W#sHp^9#G)?i|^u;bzM(g#i54Wcnp!Z{LZY886SSo)v{+yTl?doBij_k`F=H= z)K5ED-=a`|xmjTkoAnEmuB{rg<2!~Bo@IZ+vhQ5??*vD;g1)>{-{slWV4_qA|4LQ! zZL}bhmR~qNR(a_8ZHry>LQCgf10>pFH-KRVv5*J}ev!94vP^;zMQ=`^>3zN(b3%4$ zA~z~x8mt7g|6n?g-MR2m-Y7WeO*5&&`#1@Xi93DIG!B}C=~e5o z;m}^|npfA1&HvPO9Z*ed?KXmdAU#y6A~kdjqDb#07$5-*(nFI@Lg>Bs0MZ1cC?Mq` zg7hYc^bR5&6p$!_Mv*Gwi_dqT_rm|rtXY{^>+C%{`+WIw)^{=|hTK}pBKzLo9TiMS z{p18pI~Nz=ZtPlLbO*nt23O{CQf!AbU8fe_s(p9De7;OANAcBM$yleH2Sqim3HVtZ zHAw^0?{v0K4|t22b>b?#0~;hqy>S*+z&=R9>lNK7=F9F4pZaxim zpCo5J%K-r)sTlrDd+{Fb$%E(%0a~0y{p`n<^_acZ&GqP%+Kk3f;-O}Pk62KRXn{b( zj_N?=n=K73nNslmOChX&`0oh9Gi**^#*lW=L>mg@Y|f*BC!MQH0~mvZ%$>N{#y9+U zSKg*Nh6lkl(H>KG65e#d7{n%51$N7M!iSaNnkHDZH~$HhMRQ@gHVKspe64;cW#`CFQ&C*mpylf8 z)-}l|XvMzA+ChOh?<(Nk@jYW%1hQSI7z+h0gxhgG%9x2o$eDVIY9ES|p4a0mV0^_s z7DAb+@ku292%9JC%0w*r{@^pMl)qP1m@l8bf8?qYyzt!?aa3pQ{Bk{n@!In1Z0FAQ zqo)i;3l6Pg4@9{)-$a*~UZh>Z#&EGcwWcr)_AQIhf?duQkYAH zn6XF2p691PF)BbhaEKBuzj435(OmWz^N+X-({oB{gcSzAc83i$S@bn_^KI-{)V=Nx z9dPPzlD{_5mHZd+!>lmY(%i-mo_AmNQyj}9O13C(7^VOpG;4gL<0{#R4zn5uy%4*3 zn3@y`X}J|7L)p)!aNw6-3!LE9WqS*&VQ1`dwOJ5a=@%z4we-jpXCJPh6x5B`Wxal3 zU4H(=ca*kp@v4%~bDCyz{t}HX350@vi1{m}s_VpLYD9zfKch&VLVZYYlh(2>ZA_0B zK9k+>Nvd-$e*1Rv9%*P>o#AwvLtkr1)EWCUEu9*?Hs`E224(r3bRUqwQFKyTZSag6jnvWaFh98@+qx^(U% zW2W6OI!_gX&HDjSpwX(or%O^i#2Bmj5FwrzW0_5S#ksie(@gRB;yI&Way;jtwT*eW zoh7UXI;0!tXLN9@P)<{|Ux(V_{g--DnvH|ndqPl_n!Z__?|0IlHo**=pSl!g1Nxtq zvL&@Y{qeF&KdbKJ2?-SwJ~Ix~)>$dd)swC>Riux2k6>1ouG{b!k_`~kdxH^0szL>S z>Kyi-pufy?1#4A!l|q8pCk9gtXv3|#Zw;wBXWxhCSWR0+kSiz1cQ7WL+mp^fH){L2 z$=z5h8~EDLEce%VfF#nYd9?F-7;d`ZA*u>@1ZL-P*gg3$HH~&B$@4MWcX2rV&5UIszt!PMYI1t%oLlJUY+~9x zmd$bbk{R)dIE7eLg)8~kjrH9zsAftAdYt&7Z_c|IVBDDBcgnsiGxa~>&wW}w5@mZ7 zn_Pc+9d*poad$(xx@5iPem5wpNi^OUcpa(85b&QnhUn?-1OwTDi z>^bxlOvM=M3_Py@c>?GIoJQO%Cv7_MyB9EdFmGquE_ce|=Od<@I0mML2Wju9HdaRA zK}R(Sb$kd8V1N#8UZliR{H|OG;sr*7FuIk&5JwDv`zxa|Tdb*f}W7RfZea%GqCx^;OoCx_yC1y+(7 zg*?V~Q_*3mzRKg%Bn{aOE-cC3f_`#b96|;2oXAX{E4gBkv`#|`HzR0oRw$gfS2lD+ zc&=Y2O&|CY|BafMs#7~%_`U6r9d02n36@xkf)4g1RSYT+IlJFh(sNIvd6C*mK@XCB zMp%)CS_HWk>j+eFk)ecbVlu^XDm?rJWe*D>>@eZENR7uWd+6Q0%8d5BBA?MKffNMpsHG>=LI>IOpn zv-ZvLmKjR!Ze>`}0oULIpYIg;QTtuIS$yViD%$SRoIjzToZR+M&0x6Ql|+;`xnMO& zv?Rr4BlJQhv;elw^raK1;Y64IPSl*o$9C3PbuqU*eD90>y*8Mj&G8XWb@P_)!?w)) z?ek-DbBZ)a0+~*0|E>k?>Ad`GOsE0fCLEk+YC)EF^&S1tP!BILl%0o*wci@WTJ41) z5nafDiQrW!38`0C4YexZWm=$-VQqu{G1vtmJtI6+%s`?JE+J7XRr6HpDIAX>Hvp6~ zrg;bt!tr{4!6m@P65tvs$vP=%q5&2m2>{^ViZa6vTMLK)099%LfcdNES- z4H}jif9wmhjPi{iXPejSx|mb1F?BP{O@Q+5+ zgMlDLLmc>sZvW`k^J%C37OE83s1TQO`vzrMbmAg8IXx?V6yTlmN%t+Hv6~_l_3D{) z`KE+FJ_lb0W#+_+Yni0b}gq%ol7+J3E$3cDnX<8EAc zz&hPXTH>bBx6Dz=?Tdq$L>+fg;ZlLYlDSB}jysY&-dRZWF>=NE`W+6hskg-~B`N*s z@U6LPr5Qf`4KBcCTbB@#t6ZFl{vG?z0c!rzd-@RR(h_!G3zYb9#)ZqK*H%)V&zy`F zWtRms@gkl)WHQ5=tO;*rMzqHTDW~@|z&Mle{1(km8mWATMZn?>C99e2<6G;CTj9t-{7?Wsszjl*Rl}!3 z0~vr0f;4n~dexq1Pn^!}ri|rF0~a_x{gx4wkELL?wWzV?mh|)c-WavN=f}8wBL!+# zKz>E_yY(#F`=z)oDtOr}c-*%r?FZktu%az_!X-YXE~I3SbY41j%%xC~Z0zShVp^3@ zaivrj!EF&@+3Fksz<4&M(OwuEF>mu^NocnOZPfm8yi9mHf1Rq3mkMO*VTFoT>{}bl zOBZL71F3m`a^Bn~8qz)qTnR9l@!joaA8y9pR@`o=9O<@C@agck;B7>c{ggdy z-{MDFK=CcT$P5qWQX-GGjZV0dA1kr%Ulnt?dg zRVnmTPUGinb+&}uPt^Otc?E(m2J`(jW3F8B^EXp__CciUX1(p`{_R}Z-da}!GXPd4 zU|RtLOvp^X_oPpDYzN|HK?4dFEvOvXvum$Nvz5eVb?Rrj$2vQ2}1mHfXbhpm{8X~nGc7t)Og$X3&%oi$&=HX zHs)v?Sk8ySI|P|#>s>5M4RHre4<0=-++(K@biO01cTFXDd0PA0w9uAzMEw;s3v5Q? zGDZvPIUOrEi;)HDaP^z<+pez*TI$3NBl1v&?VX@YSHBme*z!MtA^;S$gg_Up_R z=^^Ip>T(+77tkqhM+E?gCjkKTzuFPD-=HJH%c%(gJ6rqH_(S1i)D8htN@xxIU-aL2 zEX^7GT{k4^G=ZBE{XOlAgzp@gKLUR7G=x2)Jwrgq`KR%PlhaPQ1m*14e|zzN8VGn1 z_A_|2qqT?KX$Bi+26Z?=!7L%-{8c1f4h{cf;qUv;YM#OS+Snpbzkw93!ya);0D#H;w15WFvjk`HU~pUD2tP3( z;VIMq_u+2=j1K<+Z+9jLPR}F#=>uRg@#!G)bvv#9^w8rEoU8CO{PaNNG}q}t!!NGi zol$d^>+d1NKS};mmi|K$_2`VC-#PoA6u+j{PG_<|6vf5=g@TaR^mR!{f3>6`>{G-5 zz)A@*Kn$P`HkHuvbMG` diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.pb deleted file mode 100644 index 4526e93..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.pb +++ /dev/null @@ -1,2 +0,0 @@ - -2012.4’)Timing analysis from Implemented netlist. \ No newline at end of file diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.rpt deleted file mode 100644 index 01209cc..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.rpt +++ /dev/null @@ -1,805 +0,0 @@ -Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -| Date : Fri May 13 14:42:10 2022 -| Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) -| Command : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation -| Design : fixedPointTest -| Device : 7z010-clg400 -| Speed File : -1 PRODUCTION 1.12 2019-11-22 ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- - -Timing Summary Report - ------------------------------------------------------------------------------------------------- -| Timer Settings -| -------------- ------------------------------------------------------------------------------------------------- - - Enable Multi Corner Analysis : Yes - Enable Pessimism Removal : Yes - Pessimism Removal Resolution : Nearest Common Node - Enable Input Delay Default Clock : No - Enable Preset / Clear Arcs : No - Disable Flight Delays : No - Ignore I/O Paths : No - Timing Early Launch at Borrowing Latches : No - Borrow Time for Max Delay Exceptions : Yes - Merge Timing Exceptions : Yes - - Corner Analyze Analyze - Name Max Paths Min Paths - ------ --------- --------- - Slow Yes Yes - Fast Yes Yes - - ------------------------------------------------------------------------------------------------- -| Report Methodology -| ------------------ ------------------------------------------------------------------------------------------------- - -Rule Severity Description Violations ----- -------- ----------- ---------- - -Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report. - - - -check_timing report - -Table of Contents ------------------ -1. checking no_clock (0) -2. checking constant_clock (0) -3. checking pulse_width_clock (0) -4. checking unconstrained_internal_endpoints (0) -5. checking no_input_delay (0) -6. checking no_output_delay (0) -7. checking multiple_clock (0) -8. checking generated_clocks (0) -9. checking loops (0) -10. checking partial_input_delay (0) -11. checking partial_output_delay (0) -12. checking latch_loops (0) - -1. checking no_clock (0) ------------------------- - There are 0 register/latch pins with no clock. - - -2. checking constant_clock (0) ------------------------------- - There are 0 register/latch pins with constant_clock. - - -3. checking pulse_width_clock (0) ---------------------------------- - There are 0 register/latch pins which need pulse_width check - - -4. checking unconstrained_internal_endpoints (0) ------------------------------------------------- - There are 0 pins that are not constrained for maximum delay. - - There are 0 pins that are not constrained for maximum delay due to constant clock. - - -5. checking no_input_delay (0) ------------------------------- - There are 0 input ports with no input delay specified. - - There are 0 input ports with no input delay but user has a false path constraint. - - -6. checking no_output_delay (0) -------------------------------- - There are 0 ports with no output delay specified. - - There are 0 ports with no output delay but user has a false path constraint - - There are 0 ports with no output delay but with a timing clock defined on it or propagating through it - - -7. checking multiple_clock (0) ------------------------------- - There are 0 register/latch pins with multiple clocks. - - -8. checking generated_clocks (0) --------------------------------- - There are 0 generated clocks that are not connected to a clock source. - - -9. checking loops (0) ---------------------- - There are 0 combinational loops in the design. - - -10. checking partial_input_delay (0) ------------------------------------- - There are 0 input ports with partial input delay specified. - - -11. checking partial_output_delay (0) -------------------------------------- - There are 0 ports with partial output delay specified. - - -12. checking latch_loops (0) ----------------------------- - There are 0 combinational latch loops in the design through latch input - - - ------------------------------------------------------------------------------------------------- -| Design Timing Summary -| --------------------- ------------------------------------------------------------------------------------------------- - - WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints - ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - inf 0.000 0 15 inf 0.000 0 15 NA NA NA NA - - -There are no user specified timing constraints. - - ------------------------------------------------------------------------------------------------- -| Clock Summary -| ------------- ------------------------------------------------------------------------------------------------- - - ------------------------------------------------------------------------------------------------- -| Intra Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------ ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - - ------------------------------------------------------------------------------------------------- -| Inter Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| Other Path Groups Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| User Ignored Path Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock ----------- ---------- -------- - - ------------------------------------------------------------------------------------------------- -| Unconstrained Path Table -| ------------------------ ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock ----------- ---------- -------- -(none) - - ------------------------------------------------------------------------------------------------- -| Timing Details -| -------------- ------------------------------------------------------------------------------------------------- - - --------------------------------------------------------------------------------------- -Path Group: (none) -From Clock: - To Clock: - -Max Delay 15 Endpoints -Min Delay 15 Endpoints --------------------------------------------------------------------------------------- - - -Max Delay Paths --------------------------------------------------------------------------------------- -Slack: inf - Source: a[-3] - (input port) - Destination: c[7] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 9.462ns (logic 4.925ns (52.050%) route 4.537ns (47.950%)) - Logic Levels: 7 (CARRY4=4 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.825 r c_OBUF[5]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.825 c_OBUF[5]_inst_i_1_n_0 - SLICE_X43Y21 CARRY4 (Prop_carry4_CI_O[1]) - 0.334 4.159 r c_OBUF[8]_inst_i_1/O[1] - net (fo=1, routed) 2.437 6.596 c_OBUF[7] - W13 OBUF (Prop_obuf_I_O) 2.866 9.462 r c_OBUF[7]_inst/O - net (fo=0) 0.000 9.462 c[7] - W13 r c[7] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[8] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 9.266ns (logic 4.823ns (52.047%) route 4.443ns (47.953%)) - Logic Levels: 7 (CARRY4=4 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.825 r c_OBUF[5]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.825 c_OBUF[5]_inst_i_1_n_0 - SLICE_X43Y21 CARRY4 (Prop_carry4_CI_O[2]) - 0.239 4.064 r c_OBUF[8]_inst_i_1/O[2] - net (fo=1, routed) 2.343 6.407 c_OBUF[8] - V12 OBUF (Prop_obuf_I_O) 2.858 9.266 r c_OBUF[8]_inst/O - net (fo=0) 0.000 9.266 c[8] - V12 r c[8] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[6] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 9.175ns (logic 4.778ns (52.082%) route 4.396ns (47.918%)) - Logic Levels: 7 (CARRY4=4 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.825 r c_OBUF[5]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.825 c_OBUF[5]_inst_i_1_n_0 - SLICE_X43Y21 CARRY4 (Prop_carry4_CI_O[0]) - 0.222 4.047 r c_OBUF[8]_inst_i_1/O[0] - net (fo=1, routed) 2.296 6.343 c_OBUF[6] - T14 OBUF (Prop_obuf_I_O) 2.831 9.175 r c_OBUF[6]_inst/O - net (fo=0) 0.000 9.175 c[6] - T14 r c[6] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[3] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 9.173ns (logic 4.784ns (52.155%) route 4.389ns (47.845%)) - Logic Levels: 6 (CARRY4=3 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_CI_O[1]) - 0.334 4.045 r c_OBUF[5]_inst_i_1/O[1] - net (fo=1, routed) 2.289 6.334 c_OBUF[3] - R14 OBUF (Prop_obuf_I_O) 2.839 9.173 r c_OBUF[3]_inst/O - net (fo=0) 0.000 9.173 c[3] - R14 r c[3] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[5] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 9.153ns (logic 4.765ns (52.061%) route 4.388ns (47.939%)) - Logic Levels: 6 (CARRY4=3 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_CI_O[3]) - 0.313 4.024 r c_OBUF[5]_inst_i_1/O[3] - net (fo=1, routed) 2.288 6.312 c_OBUF[5] - T15 OBUF (Prop_obuf_I_O) 2.841 9.153 r c_OBUF[5]_inst/O - net (fo=0) 0.000 9.153 c[5] - T15 r c[5] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[1] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 9.090ns (logic 4.700ns (51.703%) route 4.390ns (48.297%)) - Logic Levels: 5 (CARRY4=2 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_O[3]) - 0.313 3.910 r c_OBUF[1]_inst_i_1/O[3] - net (fo=1, routed) 2.290 6.201 c_OBUF[1] - Y17 OBUF (Prop_obuf_I_O) 2.890 9.090 r c_OBUF[1]_inst/O - net (fo=0) 0.000 9.090 c[1] - Y17 r c[1] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[-1] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 9.079ns (logic 4.743ns (52.242%) route 4.336ns (47.758%)) - Logic Levels: 5 (CARRY4=2 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_O[1]) - 0.334 3.931 r c_OBUF[1]_inst_i_1/O[1] - net (fo=1, routed) 2.236 6.167 c_OBUF[-1] - Y14 OBUF (Prop_obuf_I_O) 2.912 9.079 r c_OBUF[-1]_inst/O - net (fo=0) 0.000 9.079 c[-1] - Y14 r c[-1] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[4] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 9.079ns (logic 4.693ns (51.693%) route 4.386ns (48.307%)) - Logic Levels: 6 (CARRY4=3 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_CI_O[2]) - 0.239 3.950 r c_OBUF[5]_inst_i_1/O[2] - net (fo=1, routed) 2.286 6.236 c_OBUF[4] - P14 OBUF (Prop_obuf_I_O) 2.843 9.079 r c_OBUF[4]_inst/O - net (fo=0) 0.000 9.079 c[4] - P14 r c[4] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[2] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 8.971ns (logic 4.723ns (52.647%) route 4.248ns (47.353%)) - Logic Levels: 6 (CARRY4=3 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3]) - 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_CI_O[0]) - 0.222 3.933 r c_OBUF[5]_inst_i_1/O[0] - net (fo=1, routed) 2.148 6.081 c_OBUF[2] - Y16 OBUF (Prop_obuf_I_O) 2.890 8.971 r c_OBUF[2]_inst/O - net (fo=0) 0.000 8.971 c[2] - Y16 r c[2] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[-3] - (input port) - Destination: c[0] - (output port) - Path Group: (none) - Path Type: Max at Slow Process Corner - Data Path Delay: 8.884ns (logic 4.645ns (52.282%) route 4.239ns (47.718%)) - Logic Levels: 5 (CARRY4=2 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - P18 0.000 0.000 r a[-3] (IN) - net (fo=0) 0.000 0.000 a[-3] - P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O - net (fo=2, routed) 2.100 3.072 a_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3] - net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_CI_O[2]) - 0.239 3.836 r c_OBUF[1]_inst_i_1/O[2] - net (fo=1, routed) 2.139 5.975 c_OBUF[0] - W14 OBUF (Prop_obuf_I_O) 2.909 8.884 r c_OBUF[0]_inst/O - net (fo=0) 0.000 8.884 c[0] - W14 r c[0] (OUT) - ------------------------------------------------------------------- ------------------- - - - - - -Min Delay Paths --------------------------------------------------------------------------------------- -Slack: inf - Source: b[-3] - (input port) - Destination: c[-3] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.431ns (logic 1.568ns (64.485%) route 0.863ns (35.515%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - W20 0.000 0.000 r b[-3] (IN) - net (fo=0) 0.000 0.000 b[-3] - W20 IBUF (Prop_ibuf_I_O) 0.206 0.206 r b_IBUF[-3]_inst/O - net (fo=1, routed) 0.329 0.534 b_IBUF[-3] - SLICE_X43Y18 LUT2 (Prop_lut2_I1_O) 0.045 0.579 r c_OBUF[-3]_inst_i_2/O - net (fo=1, routed) 0.000 0.579 c_OBUF[-3]_inst_i_2_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_O[3]) - 0.063 0.642 r c_OBUF[-3]_inst_i_1/O[3] - net (fo=1, routed) 0.535 1.177 c_OBUF[-3] - U17 OBUF (Prop_obuf_I_O) 1.254 2.431 r c_OBUF[-3]_inst/O - net (fo=0) 0.000 2.431 c[-3] - U17 r c[-3] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: b[-5] - (input port) - Destination: c[-5] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.505ns (logic 1.604ns (64.018%) route 0.901ns (35.982%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - Y19 0.000 0.000 r b[-5] (IN) - net (fo=0) 0.000 0.000 b[-5] - Y19 IBUF (Prop_ibuf_I_O) 0.205 0.205 r b_IBUF[-5]_inst/O - net (fo=1, routed) 0.375 0.580 b_IBUF[-5] - SLICE_X43Y18 LUT2 (Prop_lut2_I1_O) 0.045 0.625 r c_OBUF[-3]_inst_i_4/O - net (fo=1, routed) 0.000 0.625 c_OBUF[-3]_inst_i_4_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[1]_O[1]) - 0.065 0.690 r c_OBUF[-3]_inst_i_1/O[1] - net (fo=1, routed) 0.527 1.217 c_OBUF[-5] - W15 OBUF (Prop_obuf_I_O) 1.288 2.505 r c_OBUF[-5]_inst/O - net (fo=0) 0.000 2.505 c[-5] - W15 r c[-5] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: b[-6] - (input port) - Destination: c[-6] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.520ns (logic 1.587ns (62.965%) route 0.933ns (37.035%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - V16 0.000 0.000 r b[-6] (IN) - net (fo=0) 0.000 0.000 b[-6] - V16 IBUF (Prop_ibuf_I_O) 0.185 0.185 r b_IBUF[-6]_inst/O - net (fo=1, routed) 0.461 0.646 b_IBUF[-6] - SLICE_X43Y18 LUT2 (Prop_lut2_I1_O) 0.045 0.691 r c_OBUF[-3]_inst_i_5/O - net (fo=1, routed) 0.000 0.691 c_OBUF[-3]_inst_i_5_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[0]_O[0]) - 0.070 0.761 r c_OBUF[-3]_inst_i_1/O[0] - net (fo=1, routed) 0.473 1.233 c_OBUF[-6] - U14 OBUF (Prop_obuf_I_O) 1.287 2.520 r c_OBUF[-6]_inst/O - net (fo=0) 0.000 2.520 c[-6] - U14 r c[-6] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: b[-2] - (input port) - Destination: c[-2] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.529ns (logic 1.573ns (62.198%) route 0.956ns (37.802%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - V20 0.000 0.000 r b[-2] (IN) - net (fo=0) 0.000 0.000 b[-2] - V20 IBUF (Prop_ibuf_I_O) 0.204 0.204 r b_IBUF[-2]_inst/O - net (fo=1, routed) 0.419 0.622 b_IBUF[-2] - SLICE_X43Y19 LUT2 (Prop_lut2_I1_O) 0.045 0.667 r c_OBUF[1]_inst_i_5/O - net (fo=1, routed) 0.000 0.667 c_OBUF[1]_inst_i_5_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_S[0]_O[0]) - 0.070 0.737 r c_OBUF[1]_inst_i_1/O[0] - net (fo=1, routed) 0.537 1.275 c_OBUF[-2] - T16 OBUF (Prop_obuf_I_O) 1.254 2.529 r c_OBUF[-2]_inst/O - net (fo=0) 0.000 2.529 c[-2] - T16 r c[-2] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: b[-1] - (input port) - Destination: c[-1] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.576ns (logic 1.613ns (62.626%) route 0.963ns (37.374%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - U20 0.000 0.000 r b[-1] (IN) - net (fo=0) 0.000 0.000 b[-1] - U20 IBUF (Prop_ibuf_I_O) 0.193 0.193 r b_IBUF[-1]_inst/O - net (fo=1, routed) 0.375 0.567 b_IBUF[-1] - SLICE_X43Y19 LUT2 (Prop_lut2_I1_O) 0.045 0.612 r c_OBUF[1]_inst_i_4/O - net (fo=1, routed) 0.000 0.612 c_OBUF[1]_inst_i_4_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_S[1]_O[1]) - 0.065 0.677 r c_OBUF[1]_inst_i_1/O[1] - net (fo=1, routed) 0.588 1.265 c_OBUF[-1] - Y14 OBUF (Prop_obuf_I_O) 1.311 2.576 r c_OBUF[-1]_inst/O - net (fo=0) 0.000 2.576 c[-1] - Y14 r c[-1] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: b[0] - (input port) - Destination: c[0] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.593ns (logic 1.617ns (62.342%) route 0.977ns (37.658%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - T20 0.000 0.000 r b[0] (IN) - net (fo=0) 0.000 0.000 b[0] - T20 IBUF (Prop_ibuf_I_O) 0.196 0.196 r b_IBUF[0]_inst/O - net (fo=1, routed) 0.420 0.616 b_IBUF[0] - SLICE_X43Y19 LUT2 (Prop_lut2_I1_O) 0.045 0.661 r c_OBUF[1]_inst_i_3/O - net (fo=1, routed) 0.000 0.661 c_OBUF[1]_inst_i_3_n_0 - SLICE_X43Y19 CARRY4 (Prop_carry4_S[2]_O[2]) - 0.066 0.727 r c_OBUF[1]_inst_i_1/O[2] - net (fo=1, routed) 0.557 1.284 c_OBUF[0] - W14 OBUF (Prop_obuf_I_O) 1.309 2.593 r c_OBUF[0]_inst/O - net (fo=0) 0.000 2.593 c[0] - W14 r c[0] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[5] - (input port) - Destination: c[5] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.595ns (logic 1.510ns (58.186%) route 1.085ns (41.814%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - R17 0.000 0.000 r a[5] (IN) - net (fo=0) 0.000 0.000 a[5] - R17 IBUF (Prop_ibuf_I_O) 0.161 0.161 r a_IBUF[5]_inst/O - net (fo=2, routed) 0.467 0.628 a_IBUF[5] - SLICE_X43Y20 LUT2 (Prop_lut2_I0_O) 0.045 0.673 r c_OBUF[5]_inst_i_2/O - net (fo=1, routed) 0.000 0.673 c_OBUF[5]_inst_i_2_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_S[3]_O[3]) - 0.063 0.736 r c_OBUF[5]_inst_i_1/O[3] - net (fo=1, routed) 0.618 1.354 c_OBUF[5] - T15 OBUF (Prop_obuf_I_O) 1.241 2.595 r c_OBUF[5]_inst/O - net (fo=0) 0.000 2.595 c[5] - T15 r c[5] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: b[-5] - (input port) - Destination: c[-4] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.603ns (logic 1.698ns (65.228%) route 0.905ns (34.772%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - Y19 0.000 0.000 r b[-5] (IN) - net (fo=0) 0.000 0.000 b[-5] - Y19 IBUF (Prop_ibuf_I_O) 0.205 0.205 r b_IBUF[-5]_inst/O - net (fo=1, routed) 0.375 0.580 b_IBUF[-5] - SLICE_X43Y18 LUT2 (Prop_lut2_I1_O) 0.045 0.625 r c_OBUF[-3]_inst_i_4/O - net (fo=1, routed) 0.000 0.625 c_OBUF[-3]_inst_i_4_n_0 - SLICE_X43Y18 CARRY4 (Prop_carry4_S[1]_O[2]) - 0.152 0.777 r c_OBUF[-3]_inst_i_1/O[2] - net (fo=1, routed) 0.531 1.307 c_OBUF[-4] - V15 OBUF (Prop_obuf_I_O) 1.296 2.603 r c_OBUF[-4]_inst/O - net (fo=0) 0.000 2.603 c[-4] - V15 r c[-4] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: b[2] - (input port) - Destination: c[2] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.608ns (logic 1.615ns (61.934%) route 0.993ns (38.066%)) - Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - N20 0.000 0.000 r b[2] (IN) - net (fo=0) 0.000 0.000 b[2] - N20 IBUF (Prop_ibuf_I_O) 0.208 0.208 r b_IBUF[2]_inst/O - net (fo=1, routed) 0.435 0.643 b_IBUF[2] - SLICE_X43Y20 LUT2 (Prop_lut2_I1_O) 0.045 0.688 r c_OBUF[5]_inst_i_5/O - net (fo=1, routed) 0.000 0.688 c_OBUF[5]_inst_i_5_n_0 - SLICE_X43Y20 CARRY4 (Prop_carry4_S[0]_O[0]) - 0.070 0.758 r c_OBUF[5]_inst_i_1/O[0] - net (fo=1, routed) 0.558 1.316 c_OBUF[2] - Y16 OBUF (Prop_obuf_I_O) 1.293 2.608 r c_OBUF[2]_inst/O - net (fo=0) 0.000 2.608 c[2] - Y16 r c[2] (OUT) - ------------------------------------------------------------------- ------------------- - -Slack: inf - Source: a[2] - (input port) - Destination: c[3] - (output port) - Path Group: (none) - Path Type: Min at Fast Process Corner - Data Path Delay: 2.631ns (logic 1.552ns (58.994%) route 1.079ns (41.006%)) - Logic Levels: 3 (CARRY4=1 IBUF=1 OBUF=1) - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - V17 0.000 0.000 r a[2] (IN) - net (fo=0) 0.000 0.000 a[2] - V17 IBUF (Prop_ibuf_I_O) 0.190 0.190 r a_IBUF[2]_inst/O - net (fo=2, routed) 0.467 0.657 a_IBUF[2] - SLICE_X43Y20 CARRY4 (Prop_carry4_DI[0]_O[1]) - 0.124 0.781 r c_OBUF[5]_inst_i_1/O[1] - net (fo=1, routed) 0.612 1.393 c_OBUF[3] - R14 OBUF (Prop_obuf_I_O) 1.239 2.631 r c_OBUF[3]_inst/O - net (fo=0) 0.000 2.631 c[3] - R14 r c[3] (OUT) - ------------------------------------------------------------------- ------------------- - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.rpx b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_timing_summary_routed.rpx deleted file mode 100644 index 3be9fe631f0079677e717e27e6993481cc431b04..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 42883 zcmeHQ3v?9MdA_r|dT;|sEH5Fzj45^`$9nAQ39)MQz(@ovMaVCRvmUKR+BK`)^*%t* z+K?PmQ@5^hT3qVJJ=iTLq^VnSQronyPjSwPOXAStHl*0+IK_5-O53DhH*QFKeCU7g z%$=Q?-I>|hUD=W~oWn58;W`s~BS6l9H_O&*)tX(h?o(xAPwx=g2 zg~Z;qi-vjv!`jJ~{CFZZ$q!7%BjThOO^IWCR7^#} z$y8%Ywfr7@#rvvjM+JN)FeyxpmL(%Xa90S&xd(sn!NB_mIXFIWh;tw2%Ae)Va|tmX zOQZrRTC6})Di_}XZwBH*DwO1X&3uFWP&yinMU$z75RSr^8^*&Cksl9FiDN^ta5Oa{ zCiQXxiC7v6*_eo@_=fmseh<-5wLMj}Kp5VBX96H6J z(;Pa(p>rI%z;PEjbcN%Ny0~L5?t}}SbfHr&*J&3z<3i_L=z@#8=;AK9&=nVV)rAhY z(IGcidna}^_`Il=wI+X!{o(4$no(!P#!D6b=x**l6hd({g${7&5Qh$P=qQJdafp?J zW98szIk>g|>c(qu`7mvJ?^3ywWu@Sy%eV%Y_le2yMAW-b+sOC}*fS&~Qr_M^ad$W< zc6ID)hF;=_pr3^au`3vvXl;i7Z;y-OSXYCOuNxX3?7O3PWMJ@i-q+~!^Ppbq8+^V7 zzrP-j;EFrNL=sxfJ8)-sw=fptcl(?DzD7S^*WTJN8cx-NsJr-{bT~4`x3si(_ezV8v`?lj(I<&YIqa!A93M@!H^i-CH3)ee9DW~&c~I}SRfdQ1$XtMwO)9F zn3WJsDc6?bMe%ebDF*h0$5J8X@;c0GY%l<3CnjKQ2#C?KI5EPcBoM@hqw#bqFeXNX zy>M+Yu7Yb|jp~c=<;ip;6^7A7`NR@jJt0QL1a#h*bdiXhhsz?dSe%?L$BW~jd|~*g z_7gRD3A>^DP8I$P*kv#jkYzN_-|*5xyok0742C>w47^;qq-_ygtK7(H7F@5~vuYca zd~E~a8byY#l~k{2n+mT`UeULg+~cHXw8D6m@+fN{Rmhp~1%6zBfruw$Ak#dl z#_C}x^6$EgEyvrA{hH5eODpNP)UfK}`n^MVYrgO0Q_||K-d%XYjznrA0xwY_sfVDP zcU^A-GdL0j+YEsvot3hIa#Q*ObK2LfL!L=aY@q z?I8GmlR?M(1-w}!S{apO5l~94qDRFbA&{Vrf?UaDES(67jn$jsTbuQxSk~wgj7^S) zqm*w65ox63!%_H*2(3kUi!{27nh$^8jrbN#xwBMT9y38UU{|)n+yaIDvc({pWj`ui zWa5n!vIFFg8;rtRalfYQx+Wo*m#bkEg^|W(TCwW>8dQ5DMnE=Q(d1h9w*Gx}?q<}p zm=1O_KZg;Wl)UF{cO~bo#-8nw*dDL@9x+)<4&=&hLNb-PQu`}-)uyO03Os!q0eZeS zmH=$$yJdGmdU6e}kslfolSyy{l9RweCu6&Xi1a`UUX^tRo=Ci*FYJaFQty zKRF2&a(iq{gu3vu)B)OYeT0eded4$PCEdn#ch%BL?{JPK$diK8Ll3fh{gc#2AT$e0)xv!Uuwj4GOgYuBqDqHtpc!v z5DzBM6H6pwdx+g`B^?CqMvCz{yiU5o!)xHyfQfGtrlc?No2G(doK!U_HOO^%`8F{z zA(Cf_Al?17J$R*jr#BWI4^O0lPQlyIW~BS{UAzR)03Kokj?~A)7diYoNA&?+4?ZFN zQ_oI(>|{MV>1HPb>?DL9V5bj~6Xu&#fn)Mbj@^2mo-tRc>H>$}R_uO(eVeb&($oyS|Bj;>eZo@|$UZpr%IiCNNds#ip zKtCn!1k8^#lcZhXt#ZU$<=%iE#pQL;SX8VBy)01GM4}fVPOooOhqx;6g`cJhbd2M& zwXW(kR|O7wDYR}(t8DnH3-2o@u@~>WO5`mY-PzD`Z!J9ylGB^e2GoPLpdD-Kdb@{* z?`iGw@dG_~+y?(_y<@}={|wUq)u%5$xpE^I(1B|QX+0jJ$0PJ8)$BMue~unsrpL4N zc%B~LqR02>@n`h-Aw7OXj|ZtqJw}g5;CNvF)Aal}Jw8W|&(q_}uB+cXbL;n9hb!*A z^(?(|o*v(#$M@**5{X@KGW0$uNUU$n~53gg0mGO1s zv99KNA_#_+yrlHvrBIYbqXD8GM0LRLO{SU#v;_h^XE7f+@T4iqmvRdktX(h!L^2Q_ zO^*i#0)sra#X~-$u4vUm!@p`#(J$hKP*I#IB9zU`YU{h1m%?zFT5swgas%zc%$T6!T z%9c+@dZ=)Lmfs-_KTL{h_@UNrIPjb*=gyTQ9s0Co(5KA?`s^6tX9oJ5+I$w!=NGSx z&q4HQ)0uc)xRF60`g(yns6(H&QlJkL!i>!feU3EPMITyQGxWLqqrWW}eOgO~KCMmD zjy|m)1N!)Ms%gPPOwq@eg+4xlKJba0=yU42gErB}QZ@GfbGg;fu0tQD%LUOfhCWOP zGYB*EdGu$0VpYTp`V?dN8T6r2ibJ3F4EnU&K%WI$uAdp`^Z2UQ0DYETj?O{!Y1f&! zP4uC!mj->>OMyO22s1V_^!c}Vmlag#d>>j{GxXW>=6_m=H1vJiN`^jd(~mxF9|QU{ z>r}HC^l8pQpJswS@QIx0Q~#yMZK98*YP{h7z)CfA=)-imDD+`Mm_eAK5C4J<^eM*j zGw4I5*hHVSHuC1rppV}M`gFZ9L(u1^Bi{$~S@lwCE}+lz+Q^$hrV7K;E_k3;--9<5N2#^bQ5+5jN0ci$1bIM?5W(GMwnm=F#IYW2>)N-CuK#Q5= zuf18YtJ7jP&Sr!-EhP)H86i%~^t(Dad9bv+C|9b98R9@7MwedzKEU8k_(IMYo9lCr zSjE_6rI4LW>Xn(%kiU3xq19+E+kqmn2s_ZpV0K^zH&cIT2{*E}ld-*UYj2kZ99f7> zFGmeF!cNF6kio`IV!@HB8)pXCq;7o$z~+U2d33IU4e7bU!A5?&Ko3VYGC@jeWAmT( zNSzEeIvLE!%)lmnX}s6|ajGMg4`EVBu&)n8{1Y~=FWbo6CAkimw@V0K^z zHmQI3BdY=`U{g#gfdV%A0mmwj&1IU$)@sAIx$^ZH0ynF7z5#Ia@XHf(0d90z)cWqv z%eis#*htQJjx1^?k8LcIQeJEpbdq z7W`9%^}tS-709U3&{~kcFE1<53&{t2*8JqSRS`3BHCo{Usb(gwMy2R^maVuN^sMaa z*vTU}^j|Xsd;aWI5?A}~%@555?9s;6^g)cgphtd2ulCWD!o znX7Zdw@0i77j0aPR@H25IrQD1SV=T;b&5_EmFCWG05nXA)2WeGQmt5b}%E3OU|ViRn3z;Z;0!BdD4 zAS%khMzvhDCTqDUTPOPCP~FT3sQLf$RX~}&t7m0E&24j?=pR>PW^YKBX(KsyP)2^c zIFym&Rm?I9vM!wrW?g0|bE&?^3KQf3MG>xMusH&1UvIGtsA*S4st3fr0Vy`FH$O8z zuTJ$+%OdMfYL}@OMN;V|>r!6)?~m-wTF@1oW{|t?nN?O?SvzY{*dk$VAc@If{ckq9 z{?+682a;%Q3)FIDbj`Ww)r88EK+=ozGRyG=x*T8T@*O$iNUa8|@z;vWyUA+&{h#z| z{Enxd-uOM&!saJ7ny$u|b`t!!R^yWmVe(mxUtx2Uy;=pMth^eZc3lC(tn5v)*9+7@ zIS*1E#)?~wuNT5B&1|F{dTYvRD3F5%8R-^7ZS4N?jTTF;4OgVVYJ7W>7kRJ7H<-Q1 zV{n~KtMOxaHE8w<7C@25T$mN|HTBXN3=Q(o<@oe^?)mbIgJ-Q^*syVYrJ38&x_)w^ zl~f;lWF(^5r$8f!Hp~(=B=-&kOq%8V)W2H+vepe$eQi2gMNKbyi)j^&plNRv)z_w@ zRXUZG2B7=)TIGICcD-5#Gs8~-bvxPgI}UZT zwULrT-SitNIn=F$Q-C>rO5>1$L*0z_J#(mA?z~v_CSEEsB{Ti$B($op%_8as0q|_< z1|im*xx)AVP0Aj1>$IY7SAM?KiWzCCn@l{5O5b8K@}X|7_uH)ss8Bar+hVC36;dkd zmTMmvqo~`y*Ss@>y6wAk6sX(2KmToqx;fPCoO-iQC+aqP_P}(gn?v2~WZY{Bt%^)J z)J@qQLmPF21*qB7&1fs7tJ{P2sGH?ZN)LbFwPHqE>c;j!vKM#MM%|cUMW>R#LZen-iw* zEN~`FrG46+2~*2#6vH_|e`$gR+C&{TXHF*tZEs)hP&bFV*`aRQsX;Y$o9?MW%dD>M zU$;q8SVmScB!$Vyhor1|A%BwciDh*el9XJF(TzyT=TkF;r0jT*#Ftj>a!883-&k>` z2A!!vlOLQZc6FdLHK_g#9aVai-Ao;ABt;`=+VhuGUn>o-)#kdXxkXX=OKL&1QL-Z` zppQ8x!G5#*n7v4f!DdmQp#*bQhxXuCz9esQV1J$QqN6ttUpbu=h~QoiZ(P&Hym4T zn0n+1n{X_bz9=|mGV+1rhkxFg_ryjqyQL}<8#y=but+C?H&UrUkbLgG4OT_W?6g!2CTDh9Dg=}b1NphO`7;XS|61P+ z!Q{hD#77R@Gb?>$C))sg{zaJu)Y2Bv%+{Rc+3;iO&hl*P3p&fQ$@W9AMGE_sFt%=e zI#;u(zBY>j`O~|a#d1qXq2FfYps*bwwJDKI1{*rf79t=2pZo*)#q0;EL=Nn32WgrT znQK2tBa-sYp{kh?$UlEQ2^?I${K#w!95^J!At?lVRQZH{-OsH!B*h^qoVP~aT}MS5 zK8AH0s;|u=k}|#PHt6<k1?sTHQcw092$m?*IS* diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_utilization_placed.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_utilization_placed.rpt deleted file mode 100644 index cc6ae63..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_utilization_placed.rpt +++ /dev/null @@ -1,199 +0,0 @@ -Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -| Date : Fri May 13 14:41:53 2022 -| Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) -| Command : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb -| Design : fixedPointTest -| Device : xc7z010clg400-1 -| Speed File : -1 -| Design State : Fully Placed -------------------------------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Slice Logic Distribution -3. Memory -4. DSP -5. IO and GT Specific -6. Clocking -7. Specific Feature -8. Primitives -9. Black Boxes -10. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs | 14 | 0 | 0 | 17600 | 0.08 | -| LUT as Logic | 14 | 0 | 0 | 17600 | 0.08 | -| LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 0 | 0 | 0 | 35200 | 0.00 | -| Register as Flip Flop | 0 | 0 | 0 | 35200 | 0.00 | -| Register as Latch | 0 | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 0 | 4400 | 0.00 | -+-------------------------+------+-------+------------+-----------+-------+ - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 0 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Slice Logic Distribution ---------------------------- - -+------------------------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+------------------------------------------+------+-------+------------+-----------+-------+ -| Slice | 4 | 0 | 0 | 4400 | 0.09 | -| SLICEL | 4 | 0 | | | | -| SLICEM | 0 | 0 | | | | -| LUT as Logic | 14 | 0 | 0 | 17600 | 0.08 | -| using O5 output only | 0 | | | | | -| using O6 output only | 13 | | | | | -| using O5 and O6 | 1 | | | | | -| LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | -| LUT as Distributed RAM | 0 | 0 | | | | -| LUT as Shift Register | 0 | 0 | | | | -| Slice Registers | 0 | 0 | 0 | 35200 | 0.00 | -| Register driven from within the Slice | 0 | | | | | -| Register driven from outside the Slice | 0 | | | | | -| Unique Control Sets | 0 | | 0 | 4400 | 0.00 | -+------------------------------------------+------+-------+------------+-----------+-------+ -* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. - - -3. Memory ---------- - -+----------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+----------------+------+-------+------------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 0 | 120 | 0.00 | -+----------------+------+-------+------------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -4. DSP ------- - -+-----------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 80 | 0.00 | -+-----------+------+-------+------------+-----------+-------+ - - -5. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 43 | 0 | 0 | 100 | 43.00 | -| IOB Master Pads | 21 | | | | | -| IOB Slave Pads | 21 | | | | | -| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 | -| PHASER_REF | 0 | 0 | 0 | 2 | 0.00 | -| OUT_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IN_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYCTRL | 0 | 0 | 0 | 2 | 0.00 | -| IBUFDS | 0 | 0 | 0 | 96 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 8 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 100 | 0.00 | -| ILOGIC | 0 | 0 | 0 | 100 | 0.00 | -| OLOGIC | 0 | 0 | 0 | 100 | 0.00 | -+-----------------------------+------+-------+------------+-----------+-------+ - - -6. Clocking ------------ - -+------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+------------+------+-------+------------+-----------+-------+ -| BUFGCTRL | 0 | 0 | 0 | 32 | 0.00 | -| BUFIO | 0 | 0 | 0 | 8 | 0.00 | -| MMCME2_ADV | 0 | 0 | 0 | 2 | 0.00 | -| PLLE2_ADV | 0 | 0 | 0 | 2 | 0.00 | -| BUFMRCE | 0 | 0 | 0 | 4 | 0.00 | -| BUFHCE | 0 | 0 | 0 | 48 | 0.00 | -| BUFR | 0 | 0 | 0 | 8 | 0.00 | -+------------+------+-------+------------+-----------+-------+ - - -7. Specific Feature -------------------- - -+-------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-------------+------+-------+------------+-----------+-------+ -| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | -| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+------------+-----------+-------+ - - -8. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| IBUF | 28 | IO | -| OBUF | 15 | IO | -| LUT2 | 14 | LUT | -| CARRY4 | 4 | CarryLogic | -| LUT1 | 1 | LUT | -+----------+------+---------------------+ - - -9. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -10. Instantiated Netlists -------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/gen_run.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/gen_run.xml deleted file mode 100644 index b89cf32..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/gen_run.xml +++ /dev/null @@ -1,170 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Default settings for Implementation. - - - - - - - - - - - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/htr.txt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/htr.txt deleted file mode 100644 index b068790..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -REM -REM Vivado(TM) -REM htr.txt: a Vivado-generated description of how-to-repeat the -REM the basic steps of a run. Note that runme.bat/sh needs -REM to be invoked for Vivado to track run status. -REM Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -REM - -vivado -log fixedPointTest.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/init_design.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/init_design.pb index 1e3e324d7faa74f5b508b33ba41136e106ed7bb9..4a8c4e295b49c39ea65984a2b8b279b98899d2e7 100644 GIT binary patch delta 119 zcmbR0&?3jg)i!xQQ_RFTzsVi);v9xXW(Im@W+oF0g*ha-SS|ES%uFY5WLDeE%hiF&>ncLlWsFT1NDx(*A*u^avFQQ{qU%C&p&2$^AVG9pFc-3bLSwQY srxa3PfWAW6{@Zbi^e&yo_0BUV@ng9R* diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/opt_design.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/opt_design.pb index b1619f0428443ca72336eaf3d04fea313bd2fac1..b29bbcb1919dea1ee1799bf9bc28513cebe4d8f7 100644 GIT binary patch delta 348 zcmcZ-dLwj0A@k(pyhe zZCu+JA+nntdEP*oV1@B~pO6(c3qC}aohBT`jxcqylJsT-Z!^10F(Z?KImm$=hGrHB z%TLI1AsfOXZwliEG73))RJeyQWOAb7HBbmBi9yK8_xXe;S1A2vG@1-l%PqsjVPIed jM22ua+@?TAF?JIpb3HQ?)5#B6MJMMe2yXtY{E!U**Th%C delta 321 zcmcZ+dL?v2A@k%CIU`1+$p@uG7!4;k$V#vqnHlOCn;1-((VHt?f=J~Sb$XYq%O<~+X zM&Ze!3il9NC#Nc2V>F+f$RP$MH7D07{bn?o3{sb?%*AL7VsXoGaTuCeK)e;mD8^=D OWT=D$qqnHbF`uVs~Fwa_y*H{5)hwVe?FH02GZ delta 41 tcmcb_cZqMqc_v1S$rqT!7|lWC=D$qqK>}-8C0UL1jLgh7pJr`m1OPTb4Y2?K diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/place_design.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/place_design.pb index ee265eadffc760d1bd3b8d161268d6a0c91442eb..1c6fe17b4314648fb89a14b9cc7ffc51a21361ba 100644 GIT binary patch delta 789 zcmaEt^Dbw@3pQ2@J!5mj$s5^(C$V2=G@X1=L<%aTD6BhKlI=XQ0=>_c`aXobF!LfAygLKT@(1!H>-$+ zf;BUl8KQaizBo73SVQy>3y}N|G13Uh9bgN8%4to$FZB$E?0s>~$ssb2AhM?DdgsV~ zhsvPYxA~`>0Msd_W*9!Kf@(sO+3c@45vs`?ErcfrNUBfHRlWhyXpRK(v(d3`nM%dL(o~SbkB4c5I=9^C45|9j&nFVTOOitFjHu(sn zFsqR$7o*|kK>b5Zj3&VJEXHAIZiJM$^)?q9J!E7wpUfx>OShJ0YMW=9%!E14(##Y9 D%1hr0 delta 821 zcmaEt^Dbw@3pQ3GJtH%-$s5^(C$V2=G@E=;L<%aTD6BhKlI=XQ0=>y;Hxq0HDw&&b$h@<(yG$*bAF5~GZnvkpm_l&G1Wk*NWafhKx}#^xXcH$UM_ zVkA!K@1;28Ku>Y^rByVF8Y^N1`7IsxcEUBcSHX*pIWYOZo^|^vapSWusAII zoRW+J>S5S3tMKMcbt!LM=+fHSD-tlkxa2t~RfBYW4sse zQQIlAm{87cD28Si%ZK)sVX#c$$_%FoiP)@T`Hq] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.340 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -7 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 0.000 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1261.340 ; gain = 0.000 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: d688f8fa - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1387.457 ; gain = 126.117 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: d688f8fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: d688f8fa - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 5 Shift Register Optimization | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 9d9fcb97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1683.215 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: f158031e - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1683.215 ; gain = 421.875 -INFO: [Timing 38-480] Writing timing data to binary archive. -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx -Command: report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: c5371e47 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 170083491 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.141 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.149 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.150 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.152 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.2 Update Timing before SLR Path Opt -Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.3 Post-Processing in Floorplanning -Phase 2.3 Post-Processing in Floorplanning | Checksum: 1e3fab18a - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.154 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 2.4 Global Placement Core -WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2.4 Global Placement Core | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.691 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.693 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 17701980b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.694 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.699 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.707 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.708 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.748 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.752 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.771 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.773 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.3 Placer Reporting - -Phase 4.3.1 Print Estimated Congestion -INFO: [Place 30-612] Post-Placement Estimated Congestion - ____________________________________________________ -| | Global Congestion | Short Congestion | -| Direction | Region Size | Region Size | -|___________|___________________|___________________| -| North| 1x1| 1x1| -|___________|___________________|___________________| -| South| 1x1| 1x1| -|___________|___________________|___________________| -| East| 1x1| 1x1| -|___________|___________________|___________________| -| West| 1x1| 1x1| -|___________|___________________|___________________| - -Phase 4.3.1 Print Estimated Congestion | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.774 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 4.3 Placer Reporting | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 236ae8e9c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Ending Placer Task | Checksum: 1c0019b8b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -43 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -place_design completed successfully -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file fixedPointTest_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.067 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file fixedPointTest_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1722.266 ; gain = 0.000 -Command: phys_opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Vivado_Tcl 4-235] No timing constraint found. The netlist was not modified. -INFO: [Common 17-83] Releasing license: Implementation -51 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -phys_opt_design completed successfully -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1722.266 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp' has been generated. -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs - -Phase 1 Build RT Design -Checksum: PlaceDB: faca7d44 ConstDB: 0 ShapeSum: c5371e47 RouteDB: 0 -Post Restoration Checksum: NetGraph: 54150718 NumContArr: b3d68f27 Constraints: 0 Timing: 0 -Phase 1 Build RT Design | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1757.188 ; gain = 23.668 - -Phase 2 Router Initialization -INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 107eb963f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699 - Number of Nodes with overlaps = 0 - -Router Utilization Summary - Global Vertical Routing Utilization = 0 % - Global Horizontal Routing Utilization = 0 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 46 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 46 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 2 Router Initialization | Checksum: d7f76c92 - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 3 Initial Routing - -Phase 3.1 Global Routing -Phase 3.1 Global Routing | Checksum: d7f76c92 - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 3 Initial Routing | Checksum: aa1bb3ea - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 0 -Phase 4.1 Global Iteration 0 | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 4 Rip-up And Reroute | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 -Phase 6 Post Hold Fix | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.0663007 % - Global Horizontal Routing Utilization = 0.0248162 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Congestion Report -North Dir 1x1 Area, Max Cong = 5.40541%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 17.1171%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 8.82353%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 7.35294%, No Congested Regions. - ------------------------------- -Reporting congestion hotspots ------------------------------- -Direction: North ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: South ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: East ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: West ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 - -Phase 7 Route finalize | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1a2c45faa - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 156fe757f - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -61 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1765.430 ; gain = 43.164 -INFO: [Timing 38-480] Writing timing data to binary archive. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.049 . Memory (MB): peak = 1775.246 ; gain = 9.816 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx -Command: report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx -Command: report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -Command: report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Power 33-232] No user defined clocks were found in the design! Power estimation will be inaccurate until this is corrected. -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -73 Infos, 9 Warnings, 7 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file fixedPointTest_route_status.rpt -pb fixedPointTest_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [runtcl-4] Executing : report_incremental_reuse -file fixedPointTest_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file fixedPointTest_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file fixedPointTest_bus_skew_routed.rpt -pb fixedPointTest_bus_skew_routed.pb -rpx fixedPointTest_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:42:11 2022... - -*** Running vivado - with args -log fixedPointTest.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace - - - -****** Vivado v2021.2 (64-bit) - **** SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 - **** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 - ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. - -source fixedPointTest.tcl -notrace -Command: open_checkpoint fixedPointTest_routed.dcp - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1251.590 ; gain = 0.000 -INFO: [Device 21-403] Loading part xc7z010clg400-1 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1251.590 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2021.2 -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Timing 38-478] Restoring timing data from binary archive. -INFO: [Timing 38-479] Binary timing data restore complete. -INFO: [Project 1-856] Restoring constraints from binary archive. -INFO: [Project 1-853] Binary constraint restore complete. -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.114 . Memory (MB): peak = 1387.207 ; gain = 17.668 -Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.114 . Memory (MB): peak = 1387.207 ; gain = 17.668 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1387.207 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Project 1-604] Checkpoint was created with Vivado v2021.2 (64-bit) build 3367213 -open_checkpoint: Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1387.207 ; gain = 135.617 -Command: write_bitstream -force fixedPointTest.bit -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010' -Running DRC as a precondition to command write_bitstream -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'. -INFO: [DRC 23-27] Running DRC with 2 threads -ERROR: [DRC NSTD-1] Unspecified I/O Standard: 43 out of 43 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], and c[8:-6]. -ERROR: [DRC UCIO-1] Unconstrained Logical Port: 43 out of 43 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: a[7:-6], b[7:-6], and c[8:-6]. -WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -INFO: [Vivado 12-3199] DRC finished with 2 Errors, 1 Warnings -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -ERROR: [Vivado 12-1345] Error(s) found during DRC. Bitgen not run. -INFO: [Common 17-83] Releasing license: Implementation -19 Infos, 1 Warnings, 0 Critical Warnings and 3 Errors encountered. -write_bitstream failed -ERROR: [Common 17-39] 'write_bitstream' failed due to earlier errors. - -INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:43:07 2022... diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/runme.sh b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/runme.sh deleted file mode 100644 index 2fb2482..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/runme.sh +++ /dev/null @@ -1,47 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -# - -echo "This script was generated under a different operating system." -echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" -exit - -if [ -z "$PATH" ]; then - PATH=C:/Xilinx/Vivado/2021.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2021.2/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2021.2/bin -else - PATH=C:/Xilinx/Vivado/2021.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2021.2/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2021.2/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH= -else - LD_LIBRARY_PATH=:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -# pre-commands: -/bin/touch .write_bitstream.begin.rst -EAStep vivado -log fixedPointTest.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace - - diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado.jou b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado.jou deleted file mode 100644 index 77fa8a1..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado.jou +++ /dev/null @@ -1,13 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2021.2 (64-bit) -# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 -# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 -# Start of session at: Fri May 13 14:42:39 2022 -# Process ID: 11092 -# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1 -# Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace -# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi -# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou -# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB -#----------------------------------------------------------- -source fixedPointTest.tcl -notrace diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado.pb deleted file mode 100644 index b155e40..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado.pb +++ /dev/null @@ -1,4 +0,0 @@ - - - -End Record \ No newline at end of file diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado_11388.backup.jou b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado_11388.backup.jou deleted file mode 100644 index cce670c..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado_11388.backup.jou +++ /dev/null @@ -1,13 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2021.2 (64-bit) -# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 -# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 -# Start of session at: Fri May 13 14:31:34 2022 -# Process ID: 11388 -# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1 -# Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace -# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi -# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou -# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB -#----------------------------------------------------------- -source fixedPointTest.tcl -notrace diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado_17108.backup.jou b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado_17108.backup.jou deleted file mode 100644 index 7a763f9..0000000 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/vivado_17108.backup.jou +++ /dev/null @@ -1,13 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2021.2 (64-bit) -# SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 -# IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 -# Start of session at: Fri May 13 14:41:22 2022 -# Process ID: 17108 -# Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1 -# Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace -# Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi -# Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou -# Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB -#----------------------------------------------------------- -source fixedPointTest.tcl -notrace diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/write_bitstream.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/write_bitstream.pb index 9fbeb254b22f27ff78674f2983ca4c6d81ac9d3a..db2c8439e38d0f7ca4e9a647f8ed4fd2b38a1da7 100644 GIT binary patch delta 229 zcmdmQx!-bwG9#0T@#I(zaWO+9GZQ@{b7KW-h4jSCJOx_?BRw;V$saj{H>WaMFtVDN z>seY%{=hCW*^*0d^Aje27Dh85SDeGp*uqTD%*1f=M|NQjDK0icQ$16|$$~5*lkGW$ zH&11cW+X!4BUZQ>n=QGnF#=7NU^lQbFt9QL87zik%j9?-R#qcDQ&Y>yj$CS+`+45; YbDLTjm|K}xDi|3ULG5OpyhuhC0N3a{IRF3v delta 230 zcmdmQx!-bwG9#0z`Q%s*aZy7fQ$sydO9KUKh4jSCJOx_?13iPuiA*A!QyDE7S;3N% zKXQmnw&c>={DjG$g~`xl@<( - + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest.dcp b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest.dcp index f5088bde04307ebc2b6eb1eb842c493a78792b54..d403cf65798cf3e06a2066a53ab1dcd9036728d1 100644 GIT binary patch delta 19391 zcmafXV{jl**Jd)|#I~J@ZBA_4oY+Rkw(U&JNhY>!TNB&fyx(qZ?fYxH>Q?tX=RD^g zRo|*7Arka&2`Hc-4F-+|0s;aJf?`{%((7s3+z1K+5&;eZ0`(;|F}A07x3P|%m$%Dg z#DcuKy`iR+TO*#2qivv)L>Hb_Kn{bxoBGbR%(@vC-EGp4>s#c|q(*~tcz8YauW{h1 zmEUArA|k<&U6B?2$I{%Udne_{RF*PD_eSoxLU#tU9w38OD&wU;sJ7qidM0XRg$#m- zKP-X`Cl*o-pA@Ow8KuMFOE==|g;*J3hBb_{4HtwC>PzD13Xx}vz#!`mvFHlzTxw-* zhWg~nidgI*9t-Vc&wEgg&>0i*j*q@&f{rfyaMJJYk0VoGLLB5HsfmaFr2Bo2G6R`{ zN_sws5Eu`_Ed4aPWBc__7B(Z}hk6Kas+E!!YCBgn1z9m?2|L{kJ?S4Q`=O?CRvR;l z)2sC>nw=7-N$|2Q_EbrQI^13ffAAjWc(3X+*$|P@$i5-;v47)4-jnik8sIUfTm5T{ z^-1!GSg8f8Wkdf?I1!F3_+P4V6<8W(y4FWsKLNXQhs9{^<;fc-Npj9}Gi&uf69o{| zk5rT!X;3u-rJ5Yw*Z+iIXWDZLa8Z{3U=((j;ySe5cQh}ADRbOZ{O5J%?11uR?($>J*qtr^d%G{6vOeM2c}=~=gK6X886cZTYYb}}PwvD% z`TKS%X6fc8CKm5;*#R;8q0Gsh_T?>$o^bYf-NIWcr^Ib6cH4@ z79Dem!!>!jsockV+X&`AxZQDwsjQU8e4m$mmw!4?sJ>K>xx z>#rQ$T_y!~OBl^3D&9yJ{Meq|2HNcV3CvpVIi)q<`Z4xQ2qqI{d|G|@r0f8l;_LDj zEni9Fzc3sB0stSnCU@U<)I#T4O1ailkz>~P3*JrgokP}<*u>jI%s~$i$!Bt->pdeb zZGLaJ2Tc8c$kJf0xwMXj-cbX~hke6lEQjTU!|E%KQ{ycscRYpF3_K$YTD_gzuqhJ> zsuWw{YVVUpOZf6C745n)kugx|8%%s-2h2`&fVI;%#kZI62!^RGR)mn zvAdDSPvHV$|57gpr)NwOc)g!WHm#nSwuHj?QK9E^F|U{bm-2JY89W-J7;{`slka$& z7Ge5Ln=7G9ZK?;^_17Ai+u5XEhTX|Z?`i?n4jLXp0ykd_!=6K#+Ws> zhzB!kaX_H{)*Z#(g({)zSx?F2Q9Z{KX5R6YH}i4S3#O3y>@~3u4VeAwEGOIn1X7pn z+R3*DA_F>Z30|_!-KFHVUdMefWMNdDBq&j<#kU^9+2g_epyuBgXlTSYRgk2C{+cqEPex< zzWWC51{!cH6rHC|V#kerPjoJ5JbV5#bRTE-7mb`c>&d%`oytSg`b7CY^t{kEYk+nQ z9nV$F6@ICM*zeP8|73!zxd{ zS^z5YUw3+1QwK=nHyP$l7*>~?5WkkhrU1E|c4~u%{VKgo{*&M*a^GQZ2Zb@(rnS#I zO5qw05WzfD8s*#1#bO;jOM&o9H|p)b6=Gu=Nw{DkMc(+*0gNs@9tKtE!|`bIL_Gq2 z?IefvTlYh4By{_tV=}z!{^{+td?wSc+)gjv_Vu?4yy(-({XHVAJ4p2|AgE^;QNRUi zPnM%A7C4sY?{q`V-g>sS_f7LYivgbYVoxp%Y8^E(7uDWP?O)fmR=cFqh|fTt2jH8; zW4kKOk~x5$BK}g=R&2Lk15T_1TO7Jn7It0E*T=b)2vsSlrft|G9Mz9%p0oFx6CpGS zjW=S|%wb2{E=#@(Jt$ltQ>bf>f5Wn-W4-jy6JP4&gQ6^naK7M#etF5lv_~(nz1~2d zG6lj5o_Q?5CtC~SjBS_>_QAzbvv!H7jdpqI_&-K(N_90Aw!wOV<=XN8zp}TUsO{S| zpU@BQ#eI_N17o-cP_^xfAMzldP7jTY7-vYP-lS(%7PQO6t50IfOS>AiKj*KbYikbb z3__3ga1HfgO?nU%hnspjCvnla$?Uvw_ieE^s*t@jH#S~M+qBi(n)pvHQWiF-x^%YvJy#H1?uc0U3>8ZPK_R`3%s{^zavh*tTAiW@|@T15A@gC2n0wU%P=Z)RL41G_5_$ByE{qbGC5JJOXl!+ZuW@}D|u zQ-KHiOqqn5NNRyDW31~yfA%0a%PQM#7-qEV0`IEn?bVX(25po8k7<~Iz}Na|8pbbP zrwh(6^PMFFeKkKAeKj{29azl{Mt|{yrMVkO`6*vdqGz@`y*CGAA%^SitwlT$)+dh( ze0_{hKl|5yJA~5asqLogu7dqxgNkuiYW4Rhf62OMkDVL|sm_p?gy~wc<1?~!3=HfW}DY+i`tNh=!?N58w|Ch(c*_UD*A>8a}UpOPb zCY$x=6uw1B=k6?=Pfs!Si>EZp>cJpGm?Vq6XWh9@!&r1pKr#09FV@3e^`6zV; zG%{wg09btpSeCc?GFDP<%=6ZrF%1hbCwgy9vWZ`l!3>c_*b^;Rrtz$WT$mG0Vb!9z zzW=BE4Y&WN{H&>#b8?lkJb&2F=T{q?c#0-ce_jQ}XEFq2TJkXV+AxyWtw$oSqG=sf zyO{?44N0k9{fL@=nBG;rn19kz2F@EFX75tf)nCUdVBf|n%EhN!f1h>ZsB(|UcOvph zc0y%BaV7i3{euWP!bcKz6AW7eCH@5!6o$Xu=i(Q;0Ls4$>D~l)RmVn$P(iI$6?>N?Na4D`ZVO|5r_8Dj;n344FWb ze*b+;f!`2*l#*8PIcR}0xAtxyburt!w;)ko!{O%pm@L0x)d(dm>vNDQMQ&~XmonA6 zcQ-*^g3DXD{rY>rDJu99C3Lksl1?sBGruA72xVAdJQMpjvwU6f;!;V!|w^#NERR|!&O4k^u%zfI^t z@g51|h4E#XQ`RQt;EbJQ90#~HaozLqKJ2wsZ7c=`ZxqqTRqmdMIMN#=7KPkB$|Exc z@EG=$G1n@yEx$S;oU2HAle3~4TA;HcY zOBREt=cg%^oq-pk>+LA`3*i%uqw}ezkjV_e**Kg<)&S7?%%=6QRiv*ZIrhXiI*7rs zG@G!KUb2s7{3LzD)~U;kcXKkAAkDqa1?9c58r7~nN}M1VZaoIhW@3*tZ{1BS@dp$NWCD`5I|E(=lnu8Q+=ATz zetFsNa2pr|=Y?XYFd$q{L-O|9{sxXn#0hfnvM1ZEzQsEu%a;0}<<-JAQ|Q8mZU|E* zv8WK=;eBE|SH6)H-Fj!FK+>CGOLkf`tVg06moBm?5>kCfstGIlz+4(Ij>aTwa%3rx za4%=V;PPWw<~TGQPWM{8{&)DugGddRJkGl-pBC$wzjqW<(nq#ri|7kJZe}ojIHuT?0jBw@22O@v#3LcY`cA$A> zEP@s1cQGm;qvg1DjR^N^>-2g>b~PGLNl;YR@tIcvX9;}hzld>&M zU{OA9X1>#%Dla-J@1Z)$EA{u|0P3f;0eFX7@pc>Q00Y6HIT$HbCk;8URczsVUCED& zC3LGx{X#E$9|?86(hXgHDYfEe(W=jDIt3{1%Q4ij#+mxU}ot4jK^h;Dd`aPm(}msm)oF z2N`^u?=uAg-Y5};)=0-wo(E|v{_}O?8rm|S{p&}>_2ps&d-ZZER2lX>Wt#n+oh5MJ z>(>?m$baU|CN00vS{pKy)CxiN);y0#n?u}>)M``+6(P?S(0DZa+MviP|H>28<~R%> zwW^E5fbox?Zsowj)0F1^#RbK9P-`m-DUQ(?BJy_u*UUtrg{k2(>Pw&+Ch}L6!a|9sjHxB8w7QyxgjDImpYzNSm)=85!1;G${o24q6sm#{ zIt4P+A=ntTd8U!)wWu1ZQ&bhe0`ugg{iSm8avf1ub^V{Tv2ZQon$#pZWSO0-WFJ{ij&O>==fkD0UI$TG7axSmMrrvUCo5&gW zU)=T`!cCeS%MhgR{aG2uUgsf93>E)7nnmDc_9uk%<^x}w91-@A|9S8tS(#myG{t1+;OZm` zxQmm!t^1{SKv)J@InqV58BF@XI94_Ej2@M{Y?L+L=aEn*-!%0|etc+=VFS`NK>2CW zQsw?Ijcwbw*;fDDv)Qu=fc_UkBn z{)fN%f9NZxUxxOk$=Vk7Ch9I1k7SK@@4A=(>N@mP{{ZcT$M zIfCLW7~^E9#=NNAD_DDc*35?Ci7HY9HE}sTp)ZH20?Yb5 zUCx(|fW`66>`JlpnD|TNW!vM&D}DRp+rh`Wy=z04{k4%mMC!|>c4ve47F5b5e`^OD zO86P-rx@gc^21e}cZ^7kk69K#07KU_-PeE`jLX31Ls|##d+@ne>s+S5z$&$no_O#) zX!7h1Lqe-YH_kSht>0=N3xyy}U(oD)ygs`zMs2TIsiK{?Wbw-|Jbl!}__xxJDGWI` zL7K?IC?lNJ`BSYHtshIXNT%U1e32B1*uTt=f6D+YAhcCEY#4K%Cw7p)u5j!xA3n(M zi$e1_QZU2nnN@hX)ULu2#olxx%+vX=O7AQZe-Lm-{mj#(FR`3q*tS?9m_QAOI@Ksm zG^B)rto`X1m1*ZAf|Xi|dKbJGY}#*RhXk;XkVELvL?TB9%9PICeO^>hJh6#f+v&k4 z1>bB`z_*16rOP?y+-Ri$16b*D^ZBEoDiX9&&zU_La)>nz83b&p>{{J+bqb>09)y_^ zh%*yOs6%3QtUuFth3}kwv}e9R%UHsI)qmZ%#Q5z zFACbkpeu(uz_VJ5wqyrnf|HyD>(?YSgz0u(#Lo4L?txCR2E#aDwv8lhg>0M{(8r9T zuB>Nk65_RK;(&=VZDDvu~OaK%OI&P!QH&i0;`W|g6&8bXHc=4dL{5X)9}aZ zsL@6s@3$f%KP)`J*yfu?)=6-th8X`mu2Zm9hmNYxUH3#3vR)=#7A;LPsx2~$lFS1zNu=v`++-%j2&q`f5n0KME%1<1sSfo134 zVUw&B<|mCS=|(GoDAF$hSxLGIW_qX!6Wj(;GVAHjiN8dE4%C>nm@rX(8y=E4Y>Nkr zxp=qLF#G)==lzCb3BvJuTU0AcFUFySIr5HnZiAcws}d`xWRI14uphMix-?1s(rB1P z8b6+P@jIywT_DR7JgXJy7+n!~axWrWn$k6%Sbv)Y{yglS6=WR{=yXpW%y4pPQ_rvM zG-*U~VkKU!!GUMs3nW*O?-(f~DZb+C4~KI+KJ}I&Z63mU5U)q}N+9sK1IkGF>xZ!Q zfzq8sJk4jY&suoCsiKN$(!Ee<^e=L~P>NWD12F>gXd*+8uflSypM2K*{M@O2Tju9? zY4SY)p@Cl9#DVB7+FO1~zuL1n)xluV9Q9LeNJH2A zmC(nU*GuIiyM{`*crU`T#{>k-Xa-Pk$f{+4hi*ci%EVuA?oi(IhCaeRVvKgS=z+0Z zm5o3Ak>9b{l@m8^UU4NOW&nk^=%6_<}qoH@AOInjlgS{rrrfs zus`xjqH9l}8HAo+WObJ=J}mH)As0Us$U)x~Hi2I33LDpVYp1^a6O($qc`oZ!xXCv3 zDn5k!kmJ@~j+s2zK2*_;Z`E($;w!7R1E6)jFUgO^+?>~bjHl{(SD^({e#$v#`$a=_ zHQi`QIvW0jOFMG zu$nE!vX1<%CDX2AdgkEKslg({%^<@`DVorV`m|*j6u(QWY_F7@HI(F*Nl5;zl~9ZB zI2}z6%_Q3}lx&qrSh!p!8${D%!q}Uv{bLo%)mGhUQ1dj@NLkS}OZE;JO1fr{Rc!rn z+lj?K-8auDVz6#*#;&f|YU;ap2<+FOfjr;!lWxC0jWNK46CQ+{(qGqmA0c~FE_CB0JByw|W*mG9AGzOJ2dmFfpPW-m zwz0_FVi<4DTOzsGSt!Zfn^08A6A0d_L3U?4qE|^^Mt4y)0Iqjx#Zz_q_@Y3}IT2ZlUIaq6> zFBKUk(i#c;R!qM0BrEPKX}UGP5`O9pi|lR-Mlw+VmWl0V)^TFZ55eRsRtc5-7NKLM z4b@nbdz-anfR{`{1z;9m`Pqx*Uig9!Bked(rupiWxliiJq{nfRsH2fkDOvx4i7|2# z8wEc*d?MbPurHGk-PHi~kvf=f8a47!OZHl6?=$nzpQdXicFahSVloc1w6%=8PZ@26 zNE)98EzNA3p!1m^TK~|x6J94*Zzvfen?M6t#aFfihO~oF&YNslDf?lP^)C&4SFzYT z%o1XK2~iqZPvu2BUA4(3mv06T#Saz>NAu?NGCh}G^%kocCEr)xb*)D zyJ`ivcggJM#O8?Fx8VzvfYI`c(Q>EZ#2e5;2PC&F$D(HG`aDDF-_OCMUoTc`hgIjAC%($^g(cpEh; zq`$LO>LX2U?Qc^RIi_C_)7}1ZxF?!q3zXIACCJVPcjn=!mWC;vM^G)4v!C>)!ciYi!QF`LN+D)Q zY-=q|sTkon70MGeyyAHA4CUP-iZO}>ZjEL?ss!Cx&4vGH(e?E8BuLAnB zuaFwSR)9cEl>Mk2!><&4gxG%QQln_hlSqkvm9$o%RP6hYf}~awsj{z#?1@Un(4j=X zS$%cg3K7@E@M|y0FZyi`Fj`zuKy}$MP>7}vL@Kr-5joZx7SrWKQz;xLR4o4at z93dGZs8CkVzTgT9(J*i#Wp{algPI40^l)G4mxCy_iV&$xebrBxy;KZSCTw;AwZM77 zq>z69tGy0ERJzp2<)}+vXo;`xLLe36f-!3&s-n6WvX+Y7f5mS1Z5Xij;pb4q9Q*=E zi%f36BQu?nhYzUh$&%aZq@odzzq2G)pGRD43;Vo*Aw>h@sLY_>7M}2=h zXmS$QuE$ry4~OX2fw9N!&Q`?7X0YZhy#7W2YiWn5I-EEwS2K%-l+)H2>nC!!w^TB2 zd!qpJc9BZ<@R~#cOoX^(L3v11qDVLkR07rOAyYzW+_u94!kBoDf{)SP$;1|lHVR*so~P_D;FxgDBh+KhkQ zH_Dt4Lp8<-P#y-($4KG$CXq=EJjLzykp&|riX@{>B~Ylc@QR~kPkM`J%Fa?rCYf9_ znPQs_dFwRxp~lElX-kY&xVm557?aKT_Sh-?-R>N)OHtBF_N{a|9`A2^$!;hoE#{43 zMlV((_?iAghdLH!ML89<(&l(P4}A8=scDsY-B0-iK(q3^vPQ54!-~(%49oO~lt~~h zdtM~Q8B-`sL){3Zhf3ZqtQT2kDRVsEFl5eXw&q$3OJMz2Ln~68SmL+j%4IO>=|LK5 z6|{^SCZq0KkzXG z#;ggI!0$jE%YBo5-sB)dR2aIA3<-e|y7JZ{ zz`L7a_nj6TLc=)-Yz;Jo_hp>xV$l$x~%(PF^?g5ufs z=fWk?03vSb)Iv|}5yG3^oOc(bPYG|QxSzSrE6;Nr$kYxZWyS*wKSLT?+Wib1gT;a| z%-JrBu&T>yls-q7rd!;t@kXB=TkCx`aDI>&qRl`0tY}lYB}7O#mp9cIYz`0m_MrVt zx2mzVV)GVc=(lz1>Z?=ng1p{?38%WXmi>kgc_JU>%U?C~Z+vyY3i1ST*S7OlUMHg0 zQ}!y;R#1nxN?@n{>r*YV=2pP_8+#vpS0I?T6wkj*w(d*D-hTx`doR9zphB$1 zBbeY{la=aL6={2c&HWnUjYHsQ(+SlSF7nk3u^xxQ)|#R3=uwXw@faoCJO}YmEcW8d z5j2C9)w_M{4OifJY!8+|na@>^L(W{@)-gCCQ|a4E@*xD+eM`)B0eg4sj_g}D&Rup# z`V_^ULYuuui|=R0n4Xmt?{3RCp?v-AWG6joT6zGMgL#+h+wV(>Z?>{SsF(~{boBb| zVeq1cvp**8@ve!mc^^Ojn@LlV?Y$pO{6_r)*dAL$G9o&!Rl^xh1UI54njDv=hp8T) zlOL;;ko`V9HBR$gl8$~xdP;hR{}}mXr_5{e(EI9pHe+<+VISM%a}L+@6*cNlKMqn< zFfiMnLVn0$O>6-g%~jA>W~PCqrY2V)vpojcApb?=shD}MHu`@90g(YENvx#C1*%sW z;e581SY3=L-!oxtiaO4uG8-Z+x=R{e6ml>v+CteMM1n&lXhsGWU&m&QJf zVgD#sY`KMXD6xzA`+R|FA7%7pplzJ*rT?a+@-y_PZ6K2FWAIR;%MN^Vx5vl$3KCIH z(cKdaiyna$MS?{usK-kfmgot8B476R6m%*noXc{KPtc9{Fue|&AeI~fsB0D|9LhgG zREHgpZxw~69*IqketK@dp^c(u%XiiNc)HL~bI(plAe<)LaI)o{;ySL70Y^W_JI-75 zpG=rcVYMZ*g7#+4urnB05UPh)v?$z1ByQ)^GI*TEvDn`SY*6Jp9gS|aL_sIsbntl~)2g)U%_`(aus@0Hi^~^)JqPb(B-`)6g8a>`BXVjBC|4!r2 z3#08~yD->6{;;y)=>M0m%7w?*I;ol&Y10I0Tfk(D`?xe?=j9sL_BrS(P$9#2%atof z9GcoVIQ`SzRej6YS%9r~wuy@T8YZr@` zZT{1@*yo$g5;95czqmS~`u{zk77rUNvN#|h5;%$8v{--yPSb&gwYwSHQ|*Tcri z#QC2$lOYRZmzVjQNX3I#^i|9~JzBtPb*YZvOb329cDJn0PJ!<;td9L<9u8Anx%P^_ z2?{@sanSjGZKGYdZGt2MLd@TLuy3QUhijPqwh45h>HnNM_{4M7L$uQ|LyZkKs?sPc ziy_N_R&{y5c%wxxj8_woO#iV!FUj2AxC2LQ7|3(rxDXNos}r^+e0FIkHLB0C+c7S; zQVRD1?gg27>Z;yCSt{e_-aGihy7fxf{2Ya?5fJ%TvT>|l6ay_m@X#w}sn-Yx2EX>M zJ!8Y?;S3Ihl1px&JO--Z6xA?^?9uuyO}HKy7$Z<_GclrX`pYxt!F`|nGFkaNruC`H zOpUnzKqzzRW(g70JR!o^oJRd`CXT*)o4hEyixngrHMU4cwZyP#goUrm;$j(2y4vv- zzL1q~uAK%B=An(azCVQrNk)>#6~ild8{b8@oiI;7>(<&a6%$;5>*P^As}y_B8$=g~ z^i^9q88f3^fX2M7@sW??vWOyg(g7mVEokkwR8q>xkGU$HGRa<^dn9|jVsyc84NjDC&^ZdwAXusg$ zeB-9TTOl7dp;WLpBK;nrOE?7Lz3Xs5w*G^baRd+!Jyg7mck&mQwTpF9BrCTB_}%3Z zkj8@)lEU}|30i(p5Ba|()y34Yj3&QExfr%$SaXlyX^J4n=oYFjM>`&KaZ6|OW;4gg z{^hFK#gf#s?IIP-I-+r5z(cF=HPhTt`@10u(UB6;ica=tCs`bP!HKcxH~60?i;~F8x8GVfIb)L(3vBFKayUcAsQ0{V39J)?L&GgEK+fcCZ(00 zM;6s(+wAZv@(+qTNvUH#Ws~`pl2)c2Ivn0EX}Ki^6HV($=n$P_1J=&XCVg$zsiP1@ zogiL0dh@+mF}~&nHJbIbN2oT#J#$h=j(yFD4B6F=pOEFY93M9O-=t3=pesx(n*d0# zKTZgY*c>ermwQxDIPTN%Kv87C2UI5U9yuk}=zo(Yc=*t2Ui1}Nsq?@yGb&G>6z7Ro znw%eMqq+-L5^0hRQ!huvL||u%C}vhP%TA|>d8e>d9=)O=v`vs3!V$iub1LuEQqrQz zH+_dV2DQ@x&D zLZ2rG_rTknybl}DJ_OMgw3P@BWO5jvMj(fTY4!|*bW=YhxaCcU!PC|rPrVg|l&%|6 z3ghXr%#MpU%ykuM92>g@Z2#_w72Ln`URVHXHinggo)=@p#Jc->!1 zue7+i*wi6H`wnXSXZah(-{OD7+hJR`sBT+bkqIJp&+!yHQk7fr|3 zh-X6ziS&uUx7}3YI?^}215iXDnBXL3N_Bwx)hwe-wifM zpD$)p+lI5F&gDsg3(BqYh#4u05-`e~;=tDY8E5is8*(vVMuoE<+Wqs~WeP4}+{enQ z(ZHIm9<#E}r54!B^dO_)A*;@;GCw3w=Z-Mk_^}(g;kZJU1NN+0ndur5&sbbP$&MNW z(yDK|Luty=J#i~sfrLr@?r4E^NfR_W{S)~L2sEJ&L&5v;W^BAp{ z6gK)!(Yu;SW*@D|HSZFpMY3u-edki^P}Y8R0hLGXXUy&L_t=Qkt%ptr zfpPwWHAETUiMV+j&2$tF3MZ`F$Ub{QM``DwQf~Y&lX6*QSahv3sRIvgWyWW&m+@fd z1=u3;h~bzlWu_UAsq)%5%XF;}72zS%lMwkrCAcqdP+*57Yt8!!sdoJEtLp-LhP<$J z3;u17H_@uxhZYdi2R`!nt;?XMTL0T&YJ+TyYybz4Z{`(kn-x(vBR9X@J+7=QP1I8W zmmL%;U2PV+6h~OQlt4&s;Xs+kk-%6?my8jzdD?5r$lU{{-Atvhu(JPaa*J*X99ezn zTby#hn<%&BHlMGug*d)cba|yp3Yw4l^h}WsOz&{@!s=bBQGPI^^9|}WPQjpGCUi!1 z0D>g2s>M`cbbmXRhg{-hI?LA0NZt%+ftBv@4r}1mHxkOCB(}3l)2SE0V$Q)tq}y1g zgDajKyF+>aY{;qx!HzC|%te^81nY4YpGu?UJe3cWZE+43p7E)n%IIoF?Z|NMZ;B*K z!bxbzrvV4B-i|e>uS)P{>v+QnsYA_h?(i3@@iS3=LHfmMNQG_VVuDHd|OiJ{z*j@XnwZGtQlC+&zqBDSj z-LU+;ukN~@3OvWl#vUlURxpL++Za93uad6jNBYFL+KV5(jy*`292R{t<@ z3qD377#nHVxbc_u=M?hIj77b74S-|NeE;r5_W%ZqWiXc(YTlYE5+m=%JYM z0view>&km#vWM5&)`^@bW*=p9#M(spUxPn0E_WhaN+j}13%*T z@+pA;iJpT>e~Yk0j(47*w4tTcfZxX@cxTt3n#RdIt?XX?Bs4#Ea3ND!bNo~C3|sVB zUvLo?k=SfC(T`)b{%$rtOD(J?9_~*j4UA4qvMMB?R+GDj`;c?gQ*dC}u3#fJ&}?>y znk=bYVkGr2o4(ND-zus>I1*$5Af(Wfq!I)=Fv-eONqDU(Fi+2h1WhO{C(H7+W@PSB zwi7&J;iMCjhaZN}n3p}bazlTo!~NfH|1IR@IEys%vb-R=b*x8Z9)N4O z%o-QF?j^1*t-%mAI8i67nGX*&l1nDyO|WmdEu|&(5R#0Lobi6k0m-!hQiZs60o4~D zqjYtr6&xb_mD4glx}>N(O$Zt{8U!7``dU^;-c{B?8OCt^G1q_OmbA?~9wmf{E1x zm^GhVV4xDmQI;KsUQQ%7tg(-oqB+(OoqVJZL-cbfx{04IgSK~)XR!y& zt?u7}_2g#PEU`XAu^W>@s;d3Vd5-~tEXSmsO?sQPahnRuEG;GBEcz0_jV0A6nlULT zBm@*;f^Ztovo$jOU}Q&ckqtPyc&h0e#Kk3dxa)Ofo3nvBjEsu701eCnEyx2i2K!?w zu5a68PgtkNi*RbH&xp{1?TdW^`6(oS(=H-Dqu?vLbms#g-@*;suJ4by=-|EQv2}Bh z+{8OT5kKv{d4ujxW@M`e{5pq^rOv#IrDL(X9nRKp<2-lSXx(i0+S776`ky9$KzLVu zGjJHj$D;AG&&I}z_wPKoVPB5O7q0jv&wVwZeY1(dI!?kPWP6*91U-b9cUvf)qA~7E? zRA|xo?pUZib8+hsF9#7$Ypz*#R&T-YnL(l+#BdkzZa8z4XfeLHllfdsYEV79-Dw~r zMxSR+gI>JFcB;BsPdQ%Wz!CVJz7RkDX4#~Ps=X>A3P}uEm>E`JE~d0YKUKUIj-XUD zl%=vaOgaM%Vu9!#`+7Q4p%$A7jRq;)?>4p9$?EjPK1+i;4@#ITL3v6~{KU~;5o6M* zW5@}xGz;%Cg-|M|y67P)$J*RngO=&Ruc3-uH6gd31X?rlDW#@;{ua%-sO&s;wfj(A zmHS?@`^%6HNh}Rt#^^f~a+?q$dX%ky$>G!FMs%Z1;pZ(iBvhz*O2oo<(0ci($35M` zsE;?DC!}Aj7Ftmtv6lLk*^A~`4yjArt-?q^apI!{;z|^6C)D*6Cs=FkG zOaaqFN~9faTjiIFXY(TTqssYbRmd#RlVp6#CqKs%11p20qA}h4^So;WdR38aoMXFh zv@`3x?4%@C?8lAJnVZg*@TseexGx_@kostnS*SI$=}&8Py=1P7V)ikIU94^7FKqieO9C~Ig&NO?Bo(o(P+ujOH{nvi>IW?h0eW=DEhvRYdF=(j4 z!WBs__XL7+aSn$u)~s7Z*^y?^5cw+RdOsVVpgB7L!Oq(%_pdKAX%+bgx-GUMsN899 zJo-EcrlJA}C2nf^qk+PKdhaLjuf?;FJ@owAZ+3kD3QlpjuiFS^@s`?(I{No4Bq83< z{mJ8snx_u%KkgdYn#YB7$ybRcK2Qa@_{>g6s2(gwP^RMeDTt*h*YWo3QoP*~D*gkg z_Unt}iG^f6*x^Qh-pJ#h?S31)F8XITABWAM+#y-e>KD5mR}XYt*#WkIPXZH`8zj>Q znVd>mERD`t**t60pFmJ6$Pon3KY~GsC!DuAw{&bX0t2}hETTs-kZQkE-vdb*L>Wg; zhp*Rc*1_u$22AE3d^-M!-=^*I{IYRaoXjJ%nGweDg8Fm7|4fF{B?$ws1O?|Yk-e)s zIAsyWLCo?L=f7rg@JqN3C>TLNGldH#{er>b?)+7jWRR_->4@Dgt2m%tK-5K3ezW&dq|a_RqjfnYYetcg16t_+@SLWJ!JEa&h$43?OhFju70!D zfXR)?6&;&_rnl~gAx)m!Wd}Lj?B>B06=Y3F>>tiZKPx)S`lsWGk^1kHodO*Bo1pgl zoblc6=)5M2G4B2|05)!|fH_7-!)M&J5U<+9Itr18{`>egti*4^gHiTAAFjvw`f{n^v& zJQ*&}?;-+|fC~!riyLNWxLc2fTy|K>5!*CK@DTs6WCp`fqpn5WCwoL58SWR_IU)

suJ1fpX<@c4oH?V?%X1EigItj} zW@LS-g{PYe;ZUO34(P@%Aa$QI5ZUT3tZJPL0NZ1xXjB6;Mq@dhXvG%UGWLvPY6DSZ zUJ$HYw&p=vu}okaiYcCiYOpE0i>Cl@{q`O#ikuOUyl6H=Kc&r1cFMsL@D#Gn4 zS^6YQU;y2KY@}lF?&ARm^QVubZzKudh5R3bnS%L9>2|Gxr|0u^Rer*)S$IO&`~;*f zaGbv~uhDoie{Mh`82o(eEv@^V#<7!fqQ^(nfc2l(tB3O_76y(HTcgP)g~AnKdY`wU z$?YX^9io|scho_aETtkmk8%=79x!|n(9i3vAa~9Tjv>%*(Ty#aJ*q&EGW3~|!pn)z zAGt}8|3xckJnvuo%eZdM7TSAzJJp#B~gEvB} zhkl4eB8>EbR5&7BCh$MMi%F^X#ihjX?~8N#kni47Kh5`O)sf2A-CctiFtrB&^NLb) zmX>KAr0}Fsjx;F&LJx!vfu&1RY91Y=gVH+) zh$1CHe)QfXEJzm=DH`e;0mA}Pq<09txOAk3MHdtoam(|aH|NYBGv}Lo=gizY=gi#s zF1oHiszLr-Q6ht;Sc6M9`=B=N{or#(>~w`n>6ea#P4^|)xnw9uAA9!-kSUT95uS2t zofU7oiPu`Ywyvg14Q?_1j|ZJ?wE@L11B70Q!J2`? znns0fsD@z5to^ZvDat#&smG z^Pjkb$5_&Q1OKTQj)zw8n119aN&;vp;d?p(1MG=RU6UfP=igcxIP(#32O#DFUhEj6J0>u#PI!xar@kotAOFLb4@=6L+; znpSYbfi_~KIKz=QV1{n6YgGf`_O@AyIo1b2%F zh_UEWNYbSOrI?ceCnLFt$B0isnuVyWFQw zdmy3E->Z=EiCznDZ%A(2X@%b>FcL6~hU#KHeW_SRuCNx_q(r-FRrz=|i)glVjpsWQ z{=*KEK6Dn=larip^1m`~)=R@u?lAvu_rhqXHtfy_K)N1s4535ZiWHhBRxwy=q^#aK3l7E$$3XUdCXSM?;>OJ!Ga3;i6o9 z9BuKY%VF0v8-nG+1cp$cO;iT*8ShuQELy_s{6u(HYmxvN&!s>f&!1lvQ(tF6lK=#r zcpIjH7+hCZ#mE;g{3P{Du~}3q83|Ksx?i?ldg+5YqgHlP5UU@XXR;0_>(3Bg%6M35 zLntm_SgDa(U9u#$cyi73jy{zY@npMo)xlK+yF{rh-d4BUOWmMy3>mHy z{4kh!L`u1LI#A6_%X3x=kCQwVxl$}ajA%fN6+pCqj7|;U>NhPf!lzp3*Q%00*UL6# zx`{UhlzV%<0y+K9YhmVZ;CL3&)TMW~ti#e2hE@X^EO~lQPOxEc8r7E3aOG*GFv|9>-Px#6#8hAW3iE*KV9?tx^u( zAbxOL=59@1K9g+T&2eDHrzFRC{k%w9@c#SR_x7nT?mXI^@%bd@!r_`bxw_hZ^)o;V z9nEhNI!O{di3r6!D-!)ghGUfCpQNNYN1gTQ3kz)1N$B3RwV1TjoY%F9if;O1kkxfk z#x1un>2B>`br|Ji>)7(-HkYmA>%2@zfW?yMnd#}TvUx3HW&8SWhZY-{14Sm6w@<_d zP`EY$>Bc~#!cMk_q~%#FIbYjZvrh~5S-y;3#C;W zIteSz4(!zUv^x};Kzdwe1%QCxGt;i-z&#IW(4Az@@91do_&YHROflH?NCIyVb? znPyKur~{icy^?``hi;@bMr!IUH>E(9 zF{tB#9`J1fzwSq=HhA0VsRSehn?DhQ?tdh%bSvPy&K;b;EA)lJj@&Ov%xgPk)xOpO zfBTU7$EE1uIiMiFScU~65ZX;03g~sHfnz@sz#d-hae{i2t`^Q28YN{c0j%zsUP!5d ztXvM?#YHccJUxXyx{u)n#arMe)swV55?DC0z!+`A_*~s-PI_6~d z;kFVZTtvt&-R&kgcN52Le%y?bu-eISv+q9qeBJh?WiI{fPXXzwg@G+~fNMy(YBUZc zKPBZy4~9O9q6vpDCWXCTj0+BnpABcjK6c8atv$VZn`}BLEY*k`5f~w)xpZngb2qD6 ziGC+ZxqPL`toBR{&EEi<3~)r6Rasi{l+~A;sM*YUd(|@>5hT*C7c4@A| z^_+RSx~jDd6c_^vP?7`xh7JM(0u3_fR-=}McM{qH3IY=S4Fm-0i)v=-#OP&j7q`6N zn#qh0b#wBfdFb_%uozUCs_aR;xl!x}`R8R+4EeI%4<}^&OGBY*>$dI5xRYzHyih|I zdB<~A$k}3Q8cQ|_)dCm3>+k7O!1r+;bFi&Gb5jUAnu8I5e9&a~A7dMdc-t|9<`xam zoB62elmR35)s`R^*NL^H^HC;>j4Pr*^#yovZSd@%deVNyZ}XHOXQj6rp=k9jV)L0Bw`|KsKmlCjCkk_CwOt|EAA*aq z%p%%_l<#H$%5LG06KBH^i=F2DiV7F=an}yY5{`kcDJxNxXj_{lR07t<@^7AgRkBMK z7LAB?2z{slorm&?ukNlH-!Qt!V$r3M>Crk)Mt97mi@Ui$dQ7&naY7Ye%yFywoj^a#dC{1>Fewwc4lxL?vY*RMVSHDt4$&UBmFeM}YK%C=)aEE;rM zh>uW?it+AOY*}np5uCcs=SA7;%6^A`dnz2EWYvtv?6P*54rdBs;yhW;3+uH{_N$laohpblL=ZZ88B!rqw-K?An42j88r|Z;jnK zjbE_q>kDD8VBP-4AYlA6cWJu@kaNs?n>_Z2XeBtN4D6@iC5ytmVZFsd%3nJz!6FQH zAaaAs??ZY$%tX39<9x!(zZLMgv#f%&vcQjfNVzIAC<;q}(~N=8qs+g3WXYr=-u^Ux zg&rW67yiTc`vDR14Jv1DcXJPYyYj7ogqO|>g2*8FVGif#FTMU@0O;5OV5taE`u4!e z8xFT9zyJPpfk4D*<&CuN}}hx{e&u*p5WD) zwWncoX|zzLjQn;>*PbN-*b&1o`6KhP1O>h$sPYhLiE3V;@ArMU#F;s&M4=ZRSy9catZ`#x7!dU*UB@PPEr6#x4TVD$hOHOmk=YFHN! zAXzj+Llv-?CSns@D#%?1`aB%%@7E159Xh-^Uz91M43+c+8nMeH4;^*_=3f@9f<)xR z)K6hgA*N@E>}}F6e)Nr69#p)xqfYH%vm=g~;MTzD1&@}jo&v`_eK;;Z1|!N=PI>X_ zn@l_L;*;dg9h%qyFi+1HzG2HeiISnVG<2#(fIFRZpCsSzUnPSWQS2aK>4wbZ3rz6El_RZ!Seb-TH)Omm)9Jn)2TpV1bdFJGomyms^RI3$U= z|MgHfvvQ9*njiFpY)H|*r5Zu}t5nJ(VrYAy_g(xcT}c@Lf;;e;UWm*-c>W>3pp-H^ z0>4QdkM^xHr=a>_oM*Rql$Ou5?rkqKwmcYYBuKLQmyDf zUpD$`HtJ$ht!O}BHu7pV(u4Z4^OOt~nq4B%Sb*8fVmgOrI%*Iy{iETeg2l8>#9?~< z{_sb`8Zn>6g}2Z2x@{s6+Y`Tt#RbQBVv4|@IP@L>WN#8M9nH-D&Xh-Bm5MJd+0@^~ zl!s%LiY*Pz)c?+ehhde9?)Zyot)DBLP>1Qrk8C6V1aCs++|lx%(Q1=%+Cl{s<8ZnZ z9JUxenC?0qpBF~sa2p}6zth6g_>e~LNWwNcbVZ450nJi*b-{4_+BX^K9k7G{NbG*# z0s@i5cSCRkktBA9Y9Qw9r6Fq$#9GPa4kgJ=*PKap2Xl3kV)e&j?4W!FMT>s(k~Lov zGwCM1a-+x^P)DZx-995RH9AUUv9sqeTd&4uy{M@PgU?D84ex15O*C#29j|X|MNEqe z@b?SYI9?k&O0SXf&z9QoS|0OMx)UWR06bi4NMVClk;NL@o3u%)y}SX4pl?oS zbp~-fncjPxx01)?d0you?vwi=q&-MKWE5AZTtn;+TzNvw{TR~`hfg@MkU!|bKd37< zU3$gG?og>lmK0_YN5;(CI@x=Y1GvszwyUdtTbxLQUl%38Zq~H6gGm%nfz zHk&q)%Is2iIhH!HCkfzKKWhPO(d!QK39>j`s)1w50#!~eP}lPompxcjPC@^<>w~gY zT%Eg(pQ%Q}Fm!YkN~g@Xp?ES!y+xFY@=qQ1jEWDEC*9ejcFqSrGm3De$PDx0Qy{9N z0`%!!)C=+xbtRPGQq=HEPL(zBwHF#OsP!l-3b)h{Xm#W&idWSDUpE33#q(+~I`nK? zXDOYIF(K()5686(46N#tbZOWQ7*qPW_CXaY1`XX#Rr*(Vb}@3PchMzbx)`qMmbzM7 zN6sC6#0k3UN>jXM;}rQBl{ z#9O|u-#%~fJXbQy#(aD%r6NU!bN=)1x1Aq$MpBY}jV0u4*Waw7;gTOO$A5pz0K)eK zrxFxMt&*7u@UgzGh+=G5!ZA0$9~BX)X$LJd(oGnYXClM-zUXP>0d8hFjjJT zFsuO#U89S3Rr;>9iyarQX%=g=ncNJtsIeXm+A)l1__0Xo`O1P+W;iSV($lo@^Kzg89!tWrsRYZBJ7tUJq6a`dTg zGZ7qU0Udj#XcR+jKsd8^M?9^rkMh%kJ9WT9|3o_WG3_CiYOHK8H73I0!J+t3l?pnQ zA?t^7nBRn!1gT*P@fSH-8?2aB0F35*>AdqkEOrHsZ#$+%4s7Y>&W@^K?4 zK{IFh!kfi~C7FVJc1cOl)G@#CWpQCh%pf0mk}upBG~@QrRahR7|MZ5+oCJy%h@&V7 zwVnti%fvW}iemzr`cJd&RBZ$M=A_phPkzo+t)sOE!@<{}0LUVJdYf>Qh7=p+q(k%c zE_T&)iB_tK9mX}=QlA)k#poh^`(=i>W3}<+Z#F_?#i%cEpkKC@O1EVE24HU@%_sud z+6=%z?GimV=XajI`e=1-Zc!Z)T}TzsjxvC^$LOSngr9d-$^SjMoG-rS+I_L3@SA%| z#m&4{y--db(ECk4r37K#d86?6c_zGMX}{JX@Uom+w6&wc&a znd=jY+!IRnq;ky}6v!=@#)Cgo3&sI1Cu7)dw@gQEN3Vl5CUiBWff zk<(t}n5bD;l?1t=@+n#pW}`(7iS6x5_PgX}g|ZZ+Y=lW+!zE!hA(SL&sR3UG%hrwj zu2ud3Ff^f0Nr}5w7P#{t*E*W1!=QQ9Xq9&AsO3z z;3fP@J}U<*f=;a@F6tF-y&^fPnuT(;@D#o5(11S3ANUKxpkuVe(BLi*M&yhAeg=4e z*{{Y$to{UfLWec(@`uv zK>OXkf1e!j5A&oIs@wOS9GvgVQRu#w!b(4w$nf-dygXlk_%nO1Q1@1G;y=4np@-@h z!16N0hwn-ii}+L17Q1e9%!#n4nM%$JTS~G1W5$q**&%PND(^<{_5egO%F{1E^$xo zvhP6(KWQSBu%+QJl7$yZz9}Y)T4rMYNkU|3Ci^+~kkNj)w>UVz?fTT$^`@J%H%GpZ z>o|Ai`E+q0bfOjD%82QEYaMX7`~rYGx%SolGrh0dWqWdbZFoVL)yEF-_`AQKd$pK# z1-J>icjWf0=;~{8tnc#L6XlA=>LSwWZh!9A#k}5b6C{ioX`T2q@l~%v@~HBl_qfRr zv_W5sQ!*Wt8=S%F^NPq=b(t))s}GF`6e}3SDlk^30_?#^;W@{72#m<)e&RCB&nyArjuMWIlX<~? zFj5yV*Xg?$oMQx8xPyl@TJ(z^+0areSe8-i1#_Z7Q-O2;BCY zrwhuQN!ir`bACpQSu&9qfezj`LE$|oL?dzfdhrDA`gFPC-3j((#px9P0NOTupz7z z(TFga%>g1%4KaE&kdw_5B{|(X$l^(I2UKi_OByd`eQWMXTx*Zi8+UF=TzV4iG>UH9 z)Dp`YV4qWn%{T|FfU)uG^XX^RPM0S!DD9m_={U=K6ncq9nT`l|vmvi^Fbbp4EJhJh6e?Z`ON#LN<9}xP9%q_^w?r- zO!bCsJu}0Cd9G=_a?PSDnZkNnJC_EdO5N?^P#zZ3YhSHIhc_qK*W1MBYWZ0j7PEpQ zJ9tg?+=#6^h-;-%6^DB)OHS4GEQO6bA-PKN;I~={_a?m1RMuex3!LL2@j@?n*{h9q z`#f^-S^y&e`PF>RKZuz+j0<8g68%cbIG>2h%T+89jUO4>CO9T2pRyetnyiKWonNt# zIVY^mN^kb-yU@u9nJPf{i%kd{`ora!Y4-*^WC`b4Vn==^3I82+6vF?5%*6{aU_<(@ z;vFO9HDbBY^~Qs2!oT~XfP1+NGbO~+3s@ye25`Mm04k*q)cNp>r8{(LRZb=>bn&~l zO522ZPC11spb|)IO!xcp=N7~D@2&B>f>SN4HbN5K9cEQs%c&b2xcGaB{^jQ+*=tzMJw*zv0@0G5WmonWJFFWuiKv*Z6k0D4LQmWi>*eOVF z4S3EULo2$A5mXIC^6oCt;uBD@qfH^hU_EJm*Cs+G^*xZL?pW9rc+2erz$;Ldfcy=$b4|(^IOhu0WrT} z;nA8^TT_B7Fz1zw5c~eQz;tjq2HL*xcyz zu?zB_H8s6J_T4i5(`d=x8u}TqX{zXbah@AVu~+bATB|_pm?*6_EOtK-BD@CiP8!Vn zo6pKi@8#7b<1X)HQlR1h$)#)D@W0mw39?y@} zjXyOwyJ^!eK2mgPkkkRFxqyc%n?iw^`nzYYfQLgTRKfsxg`A+HKHt+bBE+eRZusi% zb6p`n8!c}>8l-5Z%y&Y?_$&FkfEfSd7B-!v#`3Z2M@bW@k0>p+t!JQ^%aIVm#2prb zjIA0_BkS-E4RKez{OkOx2UwI`oZmdUgSmh^c@J81bfK9Gn3V`YJEPlw)r zOy0AO7|$DIB`9Pz$k+akQoOXfcM6(IYpJ0gUre-Z#R1y>9JayB@Y2fs^sfH9x}AKj zLw?Oho>5MYlXW=hxs^IUQfB!F30_8!R%WMzitft1S)=j2o@7FjVuDxdSz=AU4jVYN zYCbJNF~L)I-iHr7Uq$IPzJw8{03Fj8a_UoI(!z7RoaihkKhGw62cFmFVFS+-aDn|tJC4d#3jK?Fpw$!tE`mj$}dK$vSRXKT=J#eb7lk2bfIkYZUz;`vz8fV6Xgl#gr zoZ6#bY~(r0GQ2rZcR2u)WW6_}FC{^UHFDBU=vY!*J4>e-HpbsvV*_iH|Cm+vP^}5= zCRV?PxQ7avN_Q3k-T3eTEADH*bh-Ybg_}vK0e}RY6RAji$AY#2R_dWH~qKw6$HNYXNhew|%zKoRSn9zqg(hJALo^R&)J+CfY^IHco6j zEAo)11FW{uV#LL_(f0fl1uu7!0j(M)<^p_0wPt0mih}1?Q7vBz0^h)Nr?8)m11t*w z20u+Z&QZh~8O~%|dHx*UUyb(J)Epj!7Ym%p{>%}03HDX2mVdajM35n&l^N)Wow^$R zYe$ulHO4dwgw%+&d11zW}H>xr~--^P)S*aRMO&1%b|S4TYHqq58@U;3>a;snCE z8-xF1qFtnH;{cDop~tp)kN#S9f`;bT6i!_f*3fFZsuNa$kHQWKoxsZ{*|a>jgd3#l zRILgzMKvIy7%3m{X1qypdzyVfs@p<8=thL2fs+Iy)184dRz>{_{J)^&3ueCHaUT=? z9LYF^7S}k%2G2O965lxGjNl6=`of97aFQ>a^b039P660Zd=V(y@>k)tpu|$n3>axk z>TxkXkV{;MzenM;#JuiCtdze7Q?Hnh1HwCkUgyy@iYj3X)f`DIrd#!vBfPZYq8%eu zwBvs?z@HQfk~=6jO^+@@Y=o4+Vm*@JXcVEsdV|H#MXIojNcdYJRw++LP*a4MAyEPt zD`6i<035X5iB$F2qPfhvE5iQLVJqd6!cRsYK}vq?U((&Q;wqsIC9CP?jZ8hjA4$+O zib4sLWsfH8L@;uOy;s0uut6g|;f}ujLwpy9$Y1QVE(7rw)eoXl$<)M=KktQUjx0 z$?zrr+(CJW8n_jCOHqif*!hKjO_yNNgxNtnWncV@T}&&ERYRO6odR8q?F%*4ijzfd z#->-8H>t*}7l^PNkz0>T@nQx*D*YU`+qr~18=9@hO;tiE z*{3a3lXq7p^7zsQyR5@-Aq~$of6rHkZ4zPQv$^o(n~#$lq;OBJ0V$Y!=0n@T8@iwZ zCAM%18h8}e=Z@LEGPZ=g295V(^c!!-v9eaQydFls;MINCdcwM6$!^N5n{kJMgC>MF z7pP8mDgdLir-IZaY3*NV=CUH2B;u$$s3h{JJIDok3Zb$CGtgxz?I>wwqLEwD@2E$V zq(yJcbI962>SSadAhjkk1G%yW`JE5G1!%Cf2T-Sl(n2;kS-c~R+6ofBS0XDV(}mXx z`I21Oi=J1ykN`7BCHmw62P>Gold&*{+^2FF7XYMAK(;MO89!B$uU>KmHs_MVB9A}< zsV6b;WD1iRIv=y2fzjWWrLHU2<^3OMFm)fYu>+%OeEhNdqV0X~Wj19BScrk)GBYpje3SN4DbTp*cqoM&J~3%cd#!Qb)_O)!jo8=Jj=b<~k&tE+YRh*a%g5eykZUPzsT>gd2=wJmFzLx!9)aju%lw^-SH$Tcj(ZoIs05#*5jRki|0$|{4L4k8mMm@g|bAx|Y*o}YI5$4AENiR9%}#k^|F zaDqVL&uQP3a;70S*6TUmM^DvXz+;?;5N1q`W?xon=m}xKeky(da-YlnRHeIk@eA1B ztLm-I*Oxa&gUYWZo99Kv_=*c{)C56TtO-^hGKIo}D>Lgi*b%t}*Wx}-#?DXwx0idJ9wJXPG zmNh1ym97Y^jIA}CW{DWXJ5O!_gn)bPZLAAp`+bk7T!ezleU7xNd`Z6Hq*k|>bLUkW zT1a^IuyPzF-LcN$>TLFU9wmLUD+7DHw+)>Is*`!n-(mIcCgXtv=;tG#;E;BB!}XxP z9PP?m78ktv0}jq*-&){;0X$q$EK}=7i!=MN$}4yyt&yhT)>tvqc04+_d=7?M6{I!u04)1+zu zUd)XGy-`br4ilY7#d8$)9qSaEyaaPPoc`Kb-wr}#ih1nS;UKaiEjo{kWtxe7@N&s1 z6&X^pp0+n8jeH{g%fF@8A{K~~48ceX;8tzq92Wj*T*ID71v9K7oSl)bhpv}VmY1fT zl!=;{m!XLY)X^(U&rL7%UnE-|QvH)X_p*z|Ym7@Z7h#)yFW`E&r%D+P;wVW83Aq&> z3_^zNX#1_fNf%{zW)f0)YJ3;8IAnkq^xwlH9Vb-fgMolZgOVrLG2#InHksl5eywQ% zzdZU`qpg8G&B9O@Z=OB!{CDI@g(<)8-|F%l>p0$#7wFjpwMT6d zB$ClHT$T)qzGW<6T6^V^TP1jYKjycI$c3-?T&)1kM?R-4Yx;E|{|N;DkzQ&L;5l& z#Woyg`<$r4AJY4w@(6Fd@is*k{D`6!U;lCsyIQ!xRn73gRVrL*e{-9cSY@cqz;;Ry zphS({q|Bvv@w?X%2c3E67w%cx&qg^XF|$Im0ijnyv>VbhMmfqLz@H9O2HTkwU5f=1 znOW#A?-#FlxqATQf?H|Dn9~)73CI~ppZQ_6bk97?KzGor3cU2ZZ8A;PX0ZO{}!Wav(_VG;qlkFRJQNeaf5xnu2Sf! z_l$i}DQR)bs+v z{&iimO9~33S5YVN8#=2`^kESpb4<|tI6Wb4AJm2Fn7?Wsx=C&(*A`;u)799~_`AS6th-~;iMok|iL)X3OdFFhBFYG;~qu*06 zMIqP>vkf3Lw#Yx4LqC%EHIH=OMY>!=?isRFuEJQbV({{p)C+DDd#?>82NXB4D*;3 z#GOOo+8Q}QAJc4D5G>MZebWkRJKK1NjKK}|Znk^EJc7Quc7|fOdtX%xir6^u{x7)3 zk@*!ZiE0qkI**VL4c&QpE4)v^hx35w3D^{;lO0@Q^EbZ&lu{lwbdHlxJvgmhz$t3o zu#H{yPT6z7VlXH$ARru(XE$|0M9^>IkT0_uYaGr4HXj$HnPR8 zn=O7dNa1ZU$Qv&p#UG-yawC^N5HX!&^Q_cR9M`6uX_1y6ikKufhK*k8a(Ml`vUyjw zRr|*EjeAo+FS=bTW+-r0?Zl4(@I%mALT6`rj%yg-=*V zt0qZU28Xyi7l0s_Li+5{5x znN__tH#=LQzZo);-%p#{OHV6g9w!>!+}x9Mn!^l2Fh1;#6tV|>hGlO5#M0?QQOAq$ z96#s@_q@L2)X(Ye>xlJP&g`SWK4<}41HNw^Cf%(n&o~28>P4^AxToz{vJ*^=CAAeQ?UTLKZsgIUcb(+fQXxE zABVd*+lu{%0By;ot|$h+A!ad+ZrF!kJ-~b{2Y5fznCOR#a zs*Gt%NFkD&@Q49mgzWDcecRH|<%V=-j*8@$)VvG%KW`+E*Q47_NN}l%R5~xW@5xg$ zWNsG39!G)^Ai8Kj0Dns|ot#XBr1@$si^*OMY8NDZs2WZZ(GF-}4<5LFO$jZU50Vk6p=gLY8lWpNCO1-|9RM`+$Hs%=UyCkz^R3asxb zrK{!EO-0AP9d*;wXzm5cAYzg_YQ0|X6((!E)mmN{De~rC9%-LSP=(Vi=aLam^aw5KjT*pqWz3o@y~wC$ki}l zvze$eC4UwSEn-{CggKMn4dNQ5S7);&P7047hIeP=gr(Y34fJC`R!)Yo&qnu~@S>db zLLEil%Quu4)IM80X{pdRLXRt;R=`x@@Gm195HvkdQ2=>~A9IBJBpJ++72A@Cc z3ZbWN=gIBh!!d(;kn!lCeaJ?fLXz^Q$JA#zuWal)V&xE&+ETv)ugt(~u`>$gs^J3rX^uFKc%=CQH?f8C9ozMVn(aY@h9w(@Pb z=VGV9Fawb5M_|ZfW$fVJ%LQZ23KJwDHnkcMP^khxel{{HtY5(KBJ6c%&uT>}I9Mr% zKI%1t#$(Ypl;PcY7(_Sm>O`!h3-eKOp02ihPgK;p9D>jsZS-(xOv}EeJI)&7nU=LG z)5_NyD28g^R^IR(+Ua{(X=7xYjfT2OWz*z@o?B@P*Msbype zfP##;Vc-+KNjd$(_CGmw(AknbS#fV-B=p+*0dqLw^0;F1Dm!zk!ST@_HkPU<7nGG!>e^$p*G=4&dBbPKrFxjn0f;);7UNs+ zvKfQ)YXTx}xHLsnPrY5VTew`%rD9nv@DPhlI!KN)&C+uf0fN?4LyOV7C2EwJ9J_pT(@v-lwQ(2A@@ z%5%O$I0ziAH$SWZ#FQF}ZN=qK#TS8nwfs45Dc9Yg8<@Ubd6J%<4q7S2)HRGL`s;w9 zWNdP(N){R>B|A1fC6s;wCH4r!37_VkbBsu@KhZ+_C}|tlgve(T9(Z_}ds&wsU^Yz6 zDx)M)qJO0NSXCMiqk!_FnGeqhE=E7|K~8!C?DZWAn63B_yah(97<9&)k#KhK-^?<( zi?v-8-v7Msgw=A1fJOdw8yo>S$9@7ZW{~^n38E-&4bj2|)$aVj&>aG%rc{JD!f(a5zu;8)PKio>@=Mk|0W~=qsK6V?bi-^8S+a{)-yY=wD~rONv3@CINaTX z<-;}k0iV=*;F#*z6}TlW`vTc|3!>4x7NMkS2@@;dRKh9XJ@}%AxefWsMcNB^BVpvR z^h$TZLPo zSH%*XcJw|Kzcm80`|(mNBP$*78pd0s zkGG&ExgY~d?LGAX#`MnRZ{5E8-dee-J3<%`-5}?iu2g*bk>}~W?6PL^*qFFfcCV!K$8yr%8U9o3YF47^6rw!~q5@<{DN>XNhGSo>H$cTZQBJ}wv zjwrcZT3u%;W1*n&nbQMUM6vM?? zHfPx<^ZCoWzh#pNpUPbrx`b!rMYDjKUs}H(y^@cy zJ#1h=gUYs`FCv7Ymvhve&_3!3vdvOU%4UA2SY|iUk&kO|+t*;RDi+Pv0SJE80+X{}> zG(cri2!BTCOTh9(_GV&ha_q~v8y?c$vDUgIM~scpcMg6m@fzkpGQbW|#lh>+Ry85v zwvJ#1m5Q{+G~1CIFx~Hl@m8>5HsL;tW-52^e5i(2U&Fv`>HL4i4E7b;I28by4iOlB`;Bj~XX_@kSTrmPckNxB zyjDlsz75N6P-S^bchk0kH(Os}M+D-};O0)eFs|A=XW&EM_}-w2jnpd^A<-#OB>XHuJE+xs8mds?a*N zDK(jb`*w8JdNiD)+&OX@xhW8E?6s)*aBnb(rqb2({6qc|E771E-R-w#Z<_Atw!Uip z5AlsAS7eKI2S12NCa&M1XyjwHj6c}k_FD;{cY~iAe(&rw(xYp#cE6Yi6+*v*QyJ$) zUL58EB-~rRZAAr)R>(SXy4fGnb}dd%s>cGrh&UvG@y*SQps}7S{G&zaKu8Zr75MY< zE_6HDVb~0i=7V`@e^_V|+~6+vN7IwAJwP28{XjiyhXc+grVIv88O1RnwM2>17fBKdlAUc*^J~1Z^ zPQu{)eyK-29gN5*qN7+XB=((NfneuZ9KP&_G%2REJZ)u?`+If8?MPgA%q)bV-O_^p znBdT|k(gI7A)T#7qvR(lnw1z;l_ypP^!Fs<5h>;hf2-szCi28r^pRQEwZ~pmxMss& z674F1lURk>*wIiH4)X4>dJ)@F!EvJ!F-2cY1qE$F*;sds zSsC~ZQwW0F671L_2amz_GWm?%lX0S8HzZ@aXZX)nYh5BeTsDQ}%!|%agM9`EL}?n$ z@u}@wx9!TMmmrN)mtnFLwqVnl0;Rmx<=x5ZeUY5LCasC`P(qWDe+NptMA+_DN@hjf zx0i6->`#Zn(}4h#m@MQ>$4-0qsp#kJdRE*|Xw1(Y*5+-E*&Y6WyHe`=)ljs)T!CWA zn{rG5V-fKk=lq=`aVJkjrVc&MFoJ@g_6-csxP5Oe`takY*1z0y4f9T?-Tqi3O;-+c zqp1ve29TMFuw!&DhEtXC^w3$*$xx}<4`v}O$LoG)f-S;*FO0oA3UbDNn>U6xfuoL8 zF3>Z&(nRERs6d9VRjOoFJc?$NW;EK-?))f6BBU=`xXTsB^r1(u7AM|JM>}SR!a>#=xR%?FV=*Qk#iI4G^h~` zpuM>Yn_k{Ba)B^Vz`$W)Uk;9E@g-iQcyvhJowtV)`hKnE6dlVL0y_2;S_A(oZk;lq z6s_C6Bp#o5cH!o=+E5ThE)g`40aF7CU;WfLWs=S8%DaF%<~fe0V+OHu{u@ExL=ZjY z96fbsYLu8@xfd@+>AJu9)8PA*`*`aG$9rbO zN5iy>-vjpQAOL}Q;k<$BDV*{t(tAXCj^Z86AQ~I!0tWfn<9&!I8`T*Cr@~#;xb70J zOGCi@W1~)7+fMULc}dUS;;|HWuA>w}18#Dq7oxSwd6+|UrKOdwzCYq2Cc~51uNZF@ zQJ8(m2Q|`(ZSkTz{f)#L+S&YU_ zRDQd#QdBx}&%_WHZY|yqk^Ga8?-FcE=C9u`U(GeI$07Xbjsz{C%hsxyA&WRq)3_{I z{Ormb6NY$i5ht^~o0(49=+4m!F0fvB=h2b#1=axh3zlc(0&Q(r^f=Go@~HfabJiEFGbOd(eo|NrE_Y)KOtnoQZcdJH161NBr1F%SfV-eOrB5 z;uE^u5LE8^U<S;?Mk<{sd=| zF8SqNqq4e&k^fHtgIopD5MQ~e+h33zrAP#@85Bkj`*V+Osa*gw8r?i0YeyZNUtHjZ zWdT%XLSSQcUCL~`{vm-ElXZpoCm=hIdT=t=M=FAh%-cs#yy=vFfUm`D_K#K;?`WgF zvK~l+sPCnqJ6cRyN^>a*WH(0+{T^d%DU#_adz zI}Kj^;);}szUv7)zbC8*z!YfaQOt`d??}5c`C6p%gbzz?tIP16Q#4>_MTT>Q=0YZ< zh0GOObxo4QU0Tt3C6a&Lofl1(Xj~7Q(rh13Ne=Yu)${KJ-sCSQF9|1$m0Sqb@n^G^R^`m!NNYfuVJva-s5hx@+{X%LVv zzx4l<)*v(b] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.980 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1261.980 ; gain = 0.000 WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Constraint Validation : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7z010clg400-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : -+---Adders : - 2 Input 15 Bit Adders := 1 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- @@ -120,26 +100,43 @@ Finished Part Resource Summary Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +DSP Report: Generating DSP arg, operation Mode is: A*B. +DSP Report: operator arg is absorbed into DSP arg. --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:21 . Memory (MB): peak = 1261.980 ; gain = 0.000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP, Shift Register and Retiming Reporting +--------------------------------------------------------------------------------- + +DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set) ++---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | ++---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|fixedPointTest | A*B | 14 | 14 | - | - | 28 | 0 | 0 | - | - | - | 0 | 0 | ++---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ + +Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:33 ; elapsed = 00:00:34 . Memory (MB): peak = 1277.898 ; gain = 15.918 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Timing Optimization : Time (s): cpu = 00:00:33 ; elapsed = 00:00:34 . Memory (MB): peak = 1277.898 ; gain = 15.918 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Technology Mapping : Time (s): cpu = 00:00:34 ; elapsed = 00:00:34 . Memory (MB): peak = 1297.012 ; gain = 35.031 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion @@ -157,37 +154,37 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished IO Insertion : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -200,37 +197,35 @@ Report BlackBoxes: +-+--------------+----------+ Report Cell Usage: -+------+-------+------+ -| |Cell |Count | -+------+-------+------+ -|1 |CARRY4 | 4| -|2 |LUT1 | 1| -|3 |LUT2 | 14| -|4 |IBUF | 28| -|5 |OBUF | 15| -+------+-------+------+ ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |DSP48E1 | 1| +|2 |IBUF | 28| +|3 |OBUF | 28| ++------+--------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 1261.055 ; gain = 0.000 -Synthesis Optimization Complete : Time (s): cpu = 00:00:27 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Synthesis Optimization Runtime : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1303.738 ; gain = 41.758 +Synthesis Optimization Complete : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 1303.738 ; gain = 41.758 INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.055 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1315.801 ; gain = 0.000 +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1265.289 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.461 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Synth Design complete, checksum: 8d17ae10 +Synth Design complete, checksum: acd46f8c INFO: [Common 17-83] Releasing license: Synthesis -20 Infos, 10 Warnings, 7 Critical Warnings and 0 Errors encountered. +20 Infos, 4 Warnings, 1 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:32 ; elapsed = 00:00:33 . Memory (MB): peak = 1265.289 ; gain = 4.234 +synth_design: Time (s): cpu = 00:00:45 ; elapsed = 00:00:47 . Memory (MB): peak = 1322.461 ; gain = 60.480 INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_synth.rpt -pb fixedPointTest_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:38:30 2022... +INFO: [Common 17-206] Exiting Vivado at Mon May 16 13:48:36 2022... diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest_utilization_synth.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest_utilization_synth.pb index 16e09b3544864841fee9d3259f6874d9411fd37c..c123823108a8bd438ff09fa9e001510b5b5900ac 100644 GIT binary patch delta 96 zcmaFB_<(VOXBY#+USWoYPPbMO1|aB_1d(?o85(xGE|mhY*UB?6Bsl#Ak|&&wDuCE$ kRYBr&)fgBGoSp(npe`c@h6ek)Kyrc8Qez-#KXJYz0HuEzsQ>@~ delta 96 zcmaFB_<(VOXIO&cUSWoYPPbN(T`v~d_DV7U!Cgs)hTX19r9kYp@(c_*PJe;q38$k9 lAof{Rkoa6R28IHsr$CZ{q1OmR-UX5ioR%7c*c0bF0swrD8N~nq diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest_utilization_synth.rpt b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest_utilization_synth.rpt index 2405260..297ef70 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest_utilization_synth.rpt +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest_utilization_synth.rpt @@ -1,7 +1,7 @@ Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021 -| Date : Fri May 13 14:38:30 2022 +| Date : Mon May 16 13:48:36 2022 | Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200) | Command : report_utilization -file fixedPointTest_utilization_synth.rpt -pb fixedPointTest_utilization_synth.pb | Design : fixedPointTest @@ -31,8 +31,8 @@ Table of Contents +-------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs* | 14 | 0 | 0 | 17600 | 0.08 | -| LUT as Logic | 14 | 0 | 0 | 17600 | 0.08 | +| Slice LUTs* | 0 | 0 | 0 | 17600 | 0.00 | +| LUT as Logic | 0 | 0 | 0 | 17600 | 0.00 | | LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | | Slice Registers | 0 | 0 | 0 | 35200 | 0.00 | | Register as Flip Flop | 0 | 0 | 0 | 35200 | 0.00 | @@ -78,11 +78,12 @@ Table of Contents 3. DSP ------ -+-----------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 80 | 0.00 | -+-----------+------+-------+------------+-----------+-------+ ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| DSPs | 1 | 0 | 0 | 80 | 1.25 | +| DSP48E1 only | 1 | | | | | ++----------------+------+-------+------------+-----------+-------+ 4. IO and GT Specific @@ -91,7 +92,7 @@ Table of Contents +-----------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 43 | 0 | 0 | 100 | 43.00 | +| Bonded IOB | 56 | 0 | 0 | 100 | 56.00 | | Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | | Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 | | PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 | @@ -147,11 +148,9 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ +| OBUF | 28 | IO | | IBUF | 28 | IO | -| OBUF | 15 | IO | -| LUT2 | 14 | LUT | -| CARRY4 | 4 | CarryLogic | -| LUT1 | 1 | LUT | +| DSP48E1 | 1 | Block Arithmetic | +----------+------+---------------------+ diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/gen_run.xml b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/gen_run.xml index 5ab0ffe..0be1bd2 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/gen_run.xml +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/gen_run.xml @@ -1,5 +1,5 @@ - + @@ -88,9 +88,7 @@ - - Vivado Synthesis Defaults - + diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/project.wdf b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/project.wdf similarity index 98% rename from StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/project.wdf rename to StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/project.wdf index 694142c..5b157c8 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/project.wdf +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/project.wdf @@ -13,7 +13,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:34:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:35:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 @@ -28,4 +28,4 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6665396630316333646537313463373439323236333062343335653932326231:506172656e742050412070726f6a656374204944:00 -eof:2617822327 +eof:61016721 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/runme.log b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/runme.log index e8b0159..69069cf 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/runme.log +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/runme.log @@ -10,7 +10,7 @@ ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. source fixedPointTest.tcl -notrace -create_project: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 1261.055 ; gain = 6.996 +create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.980 ; gain = 10.180 Command: read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/utils_1/imports/synth_1/fixedPointTest.dcp INFO: [Vivado 12-5825] Read reference checkpoint from C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/utils_1/imports/synth_1/fixedPointTest.dcp for incremental synthesis INFO: [Vivado 12-7989] Please ensure there are no constraint changes @@ -23,25 +23,25 @@ WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis b INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 15968 +INFO: [Synth 8-7075] Helper process launched with PID 9900 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- INFO: [Synth 8-638] synthesizing module 'fixedPointTest' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd:44] INFO: [Synth 8-256] done synthesizing module 'fixedPointTest' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd:44] --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.980 ; gain = 0.000 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints @@ -50,59 +50,39 @@ Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Gi WARNING: [Vivado 12-584] No ports matched 'clk'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7] CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7] Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led0_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. -WARNING: [Vivado 12-584] No ports matched 'led1_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17] -Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc] Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.980 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1261.980 ; gain = 0.000 WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Constraint Validation : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7z010clg400-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 1261.980 ; gain = 0.000 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : -+---Adders : - 2 Input 15 Bit Adders := 1 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- @@ -119,26 +99,43 @@ Finished Part Resource Summary Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +DSP Report: Generating DSP arg, operation Mode is: A*B. +DSP Report: operator arg is absorbed into DSP arg. --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:21 . Memory (MB): peak = 1261.980 ; gain = 0.000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP, Shift Register and Retiming Reporting +--------------------------------------------------------------------------------- + +DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set) ++---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | ++---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ +|fixedPointTest | A*B | 14 | 14 | - | - | 28 | 0 | 0 | - | - | - | 0 | 0 | ++---------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ + +Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:33 ; elapsed = 00:00:34 . Memory (MB): peak = 1277.898 ; gain = 15.918 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Timing Optimization : Time (s): cpu = 00:00:33 ; elapsed = 00:00:34 . Memory (MB): peak = 1277.898 ; gain = 15.918 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Technology Mapping : Time (s): cpu = 00:00:34 ; elapsed = 00:00:34 . Memory (MB): peak = 1297.012 ; gain = 35.031 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion @@ -156,37 +153,37 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished IO Insertion : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -199,37 +196,35 @@ Report BlackBoxes: +-+--------------+----------+ Report Cell Usage: -+------+-------+------+ -| |Cell |Count | -+------+-------+------+ -|1 |CARRY4 | 4| -|2 |LUT1 | 1| -|3 |LUT2 | 14| -|4 |IBUF | 28| -|5 |OBUF | 15| -+------+-------+------+ ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |DSP48E1 | 1| +|2 |IBUF | 28| +|3 |OBUF | 28| ++------+--------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:40 ; elapsed = 00:00:40 . Memory (MB): peak = 1303.738 ; gain = 41.758 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 1261.055 ; gain = 0.000 -Synthesis Optimization Complete : Time (s): cpu = 00:00:27 ; elapsed = 00:00:28 . Memory (MB): peak = 1261.055 ; gain = 0.000 +Synthesis Optimization Runtime : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1303.738 ; gain = 41.758 +Synthesis Optimization Complete : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 1303.738 ; gain = 41.758 INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.055 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1315.801 ; gain = 0.000 +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1265.289 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1322.461 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Synth Design complete, checksum: 8d17ae10 +Synth Design complete, checksum: acd46f8c INFO: [Common 17-83] Releasing license: Synthesis -20 Infos, 10 Warnings, 7 Critical Warnings and 0 Errors encountered. +20 Infos, 4 Warnings, 1 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:32 ; elapsed = 00:00:33 . Memory (MB): peak = 1265.289 ; gain = 4.234 +synth_design: Time (s): cpu = 00:00:45 ; elapsed = 00:00:47 . Memory (MB): peak = 1322.461 ; gain = 60.480 INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_synth.rpt -pb fixedPointTest_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:38:30 2022... +INFO: [Common 17-206] Exiting Vivado at Mon May 16 13:48:36 2022... diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/vivado.jou b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/vivado.jou index 7be783e..20c6ad9 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/vivado.jou +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/vivado.jou @@ -2,8 +2,8 @@ # Vivado v2021.2 (64-bit) # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 -# Start of session at: Fri May 13 14:37:49 2022 -# Process ID: 5756 +# Start of session at: Mon May 16 13:47:39 2022 +# Process ID: 13868 # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1 # Command line: vivado.exe -log fixedPointTest.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source fixedPointTest.tcl # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/fixedPointTest.vds diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/vivado.pb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/synth_1/vivado.pb index a445fa2a1d74d429a4d0d97707e6004f02dd71f8..f96593a284e9dfde5a2bfe0c165ef6511e21d9e5 100644 GIT binary patch delta 2964 zcmcguZ)_A*5YJm$dMs6Jd#!-9eL#rNa?9=RwcR_w3f^F)=Zb1QNb!O!#af34Ss1#d*88{c}{IF>yca zyq%f%o0<1!X6O4m6%5ZOl^ z4Ah0)YVunZIShY>+A3G`74bOSt_{Php(^NKF$7<%l9t7lDBO?*w}Nk8MifY<>$s=_ zSLJmj$rU&B=tqRaeMvl!xHn{pz|SMi(5E!Oo|-4_(?HZK@Kei8&UX!_4Z+RS-KubR zZyDz1GjF#h36aYp@zhU5KIKY2VMryPJj?DoV%4IeKNjWAx+*RX2gL(x+`r->0SCOQ!(ONX^}c7ozp@@_aOZr)e=9+(*?>+q6ct4Al+C3=9aM#xB9rorgF{ z#LEa1F*p%+()C{x&qQ_L@cV>+i$G!9U}DHoEr$;7OKqitNqnK@t#oKy&mvPB(``pX z!$t-_9DRaCC`31rYPk871>e=IFiw}5$nzsSf+gsHrRCUG)taIyHJ_gYp_^?@ zqlW2dbX3ckM+LfnT%%87U>QBFI+{(>re$e%-b4m7-P6ksz}B2CXuKKjj)u9`2pHKl zFqN$yXpNMf2QNw*i;_sdh2z;q78*3v)K3@j+9q=v?N=u>iciry`5>MmP0}I#n1<}m zV9^yUx`V|)ut>5!112Wge$95!V@z2V zy!RBT7%N{y0@3V3Qc5~eMvJsWJb97MCv(D*&TPzh{`2nnz=N+hGJA)9Mg%vy;HzAR zXE`-}nOj&XXF2{J>BkgJc**IgqnHHhsCk6dFjZ-OUUpkv{ZnULb*MdV9<_0)u{^Oc zrL!8Qa)zRaG1HUyWuTg)j%n1)dQ5C4(-CSFyL3ah$8pDhZXsvfN4lRQ;V;WfT_F5vc%`S4lV$doAu?Q% z*EV!?2ufU`+v%8!q3)z&n-CWjw-DV(+)cgxBz(CH<}BfhaB6qkL&1=~JO}pfCU|>K z3n$0s*^PGyQBj(+E4K+zS@i9$PrbK1jGdMJ8fH7~8-$;PTSEdDi+VpvBv<9tkIPY6 z=#b|H5EF%tHa`Gp+EMEkhkHoaEdx7G_>*vFq!I2uyI~1{Zt=i=0?)5%g5M8HOV|e! zgSGDYLrDTR4pO)`vTX@_aWEJr;j3iup!;Jgngd#UuBMS z8+#VsNQ7UrupeNz2wHvf{kp|cOY72dISLoYT9$&hei1y;Z-`jdF{MX@W8<3_vnu&o zeVxO*D1`Ku#dKs}XJ4SR1kcXkl{UfXi{itbC^mshF!j%%ptJ^H&du%BXfIHh|P`6zdGl*)hRZ{VM`XSrT_o{ delta 1895 zcmb7FO-vg{6xJJXj8_c`*f_@V&yp&X0Jc1{yT*1ygH4MB4aS0?Qi5VkYy}FU1e`>P zs8mqYMv7F)yeLhiBE+SUsvg>`a?Bx0q@Jwi&>mX#!l{R-&AFGTGiyNh+HqB1yz}P! zzV~L{y!W>MfM>6ONMB&;#7yC02(-c#FK7t%6AdAaFYHb2k|i9BM#H$5?bMILODoLc zKTVpjQ!N^faJvJCZBv}JH)1Ct4Li=etSn4}TmX~)px!9?8-Vji?D*K`6S~~|gWlBq z5OCMVgh5aG8KAQzbzl$(xGSQ-zv^;!Ebjj)GvZ2_BGImz}QvBN43xDa)opIPV*jzm(#^rJbGcy=gGgiwPikYEQF=%B}nwg>1U{pjE zHZ#IC7za@`ij(6#XJG%}##(Q3ZIJzZ8dq0z#{tx`3)KfazY$Q33{tf`gFG|QhBxgn z(Eon>DZF_bo$sW$Bk?e*WI4Im)dAj^L{6<8le#TyH zI?ha0A_k;hn=H#r9$sF#n&)3slM@T#L;UuMv3{9~C2q{fTT`3*O80jb{3yAJm+1vU zOK+8iO(*3c{TZ7E$73)&zI71OHz0k9lV=iyG2<*usUkjlF9|rB@Zr+go_etOC^#OP zQ54&@^RlhkN7p3gS-zP$Ku)O}ig*yBAYNl1cJ2e1! zU3K7#2@hJPz4h?I_x6Pi()xa7@_Ho~j)jlo>$LxL_13z0B(l6dm|I#h{y)9CoR`-T zqW=FWWIQ)66dxb|Sd~k9pO7r%8=azIwk+B$tWAPGEG%rpEo1K7`6NITR=DCJ zio#oEyX8Psj6OBU6ydQ`k1XCNuA$Whc{FoFrix6}m=cL8A(@3lx5&D-mc9Q19%cR% diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/compile.bat b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/compile.bat index b327de7..029eae6 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/compile.bat +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/compile.bat @@ -6,7 +6,7 @@ REM Filename : compile.bat REM Simulator : Xilinx Vivado Simulator REM Description : Script for compiling the simulation design source files REM -REM Generated by Vivado on Fri May 13 14:28:30 +0200 2022 +REM Generated by Vivado on Mon May 16 13:48:47 +0200 2022 REM SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 REM REM IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/compile.log b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/compile.log index b1caf8b..b4f3d8b 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/compile.log +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/compile.log @@ -1,2 +1,2 @@ -INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sim_1/new/fixedPointTest_db.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'fixedPointTest_db' +INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'fixedPointTest' diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/elaborate.bat b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/elaborate.bat index 68021ab..e93e389 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/elaborate.bat +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/elaborate.bat @@ -6,7 +6,7 @@ REM Filename : elaborate.bat REM Simulator : Xilinx Vivado Simulator REM Description : Script for elaborating the compiled design REM -REM Generated by Vivado on Fri May 13 14:28:32 +0200 2022 +REM Generated by Vivado on Mon May 16 13:48:49 +0200 2022 REM SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 REM REM IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/elaborate.log b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/elaborate.log index 69b7ab8..4eaf1b9 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/elaborate.log +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/elaborate.log @@ -3,17 +3,5 @@ Copyright 1986-1999, 2001-2021 Xilinx, Inc. All Rights Reserved. Running: C:/Xilinx/Vivado/2021.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L ieee_proposed -L secureip --snapshot fixedPointTest_db_behav xil_defaultlib.fixedPointTest_db -log elaborate.log Using 2 slave threads. Starting static elaboration -Completed static elaboration -Starting simulation data flow analysis -Completed simulation data flow analysis -Time Resolution for simulation is 1ps -Compiling package std.standard -Compiling package std.textio -Compiling package ieee.std_logic_1164 -Compiling package ieee.numeric_std -Compiling package ieee.fixed_float_types -Compiling package ieee.fixed_pkg -Compiling package ieee.math_real -Compiling architecture behavioral of entity xil_defaultlib.fixedPointTest [fixedpointtest_default] -Compiling architecture behavioral of entity xil_defaultlib.fixedpointtest_db -Built simulation snapshot fixedPointTest_db_behav +ERROR: [VRFC 10-664] expression has 15 elements ; expected 28 [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sim_1/new/fixedPointTest_db.vhd:46] +ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit fixedpointtest_db in library work failed. diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/fixedPointTest_db_behav.wdb b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.sim/sim_1/behav/xsim/fixedPointTest_db_behav.wdb index d38294b76b90870a111c88c425c5119127665272..c1f08526abb6be353e859b339f14db083a4ae9bd 100644 GIT binary patch delta 32 ncmX?TdC+o#1)G^gQ&I`zM!N@MOeKt)6~y+g delta 32 ncmX?TdC+o#1=|p)*sHl*Ey6A*M)?|W5Huj+B;Yx3pI?|=Ga39^m`V^B%j;)X673IbA)*3fP0 zOo%MR4i^h^qt)uh@>FIp?SaMwqhOZ!8s6_mYYSI7e zYr?Nvgp>QDha5cK+Z|CGjH zuqkO*qRqd8k_v2St{-xha1;=e{3*d&WqH!=-WA*`9W0WW=l6+)LQo=O_e!^)cg9Qe U>p}VV64Qhn$e3P0q_oTR4fwvQiU0rr literal 2179 zcmbVN-EPw`7+u-KgaxQVzz}1|7YwGcCe6>>I^3nV~jE7Qa@MQ-f2)?7OzPM1Cd zH@pHbz*F%O+~G8Bao3ebyGrah=bZ2F7zl8CJBHgaD6c(Tb*<{|otX9$hNOt>7odDX z2M7yzJl$Kzq=OPB4<;}6CRiWXRogObd$KqE_;uZNDvnpL_g2ESbnQoJrF;u~1FIuG zovH=iG(|X!XtyV!u zT_^o@3*0?QIH$aOFbUUj99_eo68K$e9+)|knTKxXp_y&U&4gb@C=3ZUFDb9NhA>mY zP}?9Wft3p7L8Km-w39BB!;l7usdc1|r0Ut1(1w){Fr~~N=aA8MwnGUaei(^R!~|y> zF$t20&`|vqa}>rsAz_E61b-Hk%NB{HkK2Bm^w8i6oH2I{R+#9*Os0sCBu2KH&s1I~ z*Y)(2wZO)gSmjHl3&so$2$a2uAe{G#Q?KjEdjv`g>+gDwj(Z569ZM9+3_6xb(qO8O z3O;H?$F()^vAX?+j?x5MP0VzAw!eUf#$V2RA+bwmWmbDAybbb=k% z)!^(6uxf?z!g|-LI?p+{mnqNYEUS55h=wlD-T@Co6kMP#Q7OlUN;}6W!ixy@t22R| zEhl&h9_R#;q$=Km@OU}A2{tG2+0>~3u&*Y92EJw0+=4u4InN$=GQ-1@K8X~I;wwnf zT~1YzoUsSk^@i>#uffLLEt6kHi#Q$6)qUnZ0Pi?fb{2>TmrH*E1X+`(nBHt*Y}%ohgL5`CipwZLxJy zeh4DgF3YAbui6K zL67n>>y}l$_90R#FkfDy~N_k+zpKY=35sxT%y~Swbn07h%?uM`Eb=k-RL) z>|C_R*%=o4mmNER5Q1Wg%@#jI4CgoCa8Qd{W}B~!OcwaO!-eDbiVo?}>^RO)m*a#| zQY!!Hh4=8KrLKutFTqKh3REVY5Z$@Gd|8+y(HNouL1eK=r`U^P zse-*fLu4?!-}+#~8a|xWwmimfP=3r&ry-mIF^+ zZ~zMq@Uy#H`XOPRv9}a+z+Txa@2gKUSSpnk?Rvd_ZNLmN<%^X=E%{-M(-!A_v`y%3 znQyK+z$~#fh$Y+j0Z}m)c-%pNi8%y%Pzixa?@0K+z%?29#WQ5kVHZ}h1XrU$WKyMe zP^9du_6DlzNJLWg12+idv(?|JYK4c&M>{v$Y(kw52b${WY5Dl$4j@JPEyq zhbr0~TyVuMy#sYPMb5aO1~suqHwGe%__W3q$DA2dp(^$@ClzqCkWcdE%Z~9dsbXLv z3Q2V0B7-U;$_aE!^Vp2Qzo|iu08!*uw0}l(5j|<5M5upUqCmyeSQ|}#M+)llLCq+9 zn^7~qnv()$)=Et2bR-}T-zqP&0xzfElN%;p7=m?;txN@q{;4#x`Ikvd})~#sVM>Z PnRz84sl_FeI~dIXZb1~* delta 82 zcmdnPypNfQ>%c@N2bNQeTw5o)&5+8>jW^WKOD)$=%dALE3CPdPD+x(0E{RV`n)uU? YF?F&Bqpq+jmms?AWCcc%$uk+10i;A6Qvd(} diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc index c67066e..c24d1b4 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc @@ -8,13 +8,13 @@ set_property IOSTANDARD LVCMOS33 [get_ports clk] #create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { clk }];#set ## RGB LEDs -set_property -dict { PACKAGE_PIN L15 IOSTANDARD LVCMOS33 } [get_ports { led0_b }]; #IO_L22N_T3_AD7N_35 Sch=led0_b -set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { led0_g }]; #IO_L16P_T2_35 Sch=led0_g -set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { led0_r }]; #IO_L21P_T3_DQS_AD14P_35 Sch=led0_r +#set_property -dict { PACKAGE_PIN L15 IOSTANDARD LVCMOS33 } [get_ports { led0_b }]; #IO_L22N_T3_AD7N_35 Sch=led0_b +#set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { led0_g }]; #IO_L16P_T2_35 Sch=led0_g +#set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { led0_r }]; #IO_L21P_T3_DQS_AD14P_35 Sch=led0_r #set_property -dict {PACKAGE_PIN G14 IOSTANDARD LVCMOS33} [get_ports led] -set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led1_b }]; #IO_0_35 Sch=led1_b -set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { led1_g }]; #IO_L22P_T3_AD7P_35 Sch=led1_g -set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports { led1_r }]; #IO_L23N_T3_35 Sch=led1_r +#set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { led1_b }]; #IO_0_35 Sch=led1_b +#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { led1_g }]; #IO_L22P_T3_AD7P_35 Sch=led1_g +#set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports { led1_r }]; #IO_L23N_T3_35 Sch=led1_r ## Buttons #set_property -dict { PACKAGE_PIN D20 IOSTANDARD LVCMOS33 } [get_ports { btn[0] }]; #IO_L4N_T0_35 Sch=btn[0] diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd index b771ed2..19ae330 100644 --- a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd +++ b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/sources_1/new/fixedPointTest.vhd @@ -36,9 +36,9 @@ use ieee_proposed.fixed_pkg.all; --use UNISIM.VComponents.all; entity fixedPointTest is - Port ( a : in sfixed (7 downto -6); + Port ( a : in sfixed (7 downto -6); --7+6+1 = 14 b : in sfixed (7 downto -6); - c : out sfixed (8 downto -6)); + c : out sfixed (8 downto -6)); --21+6+1 = 2*14 end fixedPointTest; architecture Behavioral of fixedPointTest is diff --git a/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/utils_1/imports/synth_1/fixedPointTest.dcp b/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/utils_1/imports/synth_1/fixedPointTest.dcp index 0eed2528209a9283178d587fdb227132b2fbebfe..a4d3bf3b9468b566b47826ed030b8466c097239f 100644 GIT binary patch literal 21430 zcmagE18^=;vo;#rwr$(aj%_<{>>cjdwr$(C?H${8c5?IG^Vh99=RZ|jAa`u@_co4S_ z_tbRqo5V|TbWK#!=pqYD$YD^AM?rWtIQBylhAbNjf(sr#^ARI&zdsw_HjTaY2w5D+ z#HG12sWM=aFRbkOb`lRYW~fnjpV?W_>Pn(8QNd{zw^QrUxohyf<~Ov#`a~gFlpq4* z^8uho`$>$XtI-C(Shlu-D+{n88X&kpjl}=tLgncJmt_vhpz8ZKRYn~9E4 zQ9snpz3-k=)1N*4fE52*YHiXc8Z}XXfYkbcfPPLu=2jkNri%7fb}p)B&MpjQrsiAO zw)UG6D5E z&$6+bxgJ24Rt9J8M~^Q3%vX+_a<|A|W_<3Wx8PI9NiyryT28n=J`XHXY7&kH?y^!A zd8h=g>Mb}_ZgSUNY9}A<1pamT@~apSUS8EUSUsCHpIrLMCDNI|+QpMQbIg=H%*L$V z-^aw_y{tJRWxf=QU5srGZod$s3(tj;w&|7OZACgtxVQ|fAG0?ZmslgHf))OuOZ zTt`utY3x%;8y|gfSW922-2KfmxHV?J^b!zbxGs0iS-R540w4U&gI|l0eeVDD##u@c zo$LSA{R)-}T#ZxBtl2Kv3{`|YF#2dZ_zK?rSY*pSMo4KGcA3d?77TUA*O@5dddpnc=YY)wZajBHz{XwB#Yo#CyU}mJDf){zseo8;GqNs9*2TuD2rT zY4TX6yac}#?_8S8#~g8c2-`-)B6_r^;5u&dKYI5@8g39*B(CV)6~H7y7pXU-br#P3 zOZ7SQf)cVG5oW8)T)!fL>Q@hc3M4-;UK8DkNc5}1U#ggqL}$%PeZlUL7z|H9`01VBiqLlaA% zy{^=$sT&IWA9^rtR~7Rt7)K+2h^Y-m6NqH9M;@OK2R}^WHPTRurakT$7LF`Z%y+UW ztGT}4+p_9UC(n1rw{8Sq-UUOu?Rrt~VZLI!7XKZsg3Dw>d`mFkVs&`gNgsxG6??h3 z(211~I6O>vNW?FOef7>zx#8SZpH!&aM@hF~@6%^3j!+($*>#Q`7KDCMX;n3xk-R9^ z$MkP;o4sUG&r*VZ3wiS;iUOM+fjb^PEK06@Qexd z1}B5tVmjP8V%7I{_}KoZ=H18Wca(p$KMok>_?T#k?7qdFh8em}UhjUdBTQLGycpbO zXl%V0^i?$%tU&y5O#j!$aaoPxS;=u|=3f;nkaAQnaIT3l`fh2Wg>z9DWoA?r%aCGL zFHqs3Od|NZ^KkKT554SZr}$R)#GBi5seJ?Ku2(5D6GG?e?}VEZF!UOA-TJgC(|Htc zqJxJ3G*6-&{4!zCe&htq86O(?@d(Lj43Dqt2kdK@E>I>h^pZ7x)x$K3U>*M@2}o_T zu2~@d+q?JYqB-0~=?wuQBj-1b;`Ggd!Fyut&6eni zy8vz$Aq8RQ0pZtcVFxc(mF`M#vzj0FUxC(?=UNnVC|)67$HA-lgBu#JqiF-CazvVF zyOC@rECh-6Oo_>(C^^iTuYWEr#>or)^sgWt63M+62wuHio=D&*7=BXA6b)IMwc4?r ztNkP9b2m*2ts4MF&hvKt(1ea&X__O*=CTghXIE{lx>cfDy0z7Cvwr=+sRl!X(iCtn zc&Et#pKM)>OZG8_A0yBI81<@NUR3DhujZYr|NpIdTTKW08*WVp>^;|^-}vgi#2YZ3 zebP5--*=V|eH1va81@d-hc*tZTNpVv-Du`B{DB8Vk zUG0NJFv2+Q4n(_-gganJU){Y`;QTsed8<0MqtndQ{i5*NPHSuHy{uE`zYSf0wHbz* z_SpyhYnt-aCVXyW`OxxNn>=kMewo1b*T{|Pqebl0D0E~jTn*{ULRg~2rJ@9V8V8>! z7xH28cOp^D<;%;0SfYfbq6B^#2cDqr+nfZtAFR#)#u%B*szK+8-Zc1TF!&B^{A!WK zxRmFuYLoPDyqd-Ctry>JzR1S62?_Ayl_PAioHl2ekJ|Yy#B|U)g*+8wW&T+s6oB1p z5o|be(?Xv1n~gQqeB>r?Bs1Jg|JIysrvN|lSc`46;0=Ac+EQQKg(d-gzSt6Ts!)&F zv6Q(#%59o&U%XI{J=SfV@6%O<6ZT+M9(#XQ;YSp)3ucvUF#Qo#2BOS^-7JlQpDm1n z-F~EJdjQ6#{%9_-Dl9dfns_(6T1%b{1*5M-H z*Jcnn|9J+z=PA3+?d#-~ex4k^$0E>n)0#8LT8=$1s4WcS^B%pDypjzps0|!pi*YQh z;RC>7lDLU{hY?~)`P2S7(@o=F-`^SsQ&($Ce^ocjU{$x%@ruhiRJC<~%JLo+_^~{$ zxQ$W>{JbQ5Pom`iPdtcVdF!v_akF!w8$}DSdD`R5PXUzEbIy_(`L%6qq6Q9DAwAm* zQEu&bu*M5;S^74-m{(2*m4;Rld^pqXw`z54h1{4uFe&D2Cj%P&18}`IG}B=-;+=M{ zEb1BaS+E8e(ySTIdy^zq63z_XT4d9fGvW{Q1%UaG-2b!oS6%;S?Wc=3not3YGgWfD z%^X*M5v(4Lkob%ak0W>fv2Q4j*mgWcuJUv1K!~_KNp6<>nVih!e zek=}D6bN%Y-(UaB!iac`vI^uah@2?5?&|;*!_RwYVs^3vHwOh{5>{o@YV6-_X{LBE zXeV}WWws!m&^6EZFY9&s?5MxL3){2?yp4wqW!uS&6~b=NiN<0qP0u0FXwxN$05T06 z(Xv}hCgg*>z&ooVarg^kOVP^e4Oh0{My5Gr4?mX2thnpgz)_6ja&IHFWK6E2x$rg@ z*Kk-^z0xM0v^m>>Bg(B(8)fphs!mOof#7(RCVa^*3>o&odKXX%~y7^&Bir2$6Tz{t7xrG!YxeLpbbQl2v&ezXbxcrThz8paUe}89Hgo#GYVXReLL=__)YRhbe4GJMvd(oFlWP#=B zSty}xWpdff9j2|#If`{1Ol`A}2jLjfr^h;@8;<9o{2(+g%@4Q!YN;RuIsCzdn;Ppp z3!#{I2o9-z&WLwMwWP-w5GD4mUyth2AzMm7@R$KMXyqWiNpT7zQzY4B5r)Uc17pW^ zfLgHW0~TrohOILPRTr9upcBr3*97I@*yV0x2A=hghF*i@qyQr%Y6I#Ag+dT&|MEb+ zUFKA9QJF68UeULcd$iP(6HgPNNnlqsWz6l^Y_jGwD`e=#N(!qh!5!zJZb+3vH>HT@ zjL)b2C$%CH-wjtm#40X}irujhYub&vE|U$zqVjG;BC7EjUu8w&#jPT2K5+zRddj{f zl|moiZCnn-dNd5d^q65sMw2+Eos?ED3m)PR(_MmFK3@Qj;ZQXP=!kdvf71*5ALY1I zW@KOa+K7tuU4a#*Vh5Bp<8V&NlTbM&RX1Er(;5_fv!wyfC%Bb+K&@X3~a z1JWHvY6Kc~a3R0V$&(752jl6}@^KyGD7g0qjfT<^2jxmx|CuXz^Y{buk_Zf1vm6qe zwL^%3u&pvOSaY13xGkziG>)#Rs$wP@wlKX&Qb7wi&5z>bM^S(qpdI8TZVUU4!``-3 zk;h>M<6s@nEp4guUc?*-;Ad^5J_;ZO97JK6TUWOWoHC4Mhz|b4?wT{A*q+X0-}`d` z;gLNo+m&f;2?D=*f-kyfcU}c9;(_;<8SWYbyg3Lo$Nmxv#C?)O?29toR(dxC3-61A zVt1{P85p*=#MmPd-*q+#6jVa+c)j}M{*hzEw549w+QdshXFzQe0=N5UxNPXt{%Vai zAsk>ct3^8|%Q`C-!fBduP~V5-sH%T z?Hi;cHBx^ldO4~{PHNZNWnQMOK7lDC02q9VJgjHQJL3=(eTST?2QHxr3mPt-LHPQ2 z3%U*}J!=Z}B9jqv)0e7Yc0%0}DO!~#SiT^trUe<@lQ$SGhVYRd^#g<4NhBn!_BfSD zZBh=^lTh{O_c+6G>=UXfTQeg8j3p^)SvT#`cJZ0d-H;$Imhg=p^(%wiUF7K7dLLB8 z&98BHs|*9a%2^1BId6@K9vPK?`@-HFOabSe%FlWk*O&_HjsD0kJIMn#3rW2mK{@bI zd7m{&zNsbuh9B;148g8mik`jLt{4f3EkH@7dMS%{3Z?z-yt#g$-hO{?7>9cF3I|nr zK#8Q!hp#?2$JX4D8HI1n^@Kvdb}c7_{k%NP!P%vlLZ7!-k(NjvsjPKA%kvD;QAovYCu3;JgxBZieBJp1w9BFkTBPNFrf>>*{&?71 z66qvwlIxwGB6=g(XodXqQ);rf=BHGfDgAptrMCEqeLAx;jl4US;WQTnT86vQjs0^i zwupv9HMcU|zB{H(R}?H_NY-=>4J2WQV{?=-!Y^9;~M^7uCh%G13#{X1^?xWQBCXek$ zP9p)PbuxQm9s@xXpO4P!&_X}G5`_q}K;JFgpj@6pNB23z56)H0?D)psi3QWUUAC^2 zldscYi)c*s{h-joe!;=KO6*s{3{KjVnv7JN6mI|m2Ax!*#Y$IB4ND3~=-EBzbCTR* z7`c`QcNfnIA%D@LH(iFtn`8wr;Ql7UeJ_lE%IU(<7yN*-1&i{*A;POlTP(Zjg4=ed)LG2&%PhT+$=+%&E9l;h+$BclvG%MvRmi!zlw{ebm=u{njfn;zqLna7q*Qq{%OHN zVzv0JJb*qmA0B|1Iwzt%d}Dx5o8uBSuiShCpSdH#f!{Et7kcEOB~#VJ0Z)4jE6-oU zL_)VhOj1;Flxz7mNARK~GWaH~_}9FN(y%^Ek(B8n-V}|YRz&mSwZG^oaj>I}eT+m_ zfqvjDpIy}HCO;@{vCvM|au8V>Ccbt1B`{dV0!PnRh6Z%C^ycEj$5cIPLeFF&NQ+_awXReg_fkm!vsa zgUzv@bJ695P53{QgThj+gxn`92mUpZ#=gIwqK@q8#^g3XqppVKzlW~V z7N*XgwAdcPY6Q3mvWegP7>AecRvSmZv(h$3BzR~f&Xsyio<;6AspsdnDpbbizG9cm3V3&k0iVcY7HXfwy%zn$@dPPvIU@frU3r z^6XPH*&#~AJ#jeJNP{+sgsV&~!*JkZ)3HDf15H@bcH_O$z%FZAQyg!szys0;3WE6% z7Z1h2aC1iBCnu3z-u8axc~c`@*p`pkVouQc4%RA~0hF#T7Msl*z>Q#z1DK8yeK?4j z<6fucd#m&G5+W(C z12*2GdMcvI!}{MzOWj7gds)M3JNG;JJr4}}5|IetMqsB=2GYLGf9ys{IrvHPesTN$ z?DCWlx)-leN_V-YeLGi3Z+?4*T}VV(5;6EIfC>-&gl%b)A-Pzv?vlS zjy9FO?W6ilK5x6ZUxWiyc+;_*hel4O`%I%9G}&&K*pB>+3+4R!ofcsi=s+^zB#9f- z6@GosAPXNOtjevAB-F8mNro4q>q2dWUPw{f9%a{J1xX=MCY1k6EKEE8EhbFTB#)en z9B-4f2(mJ9@)W}Zif-DU@*DBLe|#|45o)%7x*wo?KtTWLdi{?kh_j`UgITf;z(rTX zHOGrQ`niiu!{U*vfnLXV5>YGTr@JspT$mnDPG^;r9&a)lTz(04xdKn@=Kolp(o`fA zDTk}sl++52(ru9Xj*5~=jn+-kubJo>u=dST&M&Lf&KR7uSacPE5u!BWWyQj|E`t5V#%YR>z zz~{5Rkx;u77AIXUX?&o9 z+?;6sk-le|V}ZlT*6KAGNB{TRx1(ltS8=ZOu{lW!XQ{9k_Sp~BWb@B-eB~M=Ru#yBbyzRMbwJv=!z$&ak zEI3Czu^g_c(M6@C7aJ%=eHJIuivNid)G=SOsfQpC?1IP|o*ewJa#}5B3WJw;Sh)v- z1p9Q(r~C`MNGlZHtdDi7*d2~1IKw_8Ani|`i9sbQ3tcgh2!{~n1vQ5Cut>SC@}70i zIfwpR$w4mMBa|p6Y{Br-f=jtuk$^KFbT=FluQDcx1<@A=4ajX?EctR~I%Eo2oj>_9 ztF_C31Tu_S_o-t`LVz3{MJQac{3+&5GIW?noz|lju#V=!(&-;y4Eqkjrq>k&g(2;M^nId+1K@~LFS$U*rPaeIr>(r8TVwPK5iyqsE$NvkzER{3!_ zh)h-yVeGX+V~?UQMQ#o16;3wXkt5W0&d$4ddqj#eJausJ3y^d1H%PDeMi zi{*9#ER!m-?R5v75$0bH@Sdq5&`E$_&xXoy4c|QtsxL&bK-5q~5Rh7#Kq)0&dju)* zh)*Nl`Ra@sYJ~g_Vax*n=U@{vaQA_3V~O%{UJ^=kO1u@Rl(-MgU!S&P$7QR~H;wT& z5Z9@s9E(&lXc!n1&hX6g*i@%a<#1+9%eql=77?eiCe|(bnVIw{{8aTtjAPR4;AY3{ zz{^#jCBsA6dR!qAOT2qwS7DlmtSzJ<>B;7~O!&WhqoE{Yeo4xw#XR=oU2xQwv_L-4 zajPs$R)S(^cDWUB7-+@O^X3igvo?!JcZoSFMHB}x3hYKY>09K{l%L=(-@7m9vGGxvCp4%B5y6jYiSWH4knMs2a;w>5 z7-zx;_lYrTjGmrz~}o-dWd+rZeSY%VSD0ghxahG(1PE{yk++aZpggx zg2S0FUs2_RT|V0w55*n~298lp9-v>%R^(&f&^}>~!Pj;5*%bO~t)*>}dqb4%ba}D^ z3|14cM%5sP@2Xs=za_(#v{d03B1$5M*PS4B3k<{K$5sh017~@wDjPKIrx>6{It)=%7&g;# zo_Alw%PfZ)QH!m6aKHMD^o?5nYGwhZIAKOD03-ujw@DHJf%AS$F_U=}Q8R4m*Mh-~ zRE!t~RRUdy_5%?CnA95G&P0cP{NQE{-`golL@%9gcl;auo6#8ejm~oq@|(Dqh6Db~ z?mdsxyIw(;EQ`+^nDRi zEW4h_DSMvnrWf$hNe{wD^DgF_kOQB=JBhAV{MvKzVrIg7nww!9X3gx}1hrSfVD}s^ zIkzPH&?j0(UC(_7w4TR=Qy$>f+}^KbN`%N7yt~f`nC#L9nkaS&y51`!THQu*pWzf|@G=V~ z3A#Pj@w(nhiB-6uJ2)vt!(>`sGbCDm+KE;C)zZdB(tQ~NvzuhAQPeh5HF8GM9NH?c z;JmsDRtb~23uO34(#k7nzVjsQZ}=v=-^z)%b!6QuX!?z-nn**K=%a4cqJ8Kt*IvI!it8C*lb!5C%uJ;xE!G4BQHH?4+ zmo*1h&CXkPuR!m`wa%yqQw>c8w~U;sUUS4xosmlx2wwxugl>xQ>5FHc-nz< zg9(@QCAS^o4ISoDh^Hh2AkhY$+z$R;he~Cp5H*s1)=_!oeeT1}`O9)&18O84Qbql#$E(YT zZDJgE2_@68Mb`d%k)++GNGvtll?5;5@Q<|9g%`(oFBYw@Tw+u(IX1hro!<_Dhe@Tu zzbFdn5WOg90H*KgHjx3-fSKu&ohHgC&%yUHi57be0zd+S8;3)en^sq_iND<{D%^3z zVlW{pjjZz9Ja&8s$Hdr%_9upxG&0QZEfTGdlD%+8!7~_M3T%L^-8vZ~E~tH0RC`^H zY4z|c;d7tgHW4$+n5Cw|M%BZi;FawWcHmGOSaT?OxOVH#cLV=h14X+n*E2- zuwFijX5M3sn(rrBv)z{PUG@9+xRH(|bmMK(4Zh1H?Y3Eztx>!OrkV-RiHG+Y;tg(F zSg0m2CxZ#H)+l!*FdBA0FCR;Pi)=SF47dI}*b$L@J@hbJRViV1o=Bu(6&NL+WSb@M z7Y6oe*=7x~@)hesh9-r!#Yk7k4$tAs3$$ zv#%r;g?H>T!IsU32T^6Kp+WP{{D95m;bgOgEntfY9Hg5RGSvOt5``_Si|Cza|Cq-? zS13V<>lxdL>+L_=7BaYiFQ1mk#rN@Yfy3RP{GhojM%&GWEo^06_IqVUcg&%x7TVLA zBKo=#n^#4wm%(k4;FLzp?y81&dpDrR7JSv6bT;y99Fg$J*mrR$CaB&Bo zq(yhs5tm6cQ=FqzDlTDNfN-1-jO5|?=;br*yJL!-@kag_P?t%xy2FreUmzEIb^X8* zsumV-xrQG(ohuV^{yfdJFnh%>;$KfRFr?;tKNF;ME-?}3OYI&7frO+Y(0%@dzZqz)$}N;+4KozQ z4)){zv$)nrOXTYF$i)@yKk=~s*jtH}JILvsldp^Et^FipfGA&+g$zw5=m-A#C;noQ zq#lEKeosU|0RtSwa@j}-mD&!}a%II29A%kAu#H&xkX)JF-yd&W)k0<70@WbOMpurd zpLCQF*EoJyDoKUI1x z7T;%$mj6Cv`GfYe*prwAk8)8UAv=9PWg6ePRCnr6l`L8&L;CWlgxMg>P6Hgrs)YC3uQHi#;Gg*=ex6>C)S|9I!rX*>T0##Cwqm|HRjMZOYCgGypTZ_V)^tvm`ZO7sW|c{w{eI-D2d+`lrVyx zkzc~{u)5?^{hf-i+cF!sF>^6*o^Z}5V8`{;Q`C!TJFdnx$^BiWxXy9L3q30iSqGWpw{U-o$L2H2US9#|ut&Co_|@l2>l;uR`YQ=1ub zvp)O&B&z>zOrlgQA=8~Wpi+@wJYr5dQ?#fqRrTWG*1R}NBrS?PU^H9LEoHE;vVA*@ zI~Ss+%f%B1?=Z;+Y0mS`XwK&0P7?pa5L-r^S+snag4ZCUfd5i;^*El72a;rDj5z@V zo+Xg3rjA6^5ohOdP9_Y#F0O6nwV-gGfZ%pWq|8%=92bATq)zOQw=Rc^2!e(?p=Xw> z{H*#FV@@*)g;Y;WY6%*zo`BrsQC_TZ5 zdaU6%yDRYZ$+$JtO+cnTR2eq*ouuKuYssC&{H&5}mrrv(S zIv#V66T@WbNhF)_dt(@uT(C2P%_;VdBm33+N>D7lpr1g6eEcC*0AScrfJ=I~BleU$ zBNY@vIfC}qyo+Zmpd0K8w?HS9=Ju3KhbL6?PRqGt{+V@T6rE6i_%+D5k1kGuCiZsd z`1i2Tg76XY_P{HD1^$ZWEAjYs43|>dT@ja+nd8GzZV|$0%ucdY;WR>`YA z=o9_v?gzY!ZoZX3k=(7%(<=x3dq%c*r==gwT+W>+2!C{MXjUzZz{$t*AR?e?e0h?PjqcWK_fubVRr2H2QSKOV6+*xU>%zS=9<#X~61^>a z)_TrN8LMY19jn za53*@Sw4ro?6dEBU$RN3r@HgETA(iw)ImdKqyNijdtr{zfBZ$0BHslXmndA(|d3IKwm?|`xo5qci*kSR5(O$ScJQAQ#@-6 z#;PSkKbK*EZL}nx2GJvIm(vU&eE7(IPePJkvhNXJy07}oWB>2E%5bvtNnL(hTGK=h zza*cO@$5gp|IUYn+~2u5{qSJ*Kk~ovVZZHMEMafW&5?}^4R;Mak~@iqgf@(-+2San zhm?h~(o=QObkj?7Q?%kUP~vjaHBb_?bqi8+QVV<*NS6jxUb1H2c2RkZa42U(0a*|H z&i8vN6d^$N5)=>+e?tO*NRjLTVD%0<$h*@M5K5C{yTC<({XD?`J;oHKDL#J?ARrl% z|Fv1;{`2Fq)_lzd6P(Y^YLlaJ>02hOZBh5-ugs>K9d0{+t9|RvFyI=Xa_LKx+$G1X zTj#g0gNu(=4qv6_0$2g@*l9va)NB`qtp;*@S7DvzgWoIjEF&EZN0y+TFhyTlrB)pE z!VRkQEF9jUz&oSwhDg&24g6LCZ5-0dxkkN$t3Blr6MDx4KE`hQperMb( zH@N<-9u7NLUHyS7XgS+JA(5cuAXXBQOdblBz+k{mjh~` zL3@YDhKq29aZHdlL%}Dcn)vjSnO(`Cj~%SyNG3_@=)E9+--^>-O*Js7(*Qk-r`{Bh z>#&5xkW`YftOm?z&d7mKUNrDv780#Uw*6X)t_ZWnVvu@=HTW6fpca?R;fjAb?ha`w zIcn9==B8%R&(}+KqcjnTMLQKCcfpS0ZPPNllHjKh5HR|%fEmqm60s2U=J&~T<$M49Y*?b#i6nigZ5e%K$!Zse+_`aOyTqKV>Zyqbh zca0JTO6Ti88!}j>FpH(`F6^?Z<}IYmQ1v5+$5S2+TZWb`oVM_A?9H}bir%Yr;p;El z&3{!aPg5t}Ji&PSD>$Nvd+T~WvGZE%Z~DtSSvc#-)pq*~yQKBp3ra2;Z5%u{5!0jo zjGX8nRmC)0Abc|siY5TwgHB|a)itEN@}dVPQA z30@>sGc+S!H_m*gG2V1XONR(6GxYwC?&1>^vX~qW5RlZ5AphSavxgn96i(}rrj3U> zGncps(a*uo#mx1eGqVvZQ?HlBr)MD%UU69ZbnM@^Zo(0n(3A9kOd&t8G=8?3Iw zO&$(&T!qfMfhmdrj!DqfVO_I*xLtxY0zyp76DY{U$H^wRWU?GhlawRc;gi>j1NFysGxLK~AB1Co#vE$~ zbljv=^Ml@y{()p~;$IE%CZ1ItdLA@{Og_XhlEy&w*~9_3b{PXZvpfvL4l(&6;$Xcr zp;UC#U0Ti3`DwvyJlPU=FXHE;CVv8FDmTC;ImQyH9n)6z;h$&aIGl0H^+MD6IL_#s zjQYUo&D}@9?C6Q$PM$7zVNt@r}!s)vnS#k3EDpJxdoA2-KKFiL3M=G0id>a!FZmkPHw+>>j`N}pJ>w0hhuk?BXJV=+`yi=Wn@pQXCt)58#0)m`wIR0Lw=!oxZpiwB< zAF!E|`p$1DczHJMtW4ts0l2Hi5z9KxZysqa4SWfQ)_ixx6bX?KRA&M&{hJG3WclAV$(~k*%7ASZ>Pzou0Z2HR9#wcrp#>PqL_G< z&QTzP z;f{m?7QCN#fl}k_Ge<-;#`~1g!1Q6K0U61AX%^KjzwqAIJ4S`nEHQ2* zHE&Cu5zH)ZRMh%L*d{>FK+HIRu_cN9hHqpZCB)|oAceD{SuxxD)2s8$F13S&Mw({% z2<4?2V#ip|SNMH1h7CBxXK8_kA&LEEy(>>b+2ML1y_J_f@fYkgBkSR}JcP~)AMb6o zvI)(6fLFp-nRdYngvWOh&xC~tHJ8E|dexeU3BSkDex`WEl?W5^dk-OmTwthtdCR?t z;KQ|PF2lgkQqzvq9vGEXTG-b0zU)z}9l^Xu%2GdWiW$C4<_&52h1u64SEfLj+Ia6q z7vHEs^u=$LFaq$l)$&qgkSApN+aRZyz+DST74&x9%59H)8CV(Dvtij7@mE6@p zP!lfR;~E)>Wce&fuQeo3m!_>x4|{cl2^mSyjkowtzAWj=qD6$3x)JLQsj38IZm|hj z#j7?KJR9c3lAi2Fu|Rs=eXVtD{DW#A+d|k%w&8^%LSpE@EZPC_rye$9wkP%vMC%ip zFKZjx{4bG;v-`P+A>^S8t7_}EaSv^4PROK8&%09A+y)D1DD;o3Lp~TM!+Qj+IiRs< z>>Pf@C=;t5Rck`BLi*srTvS`&60t*kOy~4qtbYsnB|pE3h8$etai95jaUe*gxNCzd zU9WI1YJWc>FGNFL=Ws2W_u1GZ`s2QWFBf7!8=~UR5;Gm3otP0L9T}9Cahm+1A-f}n zSdaL501GcX+iu9D@o}(7FgH>KOy&bs|;3ldvx)fk(dOVOZQ!+_OEl zcqfA1f;Lu$Z}NKzp9fD8H+d{VpU9A_KBAx+9O7~6Aa*T8A8`Hq3NuXDZrXuM6CwR(uVi!v5Y+E0S)&?(e8z-|LLr2z>*G% z*IQraQpz0|E|Gu28%aCg1xoYgjG0F|jv(i%(18>`xmhJ+sCOxIp%7doyh&PPTi0?# za$^uH-jUVFLOm?MoPirfiC7u!FO1v<%rQ$UcK-(*F)IxRw@jz_&nd=kDv! zGiviA$g>Z@BLd_Ye>+d%2Osd{+Mpw3FU`NKbETpgEt)>QilAnwg6-{cS)fR@Ti2lrQ^LoyX zrL6}ci2M-hc#=zgP8^Dd21Be7KIPaI9h5~~ zV?Ia2G&KYbFAYv5V| zU!TV_%Hi_sAYLf$>Q@HJ#*FCENU3aE9$D_p*u zCE``(_lar1^31>Vl^$0EEw|T$>Ze9ez6cayh;x>H4=pL|S)Y%uT;Z{S4GgD$VpIr{ zzlM-&v*DbBCUY%r9SA)CAx{c0aG?q0NVYv%F5)@-puS2N`Akz4O+FE;0s9rps-2 z|4^=(sIPHnmJ4fj8{ug;A$4SHK>OnuDVy;{iygE+l!xmRE0>vuKNB!Qvt$3nq3Mxb zoQC?zBk}o`i>NQXY01N|W^C|Iq@4fE;d8nA^?t!O5;yp|R!QNO&dn5kP9t{PCaAz) z-Th3nUBSWG9cX8)$$HTMA;@Sxd*B)(d{b*IY8%qy2cAu_zSp^bm1`+0sv%6VhmGQ1 z^hfhH`V57cGV((%!8f(hyc^u>8i!Sgg1mpPL`E&XwDpj>e07r}pffVlN`BwzR^xh`P>zO7hU9cmzVWhs%JP z#5+q4SXilwur8CK3J-?kyRg`M@c8KLZovf%I}S}%s_g^I*og?co*%9;jFe1pi~#T+ z3{>ja4j|dsZ^VR@Y#ayeV(yQVxym#X-^y>%@|Y{XVQYN%gE3Aa><-d4O~^Q-qDt<2 zMZyKPKD}P+fFCsGMvp0!T;lcChR>6mZI9O1&F~kmw#UHABKIJe1p0BlpY^kFc*y0R z?vy&U)GE#k2ML}^*DY21j@YD+eqaQR)MQ2|&BI&nnmQR8tAT-@VG~ zRQM7`)%oO7Yy9$_#X3oM!A$|hj&m;KMEaB)x6o;8(5f5)Qw0#gQXH+?rtx%;g|Na0 zo(N;{BPQDY2CSI6yMFVB(uoCJ}i1j-3p7y}1X##CMXK=aWrdnz3&iGZay3g^q45 z8&@AeD^A`!O{99f(>9_^X?+NTC%n3FpV-_Jd3Xpx0oGbe;l}=~2*TH1aWcU%{?xi* zo%SyhJ`{E9$iPVKgZ;Bzq&{4tqG{U_dk_r2NK^qAzh2FL*qPiv<)a{C2u-O;qJq$f zp66d6>}i6hRup&9$vP-kJ7i`vu>Zs=P^iK3iECEP-qh^y4o0zYS;NXfgQw2LSr#O@ z7Bzy3TEiFiqUa^e|K_>1h|gW%>)yNv0G|psGd8o8cP-{xwv#Nq);vUK=&4oIyg9Y0e272Cm6FI^rXs<2Hukq;Vrt9b) ze47#+)Edvs5v=8uy&9Nc%RQnkr_=F?{_DnEZsFaLPjrEGXxACzKqnVXMT!BIAJg(x zqn|hLsKaH=&H#ghHtSQAu~xg*u|+r^KAb}cVZtHM`&p>fHt zmax=zF-Ic#SB6OUke!5u%0sNp!wR}=6qVNZ{r}W)ok3A-OPHJ`=O`ec5|_9jaFMjc z-9=dPiXb^GtV98c0!kK;xPW9OX8{30kR&Amxb)%A6HeEy?lV!v?r(S@fTEo3j|&N%nk zda1tABgrDUx}mj`6gHb56o5-MEt<-0-MU1PV$k;$2IsC(ndT(9>M`ExdJGU9@tvNd zyM3t^Tc@bY1r8CUhlB^x_+(UfbQnYe26815gR{YYlf58_b%Omw*FTfwZ9`}I)IrjM6-7Kt|Y{@`xf zG%hRRTIiEHokv^}h!*m>t0|XNym2E^88*}SWvl$98*w31GiwxaFq4gm3w}~%$|zqs zmYhqv)RH2NYXDg2#F==_T6xH{VAybNCsEbYYB%%(JnQEarJrxNuNRocYAE8x4 zMwwq`5hcH*Tp$hS)S%k-C~aY~wadf*LGq;HnY#u@2BcF0GQ?6#X9y4Gr29N=Epqi}FTZ&09t|($+j-DZux)iD67u+6 zjJ}?N@rUzKYb*D#YR}|I&PlM2(dGS;PH_#NxJjM3n%6SbeVV5vb?(x^JF;A$l+l^SibY4z9Rv5#cs1FP`rR+B1l5_zPcjF*jbk}%~TFCHbZkPqe zt2v}NT@2-ps@nKMlEG;|(&Lh=xW=XpaN8Ko;`=mmg zcDMltz9^sFWPci^B^$a&#IW>+*`rn)Rh?u#zv^VInLENca67$l%47SWF|EOVD*I=> zG;TlciBe5-4$7FasPu;VZLs~frxs1j;EKXW>hU=8mx1|Uu!?vdNLo!j_OdbVX>mjb zcq%(o@fh0f_V8Idb!Fe#)#ft>@E(8ffzh_PUYzqRWdTfH`m~~X@-jXkDky>a- zl2#SFd;_Lmn#U8Sn)vUIQ2>3*2P#r`B6EH&^$xsxpr&^w_m1~GmunKX9Qf{aby;Vo zbU*1MZUgXOP1t~2#&(9!urPu&WQ!dbKM}o3rP2;9GICG|H;Tiv*XpTm{a~Lj)@Kyl zM)8sfK&n4mClskpzUsPIA2ge3f96c8tJ@KbzgDEPr4g!M&+>f%B)1;rbv#=dUYjuo znNOWO$=ac_YGTi@{a&yPTAFL9x-f&0+f!TsBQlbmY;iNkj*4wZxdsmg@Nkh<_}#`= zJ9?R2)jS(3s(#~P<6P9@i4FC3eEtW88ErMMyyRV0lp=BgdkgIIh!~&u^zzf)h%S+6 zNeYTCRHCiIk2NCrcTQz` z(>BbiZ*#mO&eY)yrekL7Bv8L)o3H^M6ij2t!F~CL?{M>`!+0mb?NKd?jaMmrHH+uc zjERWwsaIQX$1k-uY<~zsI}|?^{d8}n8K)ezKkt!iaPDerMUF_3-W;z7`2g=vOP$^2 z_O>ON<*j4-;+;=E!ZfD#k17qoBZ z-^)Yv%nW;U7Pl0e@!=vUG!g-*ds%NyMWz@%yOw~T~e5`2=w)khZ`@-_z zp$=|26$_+sjlfD^QkO3UPT`AXY!gJhKB}Z5-MZyLdAD=*XS(&5>(_+&;uqh4nlLH$ z?Viwyv}ad1CX{825b+H#2fn9E+%Bb^aK16PSO)Gi>WmEVy&US( z?Jjnl6mx74UOBS+EP2Mzk`WXz`Yy!ErAcZ8@4AqpuyYyGd{|CVEGReC+*@@fn)F;O zn4G94SeC{Ak{&|#DD9qeR$bs737(2i1z%0C61Jna=!5hRXaiT4T1`D3T?PTKP*l$; zih4_wxSKbo;>IqiW^r)ZLvk%kG%1qadfg0V9`#;kk2m8?PMEYEAjUcE*Pecm19wcc zcW`$RC0lYKdmo0lD#DM5Hu_?)ibq=~%@ey6HVSv9ztQ@BqKl}-T48eec9kf&gM%}3 zH2O+Hq_p{X$bn(<^2H8LPdSr-;~1B@49Sy7>VXl`#Uw-gDMPHx@@_h_Y6C**N505G z36OcCZjsc*Az@O(p+>~n421vu3LDC(h?A4dp~eTJT$4Uvd1!6psW~`+5~d0g-lual z;OusnjCnhKKi?)p2~rlKa!P`<9MQ1_ko(WC&UfdAeG@#YrSW;+4U;`p|E>nXDpmN) zSGjyH=eD5^-&SYlf{vv81S_!IC=Qe%66on!`TQU={WUx! zWLm$&TtLx}-~QE5g(ZOUlJc;vV@h+|kXff+hO#y3W3fx3cmhD}$V8=%7R`xnG9$c=a|(m@lBhun+*5U?uSGN~BFL;ZMrC>^WtL)ndv6KKagLgk z(KJWTDx*gN9;ekZT%(U58YApsIeL6r`9>hvxCF^eAfZN2)b>)F#BZ`xYQ~TBya{hB z3x2{rWDCCtQpzmmv{isQOh9JLM=F3pmgO!ROgs< zZ%EhEP~Q^0MS@+pvTRW@coO3|*T6MEJW!k^z?^FRb}gacIZds;Uf=y!Cyi@~FTm(rgYd<9${EeIuw1?Zd=U5f=4~8<1*%d}^5=oqW!UZB zVkeUihuYZzMYN-?#2*6;xPt0f16%!_%${QND9^P8tcJjhoTS^+6YznknE_>^E$D{M z2;3?3sE}E(E?-*TwP&mX-=pZx3zpJCmTjoUd?LcyS)+UAf!o+qLEqN)WRW$J19oBL zOEQA~6qKzjWVz9v~Hul&>Y=Tj_yxybaivL5b}UW10Zc8lp&ti;Zi{fytkABJruR( zvI`Z}UMyLdF_TV2I}*nE$E14%e!b=2yxUZCx3K~F<6KsGbO17=eK0ucHK96F-_qJc zE!?q~%zzWkI_Y0^H{|VI6aiaH5K=Dpw`TKr9^M0c ziE%0X*giFz_+F=a+V@|4#+W6{B33ag zj~>Zj9cSka=uJprahjjEqfgb4+95yjLuIbh*2^77r{Z$^d7~ilM${|m#-ar#0@`%u zL$#9`*fAx?)6X_!s0Huv!DFm+Xj9f2+4T+^e1r@(ldK+g{P-zvT&$l$IW2;WkxO@L zs<8AbkPB|ak{kyhC~0rL3L^6poDcVXKOgGp8#e97RGVxbccc8A!W4hf!#4`duId)R zr?hYmnQ}=em7&}WzgMtSuUme>AAhTgdkp!=TenbOpChlb0IFa#V{cbUwSOQKQ;Ggh z4Ph>3OR?61Glz_F%6(Sh-hVW)u627ZRvOaPE(O)ZMn|@PZ&rvlAQsw?Shaz_p8nUm z5EF#i2JhFH7*Q+xc2;MY+b`29};biBsI zV@lZmM*qSuqU#MXCh%WD8zuv0(a&#&J;6U2ew6@WGGLbE{9^dqhzUY}FrW)`F!7ib z62E7l0{8>(g}{VkZgRh0->QiT|NoYUNrD-hev?4Je~|ngsW2%pm+x;1XUM-$pcgY# z6QAJMNK*9KiFW8BC>E9wmWnu7LQ>}`($i4e4rZ+`t_^gNv{5$q1gYu*jTMbt^mU;k Pmg@Q*Po07HVRHWgk?drQ literal 17806 zcmZ_0b97}vvp1Z~#I|kQwskVGZQHhO+fF97ZJQI@`tscWo_pW#tp2Uut*YwkUTb$% z*FFl;zd=xdfPf%?&K#?icwl(GTY!OpB0zwEz<+9u4DIOLtgWIK1sw|*Fu->2->8|g zTENW(DrgH`M4ILW?GVP=ibn2pC@z3)l9#u&P*;W0T93W~C1 zQDlS1FPqqNt|jl7Pm&;SZrHIY^<**W$)J=b zN6Z^G!+jy3q+~pqaONl@X&J;;t`Jg^a=Khrx@lsx3TgAUno2e^eT<8T{X^>IG>cjA zj6ZXNq-+&ezepfJFMX6(^@&WF=xAu~8+GD%lE7<9enAZ+=6q*pgP|cw9v&mLV7+|g z_>2SYw1cm69`*oAXTqA#fqLU}ef^*c`)^(L(Mf`o-TKsOjY*~`wBi*&uANa{Clb(T z<99F>h*sdvE6Pq%XG$yP4`AQ-Irp@$L}rh9Q@o48e>D5MHB&qNd_MrKN-Oa?KG>a% zsr&UlA>-M#qwrr#`&D{EUI7aPB$EgPg!HqtCgyI&Mhdp(Hcm>$j!tyOMkZU?=C&JL zXdyi(st8{U&HPO*IHu+d77($7O`6>9=D%^j1cRqY@BdJu$v;CfyD^8#Y} zaL?=ItwXlpexvZgTiC&^d8v$56kAC<>m#?%5)ADmhBdQij5Ucb(6cf_*ZI5CdKb30 z1^f-K`&hW4Q#OFp%sVzW;IsjBol~5ed+2@9*7?SM&Ves95~Zn|Z@3Y~=$&5%j}Swg z@`f)^=UcDNclI5o8&__&x)YvuPJNI138$nFngcHz?@=HmcQ8_O77Nd* zRs~b&8Dn}s^~wzxKJf+8r3bVUg>38I=oNXpR1m43<1uzdzB^A=q94aazCrc@XjSz6 zPW}tDGuzlyw*)fkYHyz@=l!0eSaV{g@a}ejDqLl2>5egccl@~>`bCR(|I{Wzv%E1_ zG_C2z_iZqlt!oc5Sj1n!pwoIJ!`hG;eg2~Z4(3$ zmUkD|SFpr^v&2Ih zqVMECnNW{SiL<@b$jBbBp12NN63IAG(O>9&I01Vc(r^domx0@{D&R0&SQS*NqjY*M zUv}D!ha(@XT-dUUBg$%U;(5*-NDF4#yGTuR&2p(c-3yhH-{^ci)p9GEf!X*6)tq8< zQyWI+NEdT!&sgQq1kn&HiYmoo-zd#=_e9TbJ<`F{smh|7O7P2O31@*-B1%9SeUICH zBibm6ITR&op83)w6X0n@wYxcv^fRs#m@xRN-w~zXulElZ^50HQE{DXC_d6E_mq+)% z$nt`p5OhgfH~+*E?3jpqaC3JAdcTHW(B~H*H1&Veiz1qduDrJu-~y1)nXLjymPreZ zU9N~oOX92|(9`{Ojj~bRc@{H~-3Ey8hJLO4Jx@z4=Fhm2Ca#?(1+=%4xme6w?spBZ z(DVoah^SMims%wy7W9?x(J`phcvw-4bKY=<*-s&MO!pH|WWh1Ve#Hm(GIA%eR(xZw< zecL?7G1fVZVz9MfFFg_SlnkG+*K|Y za!wao@MIitQ@EGMD7?8=5=Z$jAr9BoI|u1Ea`o4-x5O}5sfc6zP(louI)nJ3#HHB$ zIA#Ah1Vc=^lCHlAbN31L>a#E^y_PoLqse9hLxar>X3X}qj2xy}k7M=;@0w$u$7q!j zjrn9dHheuNuLfso;OhxDbES^(Jr$dRsWJmzeU9%-?)&{4_)BXTn&apyxQ9K)^Dc~1 zJh$A;2dNcgOsUK^6)~z zOUtKHdV%}Yl{xm@B&Q%VI~B7VK9tI8fNi-K?Lp3W?>Qpxc!gs&<}rfAzwn~Ft$$DL z$*5@0uo}=A6X;U4GFmT%C;nO0zl7H*oZ0-XQ`HappC{*cUbXiIpBUFFQ{2jzxAXTl z7T_gndrdOLYrCY@>Vy}%ImS52L2evUI3=VV(lQq#q+2-gaBiyb9y+wP3I)Z{YN9ew zDXN(HhcfDzDzh~x6uQJkfXx{gYIX6VqUC?`oJB>mGZ5NTOdDsZt-nKk6WSi$D=BE{ zTt~^$Fn=SC=w@1a7b$DCbXu3_T-{rSisjso=KHH7+9n!lX|C>CH+2(6s;SD3B+nwO ziXO#sJ8MRz5~~IF8YFR@i)|F<)}?6wC%5=dE;s9-y{A&S=&gy+ z#;#K1>dxw1D*JBSIau@4A=Ok<^(;xXhI*4Ky@O|?eV;CN;mVg~@lDBY+{t8>itTRvG)fb~A+=P- z^znHb$Pdl^70|oNeYP;`YBradH_9EdY=)N{eo9||U;OqM@Ow8ow>5^~VaiCR#ZX)< zu{2?lL*dthx2+6Y9dV)Vnqoq_>o1m};IWp=(SIQ62{2s&$+-FA%j8Dh+)QsvLa1w| z&i%=}xlmP28r9$-D!zf1ALGJ?G;fyX%Xtf}|%uGZb!~vZvqUKT#+mqtbG|5g=p{&S0ZF}v=qyv8=pp8Ck zvDG?0%TDv}l;?WKQZbIX4paX`Np(}8!5$tQiX2rcqLAw{eF8$g#?(ZKbdv~vsv`uC zD$l@vs@s%*ss}Sfy2~suM@Nja##K=roi8Rzj+D=mp?@LW5)tbY;Biw^=^WKA#$VHI z(_D#e%jxnwwTpC&-&7QCYqtX?BRgBV9j?l;d7LDBJE+;AT>qL*gLZgUO_WF}k0&QK z864T&ioF*z)_CJ0 z-&=5BNEM14JBf=L5PC$A5h9DgKuo_)5|Idz$oFs}Aw)K2{3$nyNQlwrd+ZVsA}gc) zlov%Lz|itNWC(xCp1|q1hmI05zGYAENDT47$bOjee4(pxp;Gj8!$_D0z{&q<)|{xR zXW1BcyW=d%o~W@kccDG_VG35LO=A&a(3WHYh(9z=?O^$nD%wImwoSKUS>h2XBOg(y zZM{eveXKOP7-YduT!i!r4g9mt;;E)|AigY(L~VsYn;Sl;NWA%vOgS7T&psO*>+9wE z`Txdqszm5RTcEU2euMqvpj2=&wooWI=hShrC3ng)rfg?gp_(Hl(+!{-p9lNbY6WmI zzC|j`oK9-cGQ78px55fHUmUJ#`d%EjrR`c>1ULS<8EL)T#RwyNdH&HfjF?rLdW z6R7!QyRg=`KTNl(B~?F3QS!%gC|bL&jl?E>K=$M)0=q-c(RiW3B15hMmEEQ9I4Yu8 zo-rGd+U6o4dWyJDg-Zgp1wf9-DGz%A;V$)|s~076VI-1eRKymKy1t1NrqRYh&OVO# zR;!f(MI^?k=qUo3UbYc28YQlec@4v7g+j1-Rr}f^``HU`C7n>5$QNk&tR~)gbXqvavzxIi+PAe-gS zJV!J|?21Gg9iAp-!~mj%M(Kn9=TZ{@_*+!aAaVWgZW3oN)&wrR$bA#>X2+tI1xaf0 z9DZIm$i9&tZ6-wP*9Zn`egsQ6M72WV*H;Z3B8N8sv4VsQF+X{zsAsE27^DV9DX4-^ zLc|Oe;*|dA9Kk=54mF>u3kgx9SrrSpp*9}Vtk)&PLLnV19E?_#7#7kMc9k3nlB$tH zCC?bGcyE`^&lAigR^T2|La0w02pz)3eisd_!B+hgdUrlvn{8f3ECIs~y%!aOp;5fydgBzjwQwR&l%X3DFX&lCPmKyybod8M9=H@7v|1( zpE8u(9&%RmP4>p0)(BhlT{0j4h5M@Hc}W+LZns;qqr2{T?&RFmF-v`U(bb+ z6LT+<{2gykkN*NZPn>J7z0clr?_H@>L2`AYzHi{gHz5ZCua=<5Dz6x`hUm9$f8mkc zB47AGt5C|cpN!p+cghEn1lgbA=jM6|X4)hYSVmq+wuIvWb(raXjrI8bZM?XcxNsMH zwzJ2a`E<{kuQu+omBbGqG4`XM+wSqyq0iWY^XQ6w2;R=Fo?iY2`ftk)Fv42+=f|Rp z`2q6(wd~Ao3>~1yCZ{H)V_hX_B%@p>=p&vdrRk)mWT&VlC+#Q3=;@_tnHHJZnG`AH zXvbwH$Eu`g#wKSP8>DEYrblU{l^Ix6859_P8xZt^MFZS0=FQ@u|CbCHj)`H7pg=&l z*#A%K(!of7OMSwISQKSwPNHy;B#WSE%2+Z!niEOa8{vch@Y|+A1 z^@8ZLu3|hqGcOG@I<}j^pGb08~bXD5@FwJeu*Wuq4AuhsSymE?+yL@mMsdUs$(7JznzB4P(xzYuy4ECy-ap{WNP5W9x!J3e)Dc883IEZ zl^%5qb>q!Klwd93dYD?BgsXz~AXJ{#W!d5?^(y7)SoYO2O>xV&;;O=kVqJBPh}8;I zteP9960N_I9NeBQvKXa~bJQE9s)gFrYEYjIoA7?o{<(`ZOuh8H7Dyguk-$brg7p6eWa9(dz z6rxI41^Zz)|1zep&Br=QG$}*df11yV+V%_}ie@L%myM|I?(t-UF1=qc*$dAfB1ivF z{nbCd%Z~)KydPvgMS_QG*6f#r!AqtwbR57?J>5SuiU_hmrle-#{g=SjIqmXYWFuI! z6gP})W4fja?9`2+of5-7Mq)OI!i!4oT1Tr%oNmRBW5sRN6mBmiAJBu&G;6d<{bq^l zkxxr=+bQ0PGBTh^5#QG)xi2%j4Ed>}WPCb>t*q{a1}HE&QD20EB#;_8!*wPCqY^IsOfp82=l;O_4!JRI=sw$2NP9Z&U)jG-4PEaFWs^?qNAUKBcyJg2`QOt&s2J$ z8WEV8jajlL8YvKkuIMZ;U#7SNnS9YrX^qgE(Em$iz2~X+jsL=Yd0&G*a`+DDu5E~e zb~P&qM>=0%<2UrIZ{)8>{X^zxVu+ULKDgqS74;PN(UWLWa;w@n@*R*qd~8BSC3d!u zXZVQnikq~W_Qb|wvOoHSs^^o4cYG_)T+`<+G$9f)grd04u-rJ1rJ`eUJU!7uq$?E8 z^L6v4Gpu6?d}L~VME2z}Od6(c4qh{5fSkz0$jwjoltv%(YA*N%bm++S#J8`{X zHx+g%N{vke^=Bt+#KGL&P?)4 z87nR%d&nx0pIyKi%l93CXEm7$J}VH4nw3j`cenY)(MWbaB-_w5dlhv4>*sFQXDdg zQt8crbGG+Ojaa_`LQf&>xg$6IrMQz!9XG{#@Dki0@BFJRaq6Y+9Vm=bg>-5rGB@g^ z&IGfedd~8Y{tHhXRvOWOGX2^DQMarU)^v3Jo^XcHZ~3x+Y!KuHG=Hlrj%b}?UGpv7 zew~+E(L)eyT|pOQ2u9M8Rv9cGGN;a6vRw*{K%i16g)5Yymb;4ZSp24!o@%$)ATI(h zOq}=!M*?+73!d}A|KG0}Voqk^>>tk|4hIm>e>}$j%Yo=n_pCSUST$?qzx!GigQ9qW|YclL!;30 zl20;OywQN%bpFDoFnPT-0-2AATm0sO!`v3DfK5tP=w(ypWm2~Z#hC%s3PZ`88lAu6 zJao^5M)gx)DCUE^OrTB62x$#cuO*sskYrCy~i(d;jRR4GEw;Hc52?2N42uKDB#LHRYMgBWOsfRjq#^%s8U~vk? z>q%+fkP*nrQ=9@*?xIHh{)mF0Une*2DVMlFV8-OKQ#c>4BmvgG{?3|(Lw8w zxXs8BFksTGRTzzoyj0G3h*THss&IYy(z*ojt2QZ>SXyXyAcfyB8!^ui)^!v&D+AhS zhL4@hDMP6|TANn_cDd;!oMY!)E$7L{h+Tem?xmZaI^)RO}?qBh((yS6JsFo*LW&I7EM{m7(F+(_O@u$)~^?3`di3)9Ini68RVgk4I>rX z)!`T}YtV`t#~wC5)T^IWgecKseZ*RLdyi;7ZX=2C=g~ti!gXCOF|&_lMlLG-U6d?T(H$QiuQ(?~@d z+kt&D+0I8jEm1XQeaAsZy)_Vmb&O||(lsHc+DQ;E<~GU-6wGLs!#2B$Ee1C`E_Mhq zrzSeHEk~&+{ha%^;uImQ>unKA&>yeVcMD~cVa3_q@bb2`+gp?mtQM0J%*lJ27Ocm$ zbt84TW0aXB>1Hf07Y8!XQulqeW);4ifDU&9kE_)e2`IF(W_r-;lI1TIH+b9fl@cbq zkiRLV$BT5Pc4)zsrS?u08X4BXx+k=E`jh>hoDxoY*Y*A$Xw$5ar_DPMVFed$6K>_@W zBt^ULzY8crztP}!xLLCOcaEzUamM7Y!3aTkGF<*j4 z5}klJyylt7?S!;RD|ZFux7*%27Y`Y0SWL(dPvRkgbMFqPP&@JeEZte3k=Dex^a}K$*hMTlbaS?N&*(w_-OwL?=}~^edBktoC5f z_inu@&r<-ofu!5b-u0fDA4X)jS}i(@7Z5&ny+}JL+Wn31(i4w`%ZZX<+>p0 zz7SIHQDdVUM|f5At__f_B--vb@Xk$r59+a`D^PvdD{GpPWNW1oyL9a-k4mKaV{<)J zAlH|mR(!t_S9I{C`q8@yMNN0#Qt^8p?&466#-7M+v^>lu+_@n^Pe;&tW)cfK7N~O# za^16|XcCYqA6`GVB`)gt5iNs<*l|f!bs3~;d?qPw3#e|bNK<|HDB8TnDB7N$GG$4} z%A^un5-M%mQoP0-R>Y%8tpUkRYf?4e^8kn24Y9HL(kLYU3ZxzN#J{+t(y6qr-h&il zV#*24$uzWIg@$9u8j@NyGwAh~Z}C_i6HR7W{q}?3Qn+4fmz)Cz6(Q z3wm$xjmy<9nwGY(#8L-y?pDF?+0Sx0v)mPY{BX-}uj$8F@3*g!i?ye+$XOfu{vmN3 z(iOA)vcm>v28{wLb9=d+!kC01es(FkuUbGtcm>HpR`-ZD=`ydwQL30EQAI*f_2K%- z&&*~tEgQ_>#HcC~k{sW1?2)w;VDD9%6+(QI^Ll# zbJo+1)|5%LXF=aZblmvbR*w-6C%`I7?yD*Y3AQUWN!YH}1 zL&te$tTBajIpZSitOhrx<7>K$pgQ$70#&~@++qytB;X_76Qfow^tJ%dKw3enX(e6r zHK_ekO5^%DSv7W6mQv+%RbyF0y3^cJ_n7k_qC9`Fsr`M}P3~)XS;NnAwT`i*jh!9* zZK^u(y3u zY$D}np)(7p)(%_Gtyi>bHCM(B#UyQ&NV{S>&}uo!x2NT4NWB5c516D4#E_{uzQiO&f8ak=td6-`O2m@`biG23{vXe7{woaQHmw_Vw5NFg-M^GfQsKifC`8o!2ST~ z2k1Y*p%JbRse>7^5W*;K{{hqh6N)9Qev*_$m|}gj0!>X@Sy8{0lAchKlzb6{v@G3O zAihbV!>9pv1d=W~%AF!vem+0js1hVyR$Yk#dCH0zQ(T!FVD` zP@zUq=`P5RgqS9UBU3 zL6L898p9^l%qC%nkdqMFi=j?w(NtWAkX4TaQq8#NkA%o3g=a(sO0FdGdf9WL-lHLF z6dUC6YTyL%Y0n*H@NEp(%4Ueg>$L8_c0AA@^Jyo=NP$GkIRq$7{{ArMn-pWkWdf_@ z4O4U;5%t#7XR81ql42FgU@PhTY4%KuRzyAuondYCGsO$35r(t!xX3dz_3GE0r>4H_4-he6r_Jy ztYu7ox?eG12fG@n>1sNmdeJ#D0|48Ah8K7GP(UK7cjO%nN0e~O7M>H@Ji4IdD3`$M{UVwK_fM+3=m z*o@Hj0Q}|PH3|u_q<&KoN-dY4V(1!025~&b389pIcI4YEwnig>tIaVkqp- zU|!KAB-MEb=?-1sjPFWZ?b_ zKqdYow&u?yPoV%*7V+5iVMhggRzGBQq0NBij#H&>!_pAr#Wy*6fhJ zj+8(8Zl=-Pq8Q+XyU}2JkT9T{4b&3)5eNfkoZ)h(dH4)3Uy4v}Jgx^TTd-@p@Hzuj z4xH=IVvjv|$gu4uZ2B)7K~o*D+nu>HTA6EYN$~ic9j>*zbaXTa4@%`@2xRSnVrLBb z7vO2+v$)zi!eE@zsepw^1Qzbe-{VWzg&yJafIN%+B7oFo5pxm*#O5}NNDyT6lg>&pXDiF! z#w4KJl)m|t1k!=22r4Pd1S=u)?0|tYShlXDNW$~tfG|g4A0~+f5=%xEDM>3S3I&Q; zEV$f$-VimER6#bBgHNKd8fvRP%AHZrBi@^vDyIH1FdWcMN z$dQW|Ewel}fudeiy6-~ODp`rB)KR})c4BZ{T^mra*RydcDYjZ^JWGk~v9$fIA(6dalfvIUdM6j&z{#FqevQo1j zC6JD&HW{sBEmF2(!6jA!50j-H$1lJD==nWi%aIS>`zolJvebXj0_JXbqp$EXjv;-Drd zQ*&5DbdJD|iRtg3ZLpXCSTsv@3k?SA+%hmJHb+lK;Eoplb%n=h)Z2TfY~UvPjlO14 zKUD{B{={lfo9?iC+Fa0-;6GrMy=qLWPhi-^VPpgX_7q|N8!TsoAVy6E{D3`za_p=I za)YEIr9$A$#6Gf~aP^nwPYl>{(`ZWsu(Vijw=50|GxYH+`Eb;(-s|7UOjuI}uh6oz zH88vl$`~vLwOs9em)~Fmu951$!Avd@9jVnB25pc&L%7o{d&;C614!YFV8RZlY1a{` zBesT{{5%z+F#7toF_D^+TXqnz(Kcws!7e<9s?o5jZu#u>irrxv5bI4zPXn!bR`tm+ z!rIg?*pXq-4*gme8hGqZY4?MI!M6D+t{P_brb7q0X;~CpDmhG&KzH@+Lx-?9dPw9< z78LkB_4S{=JkiyNa$*>Di5{rglZh-PiVuGlzr?;!;rqRhZD6ppCF1q38P^8dV5as7$eQ=hZhV1V)3Sy4+6m3qq@ zZt?4C5&*w=cNH%kQz%+Bz>qZ~0j5dkxg&`$NZOfrug$fsrTsvdqk-mAFENQ#PE<;F zTmck*^9lvtxqcKNgxc6cY^f9pla^*o z7GKR}Ifpol)$h@Wt@}z#zs4`bSM>4oMy>j4npiEQKXpre+#AV2S$K4oY!O&3A7?eBZJcPFnVTaGxDcc>CAbC?G$5nUUD-c; z{qFRX7hHi8eL_VH+H<)xZt<1Q!aegg5vFC`qEN%3haBL5CZ3L2R@J<7`)_!`Wu)>WJ6XI6u){W)j$)%*Qh4C1S~0wWIIfo$Vp-^wyZjE88qBMgN1tv~^oCA)UDd zVK9fC(&gI`_NRKNm2Q6Yk#A2Yi?^M7(i1`~$$2g(kWcU;(E6y1bQhJJZfw*;s!#}%u1 z|6m$LWkRokv${ABMnPiRSgp^~6Qb6BP4KqatEPdQ#3mATeikfN9oUiE{Ib~SA><9&oza(|%hU=OA4UT~Ogobukoj5696XcdJ zp1w)m(G1Fw=*}$sc?VHWG#YhPb^=?ueAr!nC=hF#_RF0j75AY*I2_10fq^?zTx7LY z4FWSQghPzixT27oOJky4VOm`FlwDbGIDU&47d)zFjo)<(L7mzt+}dWNPe5uM3-n!l z#ZCF^_aPD6po{J5GI;Xsj+{N!W;*(e_FVSCIqjf&AWT3pOWJjLN3(VgRB&N&YBeXC z^@J;{ugsg1W@Ih@?_j9bx(!`fG0nE5uPO9MrZeVVI93_|Nj7)~O?oyZ>+6u(?t>&t z1dM>1&GkVs4oTD6hD%&qA8bY~S&}K2QG;UidkrbV0pcfEn;@57(^` ziXN#4P}fL|42w!K@U8<{9PHW>RNRiXEvuL{*(*o395TOo&(W-A=nq5QI$^o*zw>}v z7u~u?ZVe%kHL4p$DoFBnJ#J38zqcOkaNl92rWs3oEmlORSAMNV!;%TNZCsF#&4ege zzqXBfM<4W;E{t=X6BI3!K7rCeBxs$eOfaOnBRrvMrcEn;lqP z`S52HG;7JBBE%esbKN;l3`i$I->MEZ=SInIyN6c85dN2B3onC1(ZHZxbE=`R6PYZr6s0c)}B{b&DI) zK3N*Mrt?NQYpb?|Oz17GP26Y9LdRDqeY!*vp|=yGdowVLZlxoogm=qc2gyP;q> zS$tf{A);*+n8|Nz^^Vr1nqEGdyL&0vFEMYk3@<>$ucJCUyl45{1#9MI9m@8Rr<|oJo^IU0n>ClTbn($^i%l96MRQI`VEwi7g*k1PJ=G0 z#gXf4UzfE( z5(tn-seEcMAI5+n|HosW8{nGnzbYAqRBe9ww*OYdgh>OlvHD^PB3;Sx@x=zR5vw`t z^yMEe@w}&6UKHdq-)x&H;G0RG8D^G&5w$H<{!GG1hievHI|e2iBM{j6p9zE<2t!<_ zo;HoBwZyuwd^IZ1q#!l7TZPLv7A(d5Dj5Qsa5O|!G zP=ESsZ-71r>Bb!CNcyrZ3xaGc?gez}kL-lEPiS3n*z4vXSHS*$$bN9ute|Q6sB_Om z5e1|N0>=x2?0iSRJh>FchTO4IMqsBt0LQpRwp3oF_!w0r@^kEJZ98aZU3K?!;%Eoe zBLx@$tysbExm~28Pgc<7ZI1+R6Z5vmxMsB|0)h-&kp&wi{*8$(FaVF8G-y<+V=n^- zfEC2u^i#FZ$x+^U#evNg+-j52rRO}$_ky16Ph{S2vhW!hXJ*8=JOnDbjZ16*nvp3@?;f_~q510aZ0ByhAjO+Q z6x=_Hf?flTYkl(BJn`||LFZkR5vkVDOba2*Eru~~T%o?08MbCh6}VkmrR96y|1r`4 z0(4-^!#Ej4)}v&ZZ`F);ST^#n!W~6{2W&!NtI%mz*CGZkZ)XrD@{|-OJOaO0M*B%c zWb#W%T~LpCN_IM74z+T9CVEtSF#yCcggyiBgfhaGI72)}U;wnp?_YgBSLv`L6_e48 zc#%6j1ZRt1YWgRHIYtx?je~|NW?J(Ot#23 zAaerjuViXCfcArA&SRUDLBjWuL1^kY_kZOTRz$2J{FcKhpK-}%cds-KLdfv7WxPR^y| zO@TWb>g|Odc;^#QI2Qk{43j6POu>5)@3Z^onwq5I3N?}8QSJU2Uh9PTYri*te|RTX zu(s}~r>`Gg94JX|UXk9EQJ_1sNaP}?rd+9L>tj%zTaeNC(3Mx6E*lw)olbE^v-Rw_ z^CLNSm%^#I)rULZvVpBoFq}g`EMjk)0QIg>ri{$Z`&mJxh$1RO$^xPMB3{y4iZ4`gF$i!YT2&aFJ{Jp$Ndm@kZ2WN{(U zHuP+OyW^}gaYD!&6`n>_o?s2$Eu*s#*Rq8)DZ9oDxGwdOz<6=QXH%@#_8N_Ko>i62O}S@3#Z(9KbL*qnc*BSgmi82y*yJXwkrL2Ad1#y zTYorCgf;|6v?yz{erKT9Y&68>us@C#3H`QE9neg!xu*|^fL^fnPB`%U0OI?%*nZr0 zCbuc3_q!wgVeY(B!n-+PKpTO2o=O{Sd?JHlj2@?}(MK^;s?J{F^5a@Q2LYa*qR1kq zG@!;Lo`A<)XO-J$culeU^>aba{Agk>&RCQ%UVX{m##Js+-fS!BCGV)1aE7FU<*H7nJwtD+hqFBMnuFJ zK%Ab3VdiN_RmxBdeOffaa5f>ii=tjGzYe8zD^oxK2L&Hl3UXThM2FNQ*cnH#Q;CvL zXe)Pw(iDSV(^d_d8VYK}1IMhA8|!Z2 z?D1m?pIKX-5%-!^*-~*&$5hsto|;O94E4bf^?f|Shxnclm_-C4L3hjz`7dvUG^NUO zelf|#Nm9}s+H&hAZ|Y;VSMQ|p1Jhjer2V$hN0R4KZ}J;?b6`1S+lnM*@>@8b3{;3^ z@A}4&i(Pr*Nf58AvW!}?Xrv8&?L+qMGGLU!u^WfDrlA~P1MEl~=Ukx-$V(69+L_;C zZLSARtw6+cNJfsM$i5*qs5dLFi;Q@8HB|HD>w(b9>A+pDc*tui(}5BN+qyu;=01Yt zdlya7m}*>Bq@Ar%0-y7N!Re9aq0hORO^h}=oE^Xv1YQ5GxC0>cI}?ktla9T2Sy5|p zuGx^djCP@(ZY&Q5-b<)yMyo-Pl_V&Tjtn@=I3qrx0c%N8i7S;>NmdnHiuK4}O$YiD zFBTdwOwrq)Q;#4wnGDl!0u33--RFHxjU242RQ$!{g1IqXh%**%hO^MhZz1F;z)6YUtI>Zon$J*k zu3(WBhea#Md1RJ8V(PgURPBVX*VIYC2$RYV*8^ z%%M5>CSl*1R;Hft+IvF%R>pJdoD@K2GH6J8??5xj8T%K&>3S)V+xDeuZ1E-_=Uh2G zmdG^FZijZuqSl7X5M2c3$Xbro`R6>{@6tBf9g|iVr#jcgO;Pnd%05E)^ZfpKSX3x_ zrmtOfK_8UjYX>IEb$C!GMl-ITR5S5KC12e7b)3?u_I*5dFyN{Hk9eB!K5JdR2d`7H$@W1b-;8i3r|t$KwxO#UR}zD(=?GNs)qjcFi`{4ZDTB9 zYqkNSPf(ri;mwbYA&5cfgCY z_gqveT7?m$a5CqL$UMw7ZZ8?Ynk3qiq}n5gq>;oy9_b0%TKYQTl%%u`P$mySZ}uID zpDAalHGcQd(fku|8561+`mmpMwPjM2>oO?$wAn_YQ&pg4w3UL&2Yzr)6C6V|%Nlf}jamy-xlRIu?}g zCbRLNY2>>O84#aZ)yTU2_0LgDbyNP5PUm+jX-xmsH45P^-5DIn(PS@mIYa58PgCX!7G6b_ zhh%L+K_X!y1p_VF+t;i7dmnkX(T+a}GF?_cgOz1gavJ{A54k~6(fdkmRFMLfh;7GI zF`Aa2ui_MN${R1Lc%lLcx7G5z@F3^zZRB(b%lSm2ozG4nRrnyLxVfKA<`AV+`Z?!5 z5@M2Y$mwxH)&x4+xIRG_Z8to5swSPaNf>LMbF5@r_@{l?iiBbXlFGZzSyn!&luc`9 zq1SP$x68psZkEfMEjtj+W6fv}U#*CVxQ6y>sWsx~d#bJwUFH_S6m@wy{Ey!66(EDI zY)`9NGXwZEWvH|AAl+VSL_w{X-rXM8+D<>Df~(zx!(e4~YtF&0Bv1~m)fo}>3xzYl zg0^+YV+j^*TM4I%iN=u;(S7Le=W^xxBz5wL$n~CpTXld_h*HE8-STmR3=Z-C&7opv$6JAkBgD4MLL}L-*Ax9kz z=chAs3(Wt$8DT_ePA+~nq2AA*1)%=l&FJXlY(VFt9m6O;zvus+}sLeYl+cS2v9P@X+}jlaI^=;|oH*x;hj}wCg{aH$@gGXd{<; zQ%Qq|?PJITE;xdwltpw6{``C3(2{Z7Xk(;d0VK?^4`eH?c}QEFs7KQ<{J?!nZ7G6k zbVK(Nar5yJk7)7tJ@q0XQ2W_n_`rrJ%0)&CEaHsl^R zfBCb6??cLq+kZ5r`z~E|Pl_#mZeml;RaT!oDWz?k zYqS)=FaTIsqw7asUJcO=(k~;1p&z{18r=l+87+hfuQf1D0L^cqn}9yw1Tp~?U)hnlV9Z-S(#?y6Y3F}6P^)dsvV*4 Yn-=Mr?3

KEWWsu#y+JcWnPrSDOj&w z(A`$Cq|Zy11_o=_w?zRIMk?no=1Mw1d|H)E<}>8ZgP`_`BZ%7=pI2P+n0vdVh9tb- zGTQH}H1w};)Ns1?(O?-vf2ULAy&oUMYGAeEQhJ{FQL*1tyTRotOg2)^q+YSl(Rk3I zL?E=IhT=`0zPhF4yU?sTqzqogp!a*)n3roD^!x8ueKeL0XeMxW?PKFu&?jUA!E@%2 z-a88THXLlUD(XMUv(kS8`Sa|g9btO2AOR$VOoPMOA`lI z(ZKYBb#Fc;Iz-RA0XdDxkYyzqnSk?t6e7))ab|OEaw$N#T1%l! z6%Mx9vR^@_SPPQsG9&7D&DcLIL?nY90TRGj)M+p2x)=9HSnrXz_AO3*q7C#UW<_#p zn5;vt0^};A9K?cGZ2`%@IyI|bLUz+CZKAU;is=Nb@h4rzYn}@|tpZOmdfC<*?4mR- zdYDc&Jc&AG;ONpAk%KccQ2Y&Xc>eu+J2&JKaiv<59%UeZq+Vz@vGRvz7oAEI%TVsn zeLz1#I$mtm^mktjeU^L%2Z{ybQMMay<2PI7feiGKDq=>X zsmq} z*4X9Tk;X+b6ej;)lA-`!vb5yyxG(ls)uD3So3e#-olP+Q3;9Y z{klLcPuHVM>=tE6aH7X4t|p5z$@Xm6eY?wp&sFT(q|&ITuVkg2E>deGRi)eT6{mHy zTElB`2w<&+O<__jTA;~;Qg+hNgf%KFI6%Y;=L-J-x@pNU*)RJ|HAfLc11}uC|L)>v zW(31?>#c}q@SOpxyETTfd3mVL;xH=24A#jOni1W}7MBsh#g>6_5{J4ZxZoEa#E>U9 zqMc+(C?{_>MS4vz2L~2YJ`rSx5#E6A&k{qFh}f&aIdZ zaHh5*h%0^G&DqT|2@&(@pW>L%WFrF{pA>)=*!57q>s;D;QK!v03N%*s;QXwNYSx7# zd|~#5n~W!LvVe$UW1~>*@5YGpyAn3$ei@t`-0AsqfiWM1n`EYt zFq8VTkAZy1n*?nTH(-SJy2>*lqS4hR&nn{VaUo`KgB&QD6d>S#HvLSn5^Yr~j>Wtt zA_^`MfYbPG1)B0>Y)mE*+251NKfJJuDWXFf-5_v=MqAA-6Rza*hPjL~e5@LNQ50Tv z;@UxpaZqt_kgmak`J!#-LDT?xLP8G;DIDEO%$> zfJN8s2&qvNOKr=i)!>=!KP_l;Dz3EDaoD4u3j@>dHn1pR2S@IY(Nz>_eC^xxzaFV$ zouJS2$il^v)rD$Fr6GJ51wN3D=*8d3B~)63AD{W}b3{aK6pQET59`L@4+x^4#$hG5 z9tm>V@%aJt>_Y*1=q;RKT0&~BRQ`E75@qZSCKiRhL8A~ zi<<5^|MFT2%vbqApiF}qOC6ZMnn3HCK+WU!ERIx;U$kuLUA)XcAocP0BnPp#_p2i_ zQp>eStk5z{LKSDqcJ0>2sXFtrApSOWYZ~K%()*P@(uZ%!K#4EfT!{_ZXg)E&PkUv2 zboBPMQZcD~iE4b&^1IDHG}wD23*pyxUjx7vZMB)kx498`{Lp>>SZ3&*acTKsN~`PN z;O%{^qZH>*^9bibAB0mKtWaqEe1wksAjZE{cYARaDD9&7V@>QJ!PUtY${GsmpQ$`g zsNU3e`TgR{&mzkYG9@;jG?i$=l8;YY_+M<_cp7t1rFyEK0q^WswVV8O&)3)$JB@tb z{rG1O{#M_<=(-g3Ah1f&M`7z8I$@VIi&8V%tw|Vc0bNOY>iIj*(AK3WLmqpVz--!R zJEOHB_wqC7oLkIPQd<0d=jqya7t`Xof&H4UEuzyDb2Dpi)$VS7-NG7C*;(Pv@4J72?eZ4%9V#8)p= zvUipu1AJLI{w`lA<4$RnM136&%-L#IEa{Tx7*BHj5Kp(=d$ETbdDrIL-uZp|g%R`I zYTwlh+)6=@cWt-l=KG(l6Iv{Tk`*U&vCgak`X&BNrK^7#3DvtcgsE?2-lZK%(kFdD zL$8>^Q!C6kuo&RUFaKq3a3dqyoc!CrZ*MVTVq5LKx`Gc5=SAmWsi2<8~KOt)qLUAccke)kO!6m5`!xt9pO%@zp$pEAsKbrXECNjzcb=hjD=r+ zUu$iQx}pPGo#jtGH$Pj~ba2w9gnOUnfbnvjNV~ShzTcuZ?&&n0bZw1#{gcA91Kcaw zsoiAl8kutSTThdPZ!7NPHiQ?6gx;0w7(YxZ{7EJXpoh%TR7Qd8JDt|7?GAF&$p1Lzb34zqn8pWN9sl0(|BZ+o0A-*b8y>a z?qAGuaFd=9T8?L@?`EeH0Y(d*~QG#k0(h$epgK7!D20&ylA1-n%921!w1H+{slSE%B{8~EdI zF`v6e;`9hV=`{=Xru!s_;HO3xr#9LxjH`>WxkSus=Tl0Xs1%!`+eS76U69vn+HQ#& zmvEzvFu)WM;BZrt2J~HR7pZI7I z?6_gW6Vm&Bw5Tzh2+uaR_8E>ZoQT@a1@MaBahr|Z5hw(SWFii(e znJ4g)vJ))*2FGzH2ge;JftU6UkEQv)gib(IVIE40LO>KC5p9ru^McBI3K1*1hDQ0M z0*lqRl=CSH)_$H0MxjbU6JN1~Te0){(8|E-R6s9WNW94X6B8kS`CAVFt4R(~eBhc| zNjy3+p?yL;~7Xbzn>PX59evYar#1DWQMtJ;1A2J0)!KqDPwn`$oa8d5CpBk z$|V#WCxP0qcdB55u-Qv<5mubM>VYlAYu1;^zXXqtQY$a-6R$I+)sd7QpB z% zyqWiAW@mTL*|R_P+)_zZt*e%F`?gf-Pt`_`&aTo9VcSSP^kPe+b!&n*?znjFDbUe> z;aT2x@sh14@?XjE-n)nqje z1hcrsWYZ2b{zQ3CSfx)OzK`b1Fol{uq5FKNpF(#j&(2D{9r%WweAh0kIKg+MxBIH8 zdmkb`MRoLl3Bixu7m!2-pjqL>#CWmhT=@MSPgtQrte~rW0H~Cw?=La6+l=hL%Yp76 z4W5GS$dDqmqea8~C%kEu6(1M6Ba{HW1-nxd<`-0S;KSSDaoKGKxh*c7!FiLYbnOItM z8Uti6KbDfO+!M&j)&!Mr=g@8kzdc>CGmVETW-W{~!P}(6+H}v-MA5PkUQfyNSr+f0 zDz)&KvYE|$|d4}&4fP+k9d?5x>`KT&#U63(?O zn>d1MSC?9nK)P2->;nS=dtF37!RaPQA0KvhU7`(@PZqG9Xv>j? zc2>q4qp9ulv3;^#!b}FgO?>5z>(grKwi^+dMnB@{B`LCfv*2Y=u3(!`6)L=k6oW2&y(&3_Eo+?fpFyd@+-<>CJbRz&ub#l)h8pJeTjDl6k= zQvtV&yNt@A>?A{+I5WyNe9Q5d&vaHw@7O#0_L;>FHJhs z?2%YsmeW6Sz@oMzKfq!S@=9w)7~?#kDBxIZm3CS1ybgMzP3r-f(3QQ7bT_A&xH!XVJ>tz{?)3x zQfa)^3gH`T&Qz?TctM^zr(Oz}owx<2Twtpf+Y^6X26#Nuk8gGgsujO_FucOc@Q~%|!{PxU z$%@;i05J@b-xO+!T%FT`90se=1BY!oXhJOQ!V6M1TQm^XB2R2CT@BmzBt1swI~N!< z(8=q*r_reEWT!#kr5X4aL5n4jVC&W%vP~#3)xSofU@x=!U?DmB5{`mIRJ9a*Sd?ZM zECq2`0=~c?{efLUc8b_q$}@o#e(EjFN!-)wq%k;obHIGn-QB2WIjnzIo5eB+f7*gV zfUXrSWZN$pS3SyFgV3w29;26>T^*q{F{{3!(OXf$&s=L1*?6R}+oVxik%ih^s zVR2dKmk;@E#ny0U;X>L%yFYN}H zNwlzXfQdpPoL(A`j-RbVnO-^}){?R3(_>!(Lke6FUfP zZd-=1ezX&$V0~F&2hDVp2T~Q%4)~SW-hx@?ktD3*HMF0aIE#h&P3`tGZQ)z_=3WWbL?wiTf^hc?&aq-ctf`yXC4C~1s=s~1V(O- z3g}YFMrzoZOi7OzGg>xAf!qI=I4n)O@7AjtUE@}35#yz)+lsu##z)PjBun3`cG12) z=PD9|SO?|-$B#bJ>iGqYHEf%+CRM{4bxGD%&3O$PWd}bf`r`nP5ytLqW?;=atEy2V z{slx#Ws4#lyjomt<*3w)+P^ z*?ers^l`l?_BVpd&j;Iv1XZD&Bo;QkCIcS&@kuD()6?`Z80YfCk{j zr_5G6{JB-7+N;-|>58Dt;k>Dh)ctiuyQ_y2d<=y2PnHCy>vPZ1*MjHMaNfFu>*)n& z&=z45t`hGxw~^X)gZEbWjjw$hW-Z^`{2_A1kVfvc1+uK!{i^-T76&=-m}ujQz}1Ek zaPTuTBo)8x9^K9#fQ=1YDhu)S#VZF7!MaRo8lh*Sp5-xdcT!q|H>m{1T)jQKUZlrv z;!A!}2@AEsO|lqYh;Z-L7p;ll{tu8WI@osh6lAA7v}|#?#?F*(xOpZAXymxczM$(L z;n&|%k`U%|%%S&Cq~XSM^HAaVnMgZ+74jIr^S<`q{rHWqx4*p}ol;ResQAp)HER^1 zWBK!+Cnlsl=V}~x&5_{x30k&vmi+JezMUFp@y8_wO#y49Lze>{Y$wC#%SxC>Ch(=}t&@3vB^y$FL zk>Hds05l8EhAn^BB$3uo3p)0v#BX#(-sp_UA!ZvE^QQ?12U>?eyr$`;thB=iSs`L zvwAYrmsB^jHq4+~T`;C;7j)j?y*C!Ebm@!03u_g2MJq6J4a{a~TLv?Ur&je06uGt- z$m^@`z1BoRfGgp2>YNVZrojR>2o|5gb0_bIAIz|wh!ko}WD1{ECo;{`_?6T;j8C#@ zUyHCO=KhdA2>tSikE_hOAvtC2q#7`6nAV&k{u($E3?fa0_Yk8>%yCo0l$`reZtjgL zKUHLQC9?A_LnjP#uCWOrcuWj>!LvfLnO?t;%Z2&!TCU_N zDi_XUPf_#4#`{ULP_6}ZP=B^Cj%z;PH<1*r?Bo_f)QKV z8Zrdbj&1Jv7NhVEadl6|ssOtT6A?$HN9|HNIhv$K!kNhnFHDltTV!#~elGRN`nyZpF%KA4ofa zT1`U>r=v^9)y&l=CD^=K9wH3Tm?Vkt45si-B)B;JuVoY}e1uJ-$n7yU7YD%7ZDsX$ z$A=8lWPKRt2<%UMmkHLVe(g+{Rh+)W#I7rVaRd>}kv}E?J~3~aN8if;pt2?rE%1hJ zrYD+L4hRB^1CSe5xYv+0uTgBfu)n z-EZa>r{6l}p~?ak!2AA{K6E|c$ar*HEaOp|rHWfAyg1$5%V^%q=-JCC-RqVTX#&)0 zK4FL=yUBGPK11>0djKs|f16keG1AYN=GgodCa;Ex{kgOhvy3TLIfjX@)U9N#3=g|l zaTI6rjl~izXwN`C-NY!FP}B4rVF+*-M-(|V#d>*$iKYtJ(E?bRVsq6J3;%wBgRcX` zO)fC#(~#1K@#5eiBNwg=6Cl7x;(;4j$oi*@T}+xbr%W~HY%=V!cQ50k`&zh?fW>PE1S;f_vY~g@=<>6a^?RyG zojO)qv=`4)v6MrSysHT=)d72^bJfy5PqtGf2Oo765@)h`J9}{tg8Cfx$+ACrpY8gz z5L)Vt_3I}_A@4j5W~Uyg)AX3VLiHg`_O4l4fA9f#Dej0-&r&F@k2%?%iY$U`b~GL=o$RjsG=IRq{M*frWFwv?(-5h;D!GQS2P@lb8?^8x#2)e!fY=_vL7Xh6*SW{VV~ zLzR2nAi0tGCaD7jhmc$F>X>wfLw<*i32 zh=N||Ax>{~Dei54#_x#66%Sqt&$r%gWn_lSDV%Uu0a@!Q@ii+yzY16L^XtpYlCgqe z?cCv#^AVX6AFyCy9cQ!)AAeTAPSU(1^UI~u&MxWG*4Q>D=(R+=Co7ib&G9p#3Xw|T zm#Y4@EHwp3a~9^sPog57CHO}Y9(|tjBAw`a3-tMeacYV1B~hVlI5Hf`(kT;4uIK1i z$SR*0`l!W&xnhJ!w!qQu!nw(|{KEyvG)^BS#}Rl(o{stx&sCtZst`yKU_Cg*{38lR zXP6-A5IFk5SN&a9kT4|zpG!+3RCS9esQFDcZ7!N7U?CAxPVH}rc8}wHSnLjjjn>_Q zdqAvk0Ul0R5RJwXMw?I+u_IndgjB>xc#m%H%nt*=-|&>L0$ne^55aoi|7Lp|Pn_~R zJv8?5zwln|tq6g`6Smrmm*Rvl=eRN&-C&_IXT{3wDwCHg>ATNPhF=NylUGs{u96#; ztdf(e=@d#(g_^hw7wxcTs_W_1%PZaOgnP$s`GMP<1^b|%B*V|tXv*kEX!QvZx!~(V zT`oADeY%jC#2+LU`yV70A9CK=R|+4%>jrn+a!hnx7;?TgK3U@+D~AsYivj^JVDxNX zQx~Rt>K%wNU87+?h9gF6m4ag*$O3*l^zK!aRUoCMS=AufaT1>cm_&nEM+{%c0RiMi zDjKphamA~%x?x6edmt4k42BcKGq(o2cLV+sBfjr;&|t=7tu!S!;#~+=4o{XDCK^uF z6pX4~tiq9{((17kk#U7jM^Vj#odiks(#L9BDhVE}2|bK7pMK^nFgtcUw10E``1;_q z{d()Lm7T)r9z8SDS_-zlT-NvGG#xGr zQ#8Y`oeV1+aoREC>vwc8!~ndi@iEB4ouLWpgSEP$nmv8!ByCauF2-y1Q3hi5Veyq0 zgJ5rA!7bQC1aZNNg)lolLAcpxXl46X!#rNsp4A^@5#V%>=y@6V9K1BTDjKf8rfCA) zCRBC9*SiQr4h2i){{i5Eenhj&dMjU7L(Q&Td>xt1U^-3-W|Nu=op6uL>8+v(1$tWl+7Z zsqL=6i-&pa1Rme7LJ1l@mWr0*iDXpd320f!6OlAgp`hvj zXsna#eKH)yPySoLd$N*|W&N%CwF_767t8jhB93tG+aXIC&8kj&FgKgQPjXOie|1o+ z5^gOnMsi3u8Z3vT&-QK?r=4#)q_YEP4fCDIsFn};AzL`K;_+;Tu<6^6loCBYgxm5KriWj;()LX zsZjJQF&q53_}Vvip_$ZNL7$zUxfej z`YgIX!d;62Q%OF#5taWPg9Z3XU`wT2Bo{=6|gbIuyv-scGiEBI>Y0MCCz1Bz`n{N_Ia80p(Uk8dvl-_zaqO9g?^!0B=}Cfq17T zJf34*3+C1EbI>q__-2FZ;$g(e59((Z_nn<7H&4E zDkmuwybjq*<}ml0I02sV-4G~fWg*QF*_06s8Vfz;Jq@f_1v-`sWg6}r73#LpRvh|; zUc2K}UL0kL6JMh4h?gFQ)>_02!QwTR+obl%IwO028fLO{hih%lh~9rbg|-H#(?V40 z)$t!Z!)!$KkNC5*7r#Y_od~khe8|Y~m$LW+j^E-MLij`&q zMDu%{fME#$ccl#Au9A?*uqlK7B?2(40sw|Z1HFJ&rlH2TlO8MVf@Lt$0RXXV`D+b+ z#L>QT8eqY#rcV#CCBpkKeE6FA6KuGhwiE8UgN4X`WPYV`CeRCeNZY5H9wUf^T zZAJT(1_XJ_Jzynmbfwj|Bg3gZ@a9Hs3J}^@Y6GFeFC%DQ?IYKgnN7q=nPaez2bUwB68N+kfyFNVW)O&Px&>#d&WN~Pmesk{ z?BSu$#E5e!X=md0oGypL`YK5l15ops=YqJXgLvz|A^LdTHdEq|^%X?x1=XQDV*0;n z6_mRS`Pf+8P6(U5e(WuDsh+U~6TY4K$xSF>rzCc|IDKL!?&Yw`F=GE^^ZZyE1>Lql zctjn8q~xG%o2vdAc?^qFgjWw5Ac4D2BxS87^>$?=BDLu)s^b!d@7EMlDqI z77u_Xwfhf6Q{gTk)~d5^jib>qPN)SH)RfsMK3im;d|l6zE@?HYvr^T?>0WIjfLViC z;JUelD78)~$Nu=TM4`&uJ-G2mEnQ$luAGTgZ>zG4%+%!h0hPbHjJwh@${=9FUQ@s26Op~k5J^GBhM z6RN=rd&qh-yRNl2d@`C-7o}X{_`SbdaJr}cG>L@l(g<$GF9eYMyC2$pr2RI6bNJ`& ze9pZ%(DIs5rM&nfr5!eM*l08CZEg|l-u5-Xe956^ll8g%27$=k4&S~G)>Y@|3vAac z*mE}cy~KedsC!|Txo1-K#RfKr&)d^}NfCwNVuEW3%yu2JdIR!!^G9Fcx^*se>s;O% z$}h&RMoe3J${aY7HQi$ zNij=84x!{?km_Bznd@P4?qRa`cad$(0&mF(D$>oUc5y^!m|4V&LhZWlokcQyFou_H zWCSNKf+P>7E3-V@lUaz{8P_hbB}@w|MPzJN;NZluGB`IfmoCAB)aoaBZ}b=)9twR> z(1eWi8bEfq;$6Zw?NWAd*`!-5waZ7!FcAS$2LWksJnK_-q|L&-b)TGKcJy0s71+(g z6d-oj>{z9kImN$rVIn1cNFF!qJXDntqv^)R8bVaXR$oLbV@K0&k>VoZp|-Kp)m_O~ zcpV^|_$h_)XU&JlXSUPJb}n$2x_)jXi#xZ@Eeb!uAPWPVFpHH$sLe%6D&-&^hSsMo z$Zqbys~lvox3&(+0-ZNgu6Xv(WUyy1m4!rJKZTWJ@$FxyAvXzy^qoVEv2TJS!~ zWKaAo=S@-xW4|Nk3-cHp8qqXY3S)T6C0d;YNGB^OQizokhS5q$R7X$9JjWUq$G1dC zkzIf*@kxa+R%dr7r`?UCD&MTUyF+h#>5Ludb`jBGZwUQ%(0$6;CggLMu~wvoco9u( zF!EfMa!oDPcCyDVU+zs*vcs4zK0<`}Aa=&QYas~XwgyuS#q_;)N|yZbD$^VOcsjx)sZf<@xpmlLmubo8+#dsVQehzQAiAvppE|X6 znpg^K)3-qhH|Kk3?^5D_fUCNm*hn079CJJ;-F=T!H_Z8TQyY@Igjc!*otRi>Wonvb z7T~xnij$Fa9wJ~SIu@;*xAIkaNb$yXv(eJHq{&$b#ymcALO_~gt~a*NecES8I6_{|6H(wpD^Y)u6 z^Nwpr(C>^>+CK_$h79&B4VEcCmXx>p+9K5S!QOHyt<_sH{c>-HMs+P^-!&SE)3_?JJ(D8h8LoWB(>A-7(y0^jP8_;LrNfb44< zeI+mQF72iaCw929Pr9C_ezLW{fudd*I~`@W2LT1BSaT5eQ1?*oYL^vwl&g8njy1V& zz>>u^@|oMNe#pK%yR7e=O(6E7J^;XD-Mo71jrU$%K*7fT(k~*T?qV|8m}F~dL^@%3 zIIqYpwl;?!nrvdi{z-E2!bn%rZHjT*T9)>Tg*mrTVEm}7CP&<0Ip!=T@)J1NAu-_G zO7nV}VsHqw_TM8a!Jo7AKN&j{AZwsKE)Q{%%sXnRl;}0vLr7-v<#WShD_XCDAI&G` zCee?Cc{}jzKS!6o-${$T@{W!kk0l^P?o;dOO5a9x9Z{RS6$KHnhn@56upbXN4TpT) zLfduH{t`n_JwI{bFp6!I$A87s;%?sHPP*ZbU-k1Ahsem_PsIpZPH|YsdJ1# zvD0tbYntl^W zokarOR1k#EO^IHendR&`yNx8C!5~}`ta=Q$b6)+JW@@;b;OD8aj@wV+-I#X0{F>qM zC2j|QdCv7Vg`Z0br!5yaoWwrbPktXdNtW7{ZmxLz>+HsS;MdX`EXf&5TmMeZh$&C{ zEqsA$G2|HrJA2~PO14eT?mOKSGft71>l?|_zP!5<%%^MHgw@6xBGq6i1L1XNzCN?| zywCboOy?mncUJ|?zPKl2G#{I0-alKC4bh44+-D!C9vw;Er*#YY``}D4)OoD(K$-DE z5hCH=I|Wi*E>TYuH_@-6PfV`pPJJ%b%=DJTS#+|hh#_~!BOI6Z5}DVH!+~%h4A3eS z)2(1T^vbf7fsck3{5D?VWG7HI?#1GQIeQ3k!&0))F?8_BDuF6J<*L=SQnq&Kj)8Qr=3RO$8f8%e;$1f{n!<<+a2@9o<(gb)hCo;#~0$oqJiQLOXWm+OUoZDNoA2I# z;fzDxkky&Y-@fBLk@uP-CG1|ZW-eD1-`6kxQ#7A6phju%-ubXO#+y`jJxct-4i} zidgbdrJ81Oou0en5zqNU$54LN3)~~FuSn$m6TA2W3@IqqSS+!qJMO{3Oz2nfd`I+tY4G& z$5yymIFjMW1u`mbSHd=z78`83u*tm)vi{76Hd$kDrNgRhx9+a)iDS$Wmz0mm1~7dj zb48q-qK-+(8Ffp+%I{(14>-{+|5%xBJ_&@pQD9(Kya(g~C`8QE6E$((f+ zA!{!;Eq}j!`-BfEyLJEI(cUF6RXR17RBc>hw-fPFu=4eVuG&jaEpx6wJ8ROXQTNJx z(k^)XAv%uFn=<&uB7 znRQ?dEl)p($Wl_)q5QliwjfHKMEmGl=RLC|9lY%g2#0sgIbQ}bxC;}Q@cMu@FjKoJgg;m^F0P9y&C#%{74K-R+*q_1>8}F&l+}2%xF95Ehkv1m`it3 ze(fR<_d`+9Fd>@R2#VVm8NR9;xl$NDQ5gR4vau8U8u{#@H~m)a{n*`^`f7KR|E4Q& z_@?3a*d2XF_-^Cb4S&9aZQe}+{I|@~>A%w~-{{7VX1=-RjtXm4XusSNL+0Z*JG&1) z%d7mFKbh6gdJ~n;DPjd4nXeFYc39=!Bn)?06#+inHRdDpHsIBWH0^h>&hX*d5afn> zb^<6c^WId`)M<8Kv6 zqlQ}_O+r_K^h**odM&Do%mmwuawmNtW75@I-kh(kq>;F;q%ju=#PubO?{wx?LN@4% zX0;tKXQp&2;u@IfDP~yq?!TyJTBm?Qb$8Kg6<>;G3m&tuCbpX_1WTd4xEYs+EPD+U z5bLFG&`wRrEWl5jz+`Eqpcs2p63|5u>yie=ry&^3vE|~fV#TL@yV>tXEHTYE(XPo& z16$Cp)$-l@@I@3cBe*)zf>rbRFQb908ua*wo5A3VEH#ZY0oT7}dcI9?m~q@dg2bZP z!n{YerMY8{Wht3kxfHF?R}vV6_rWlmO{mHWh-iCFs16E|q;Yupv&L`^I!N_uq{)j_ zsWqBp2kQx^x_>y6(I5WB96D`_#IWC`#&OD=WZWZEzs(mlV&l($C5cU%`ckN9lW&qyYKNatn|?JsC*2#P+}6C zE>22Sw-oga4r30l>0Y~2-OpVcP<05-(AJWIFT$xl;+s3WT zNtKK>WU)8*%p3EHnwj=&4_-%T7n@GW84*g#5xkmxPVu4iwzHhYof_qC>ZSvt4V3CS^>O2($r=pg z{VK&1aoqELt+j~=K4poqE-LkgOzKWL&DE;5$7*ZC+U2tqj5`%-mIH_l$m%)^u{L7K z8aL!)N`Ny$DNPMab)g1?KWZ}wfY*A9QgcPzM9G;kCMB5eIb(b%Eo9;U$m+x!kv^w9 zo)u&K4_z7$VIyfiaM>F#`eHQR7N#{54^+L-n4NrNwH7iHlLG!Pd=~~lIz{`betb1H z*^jZUvxLLoVZVWE$$57})>3P3;dzsx$Wk70KcYjCTQf`JhJF@QqW&^!p*2_GYsy}2 zsou?ox73u-Qp%ei<|$()5tpDXUu2>|MhlGgvmFB=`EL@&5r~cNnUG$X(UtUY9+^jz z5}kMZY@mMK(_x#Dhks3U{4`4TPCJG%@lu;J&^`WNJT0F#THc`EUO`2r3|fQE#jz~W z^w*J=MoWyT=`jskQgZcY?G@e>AUZ7FYMp+Yuv>xeHr0H_Iy${<#mIh1(ZA4j9k5%$ z{G59xz$`X^?bR6Bz1_;H> zA@Q#RimBxaf)!a@R1@kIp6+Ptpv(5Io9*jaaAZv^-kbq*yP zrM+qzEf^zJUFA;ZR49&CwcJ+wugSjD%~y;rh|-YS9?M_!_B!_nSy=xjvbysy+8`H) z)Cx=gqE}LZ&t)-804Uv8x)Q*jsJfle8cJGFp%g{lzg0arS}A3SGF)Mv?x@~bH=%wA_)j9Z`TK~Kk*%OyVu?a8`_}0V4qEJM!rVg%|?8`E!M&7T~Mp}ZcO)q z6jx1lyhIbdk?>4|k4c4tYVmVKP~1SrwVlR*zVfub@|wQ#9%1?Y4}+QRot>n&z_13t zkaao*j2CSFagDUs$gcPF9RnVJhACsyR&HRJ0%_X3&`weSC&a|Ji%?yyZ)}g5c9A5t zfh-L<*=(v~%))93o9p9r&{<|uKwKL{mLv>8q_r_#TJJO~QYy+26fx;v{YonT&;w%s0;(WV zDG*!ka!C<~&W+l|0dn$&QjxJdeYZ%>jfzgqiDNrA_>ew_<2s@eC`Mimsy1oVUXHCx#%RYVmQ&`3PS89wfJVJCh(F40AP}FuKA)t;`j0k5ZrYWBV4)GVuEbY#W;HU3(RRZ!4FUsuG(dt>e z+V!Q%$I@-FKcy@PWuO1aT=DA7-mS2-Tb@7o@!VAB9fzQ!Vd?SZywY~z4O?}nPP$|-NntjmMK%F9H3XQMJa0KWiWB*H%@Yp52N^3|* zpNf95ems>T5+tV~QQtFWqK*;iCGAK(3?$qF0G$JD62+AvRb@fi6Pq^X0Ek)ItP@aM z4$?=n(ru3;Xi`=7Y5g2EQD?SgNOB+osL4TN)LQ9Z6xlad?yR4KryBH^Fhb;uk6H7g z?#iMz%A$xR2EuZVq(`lhc*J20{tQqo`Ql6Eo1 z(tv|nUE(q+eGSQ~4B9}hPvz*e4_Ow(r6vl!*a{>2X}QITat%kyr#R{3GW3*Ay#x&k zY+35%JtiOPFHvb92RFr_6*wiY3cb;c72g-jHiyS?COVYSAP6;vXGky7Zk{ zN=;}*S-2@>(IA?Og6kY)QpkdnR2wize@uPH)<)<+CMzDaE>`8<)5#`MYu7xK`RGu^ zk*>j;^SN>X=&0gto|X(bdcqA_!m0X&BcNYrF9^h{f$Q$SQv^hqtSZ>M&W512^H5$% zDUAGBqD}wkD6?3ratb?iR59nWko?rk+Ge8DMobV?`KOe-pnj6kCPyLe-=DH;##B#X z!?=JPly`K-hgpMB`Mr zeVHwscqg2m91W=}aI!2`)D!F6WXM4x_Dj2x(=1#Gn3VspXE2eyYgThlh!Q4_}$ zOf$t4U4;d$l=}Gqb-FRC(uNQ1IURk7FuC}ZRRg2!x+gdvMIyZ{pG$giwQcv zrT?xa&`=)hVDhB^(6WekazLwrzDtQ&b3#diHw4->vhAV?H=@jI(P!{pQXYpre<@hu zy=$?7T$yX|!p)v@b77SkbZI4_B{tf)vHTy~KNB#TOj8#Hl=c9US>~0OYD^T1g1bqH zCXr08IFy{WB{i?2Iv7kA=D-)>s)6cQfosQ?>B)-D>-YuyfSDyWFUcinqKQpy| z0BS?OoL&ZO+jU4&fM(wuWA3^sl=fU+6a(05Ig#@$3b}oJfNX!pR8mObTHqk<4sf8| zW)i4KAlOlW7%W+jcbpett#zsx?Ei-GaUT8Znb8kdm2xLCuwx&71EFFz+i8x5G8 zzo$)4mXe8aX}CW9+xJv2ov@?hZ)X8)T(wm_zJ84H09rCZH#-Qf2#cB6(}kNsN~lB zSD?|aUMNY@f6k`ii0)apUrk4TZ3=xrGutNra)tiCX@GZo z<;f`^5QkQhdgCY{{)g!Si4TqfeRsapK!%2Iklt}|liu3yHE^m2<{~AnqCel-LrYHZ z02Q04Hv^LTI&e(?FZ)xWzbW8e^b=^XiTeB>O|4hnctkSL6)qkCqvVJHw0kM-05Ves z_%kt-XD~M;0a<{t)D0ym{m)(GZ$@>Ql*=>tq?LDuT|P`!N3GjyYlk5ZZN%Nz0?LX$ zm`daWan5m*5}w$&df#h%gChCchv!mrbS>j2tx?0yoKb5<2*~C|KrjN&s~y9=5=7ZB z0{8E>+)I(SIFcdnc*2*|kdY5a3^oMXeYl{)mnws%ppeOqNQmBZlavR!%WdWXIdAR` zZto7*zBsRZX#b7tb8C;J345{Je_=%U{WHo?mJ4dEfLH3jlQD|u<78!TAUB5=YAFoj z);!68ybEgB5-n$C5I0ES!jSO0wdGk0urX;Hj-YwSnr>*?5j7T`;Cupurl5pyN(2dsG ztaR5rp->G(_u%w$#Q5QTd5jS$GL< z^%I?m{e#>i62!cD!%GeI+)A8&rpNrmg>`te*$20|L+dF-heXa@2_^*|T0q$YpE|oB z@H_ZY4PX}dM8n@Z=>IuFmBHy6ZmNTI^K1OE;5iwlxa${PJ&Cp}yph=ua77X?#dfVz z*3EaAZV@vV7?7lvIE72+|_D-hxgvb@vY)zH(S=TB&@YdvBk*(o9I-@E%mH`fl2SQ*oe26pDfz`S8`hI(EhPUftWC!pBUNY#g(lL-S>*Gu~Xaocd^A1?N!Ib zXWfh$mutGuMdwQ#O=2NT(>GU_fB~+^?Yr*h)yeSrOf-TXz()c*5=EVXSJgf>q;b9L ze!IPJ^qs=A+daqtTr$Swr+;q3+HW*ILrFxjgmbe{SaMUOwN)TTRaVQq&ZtC+P|z> zA_$>#`cr1?k&39i^kK|MR`=p~mq&Wbs94Qp1woWKfft7({o?Kr5}xXCi!#XP%iPIx zR?UmIkKy=RP5}n;({<#AGnzz zRG$VJjXa94Xh>0^!2vUI=cxUx{aZC)f#lPK^AY^yf!CAycgQ~W2ZdroI-Xqw1dl;t ze$EF*eQ~SMB{=X0{CRg^o8S~iO_Ta~#W`Ya&mB2J?(G8X=UoAA?+C)Vw(TMzrN4x_ zgunNGHA;&T@XeuGjy$t-8KJJm^w$588@o|;tCN#m%ba>h9Bw*5+*0fP`rv_3Ay-$% zM)UN9U(Fk!9vXE6z6gy|XS!6-*Gq#%Gd+;&D2T?7cjRs|{~`QG020|pvLJt-%%&Ie zJ}_&*VR2@Cb~b|do&@yEe5uysocyNt`kiUrtGiPq)Q1F2aI;v%XFdL{xwXcp9~K;v zqR*Nlk=>x9*0HhQ$uI{bRkh^zi9s+fktVCFZyAU;r1hl7$!>0thMq!q6};&Z+75 z@I|dRQpEsf5N|+TZR)Y}){R`H^E&V*zCiWWuZg&WI7G(ZVt+A(vUW2uM^1YOQZ7sh zb@}O)Vxv>j2gCQFFzOXh>Z~~t3kju!sh~j7R8h;*b4R={L;7T1whqVB1wyr;eA^xZ zwyt?&Gv4tCzKXv3dS)v0%rKDHvm?S0OhAYdegk&XipF|ElFCX`Ql#jtqwXEW#~8a? zZe|NMtffxVsXFG`#2UKGvqmq1h0G=>m(4HUSkhY!YH3~=zLW%k2Ba9*6yWYyNN6yuKtR%UjE`KZWesdJG&)! z;}#rdv4#!C>>3v`Mq;p_9jr}@HAl+2qn@Gd@QW;A-qP}_}hA|SS= z?gV9!pK;tsrx4{zTiKkek$UpmUoY-|oaE#q#UsV}YZrDL9n&+m zw$tDanbRAtT>HysUBB$fA)r7O!XgoY?I8fS7Nf9ki|ky2_ABV$zr9)c_zagPC$ZbE z4yIwl*7<<@()MkG^sL~jsLOl}>$fheOT61P^Q^6SmiYa^8vfU4<+ScmJ3T zc78$$zPTm~;h!J}rj!5=Cc_3UEKLPQGtwMF1P022&O6;&DRSjmj5f$!x@xrp9(3T) zpK|lkN9^m2;k*WnSiof5x^E2>9}^QZn?qbb&G~+FX;X+4g@CU=qq7cb?+s2?9{_{i zKGaEJvo!_XOuYlw?^FP>^dt&H)dlu|#brQoGn>DJfRl{DFRxJ{q>6oTMym|@yw=4U z{Y4i^Xpygo{W0c8h1>c>{1S@Iej=Hv2WNxFE{C*K=+Dk-aj;$_4Hh^(O+lNW-30hD zPlIA`Edz8&ErZ4-1QOehob`kl|Eaw*0w$O$^+A7&;(FvFc5q>t_h1o^MICqtpIKo1 zH59?lak2e1i%1FYE#zPY3FiV(hMhO*S+d)2R&FQFGa!plYC2%nIe;+&MMF(93u;oZ zT~2afXbLq<{XKV@@PgrWax1}Ou z)Iy({IW^Xjkioj4-;?Luwo#hxJzMuH1@jnI?s;tL_Sy8ruhy%AcsI5HEVPy*?aGF1 zO^SIemMQb+W#fi!Kd-mfOK>i{h;3vzgV>Gfbh1jV#nKU&Ro{&6oY(si#u>5pEN%TE zkg0l|(C%CPo$E^U_Au6|nkO8Y)W~h2&4@yE3u7{cxvAjpv$+EAoxvo{z#%qs**NVO6ZqLci(^~QUckX_g>>u8Tf+fYvmb^@K}6dt7s9{eqlcR%P} ziZO@GKJzvARhV=U4PulVty2@L{}1-wI;xIfTlmB!xVt;S-QC?GNH{=n*We!9Aprse zcZcA5u;3Eh3GM`U@-?~l-TS^b@2#0xGylw5vsQOi>+W4udsm(F>)qWcu%?`z{g?Or zMpJ_4LSd0-A50jyPSYR4XTtGQ4>E9%H%Ov+dr;nFq`$v&TL_GOA_(TU3>zD{2#<6i ze@5gD8A5EYphBknjh9P2yf~5$*B~8l#*Leyh~~yUuMP$?j%_d*q#l6_7n`{RF;2>u zvXrUenfqM%lciPM+2I~@TpH6FgwhQTz*4&cjf37gHMM%T`(C}*<`t~lGqko|HR&tb z-nKR7Q&@_zh2@+>&e@H)$CAVRAlhWkw4cKa;sc=Uck%31$qO?1bQDCh0Y(2MS<+AXWL zWfULB=7tMctU86ulQnA%B4sloin+|AS9+E!V$6?=txc=q)UTlG=3Eq*3@;=7H6WqHp5!*|+LFBxIhmev@e%`0^ClOO+B@~&g1QpNVLM8a4^`B| zls5_jC4|xE2OHnaoS^SEeDTdyWBO;TQbgEsIhmyIlMmUiW|{>OGaam%8z)`TiW(Lq z+E@r?H37v2;rT+MtKslkfN)|$E)Nb|11aITj=E-fmSH)9=<5z5TR7f1WQPiZ6+JAP zl9>MWkuS0#gl4r4v%S1WQZ9!@er!HID7}Cr{T~p?1Gr0{@YLl8^?V5*@!4rBF|9Xj zm>R_hDT$)}v_4nTGpkn&0sA=Lv~Wc4CXv*y8ZNb^*L@UM!}j68<#Z_9vkggilt%lB z`$HM7BLmqx3pu90&w#}ub<4n>)ZobB!)JUyO=I}}^PAx+pX(|g=~6|rTAahS)Qr&l zsN~v(#_~59v!7SZtVb$QJn*L&tCQ0rrz&5*o6o~d(;9xLj$-*ChZmHlwe^=A!d z)Q@&=W=zA6j`h~+@6)rRigfk)JUI5J1L+Jt)<+pA1EE{$np1sj8FL!-ZmW8qNu81p zM(XYD9di+b54Hk3ZcUre?tJY1FrIuGR53U2MZIkbV=0q^So{SIZEWdc$(Siq5ox}N zqPEBSqC0Iivie%tZ~LS!#tW$-Y6B5_WOq!14I6I!xCY@YzfYc= z3WZBt^3dS5p$k-C;E;IpWx1J?2Smc73G!)E<;|FvNJbLHo04oKr|R>-TGA`+49twe zQ#-4-1*w)AY2nCK{H6P!8tlg0$Dm7(WwLs97t*`PM%Q-_wAen7>T9h#YMM`-9Mx$^ zS1oz>F~bCx?{45ifwf(oW{DfZV*9-XhsJOwKzUdw1U4ZZWkdm5LcRKiN45R#wJFig zwAZJG`jtT((!}3}qxYSRiInPRgKAo>_`QbKU%t`~PCMuket(x*AJ^C@5S=dl3{mi0 zs$wdeQKmUSJx6u8-)h%b-BzP^?P`;KhaDnslJUvMi!(A6&#SR0;7(kXhPz^C%5b0& zYdLk*5YPRmZS}%W$`S0+z57lB?gi{hLOX?p{3;+#!_qe+^?{R?s|oFff$PXbB@QQ;X7Yr7v7ZG2dK?Q+V=&kB z0(;Bh3=$K+y#VJmuwRXofpuu_9e;wL^R08ibN6*c(*>rSJ2mN?-$Coal1L5)PV>QN z%y$dHs%rtCu)39W)HWW2gVQT=K(uf7CkqVL`E*elHI|V0nsT~ZU{`q#s^rPOUe(HH zKam?KqzB38_kn)Wv!`(>tTZ_WS!i9ccYD zu2QbiJ>IO~U;*K=pdEse@LUF#VTizd^TzZ!SYiS=8QUxXNJ#-%#|bOiA!E6~OtIgm z9Rk!&$o=LnoXc?;7*OE;m)|T%5I8LM@fUO)P_#m9qOQhw+zV>K4h)b8B|yzW^W4SG z0S$Pg9m3oLT#TxwN1uDa0%EO0>hBCUgwRb+0Etgc1rhQA29%(rItUTr0(@MJM@k2! zksu)hXoE%Ma-UgH{+TQXw6g6i$Q6(^=FtiWTLR}vo`4ebJZehR1@&M`NtnLQph6Yj z87@dV=f8noiUohbf=sicgvix_0huXuPW<^2p}&WRTMkD=?@Cj*>wIpDAX-BW7>@O> zc8D^W`z&ZAL3FeX%u~FWUcdK@kqb0G&q5vf30ywU#tz+zbtzEyDSe*PNQ6KI-E+`J zPOAdNO){SaDTZl>RJO;oRaLeD>ggZ5Hk()jy5b(`@(uyV&Y2>eQ2PKyVvM_i5`49) zzPJh?bN&oe%sV?OK+0}GIb6naGOz@c-uB%3c+7C4lFrW{`g##)+3AHnC-$u}Fs|kT zF>r-{*lFJspbsziLJv^GR4j=Vj(wEfmXnc38Ss+%n8-LCVr*y1{O=X zIDp?Y8gnnC0QC@qP4$_gpU)nF8E|XBgcf~@sPsYMz+RwkD5->>U1veIX8VBLN~MeS z0x;abh(rkU-G6wREqK@b5tmrsX*swJ*VhXC1K0QB3hZi|&SW$a#y#bHyO)NEjDjBkcs>H3kd7@pzU)uq18n zIXF00(y%qU2?!_0L8*bdXlVmy$KM%Yk7LTWE#N@mM%)V!i-0w!1k>l{kk7HwU#$QV z*lz&0-36C{2T+HABZvX#AP!bB{A&YgtPT$3KLFsEkbHb7lc0s`2e-c|s10=EHtDeH9xCB#4=Ct(DNb3n1l z$w0sjU47<$lmXdtUk3g%R@17B!t>xy%{loUutG~fmR&K>pgAN=0yC(% z3^wr5gbg9m34rIxpO8C6O?(o@<}G8(S;bf4!3Z3pg+jNHhTtjMetdF_EOGZYskNyA zZafj%NuNFi5jpn(W*T1)bX*JVOaWUv+HbXXaGC6R z)lU2}9RPf?sD|I;KRVjTd_aVwP0clM$vmK=K=he;O*c&FBg}8-K^kwSWNGg_0!_XVMQS2lEg2vZ;mZS5>fib+3?3=siNVKbJgWutMANP-f)OL~X-GW?pFDn+#sYU1`{mL=tJ-NWL}x1;dt%gFX5I7MAm!xOfMS zo7K-s$4o#F!wGDrf!fAOutSF1d;yyB!$N_oe*$yiXm^3SDVuc)z7iqL>2mW)jfZw% znC2u3F%D3d1a8PMaAGj%;Ej-f^<^B;Rb$A_JoT~0Z>Z&|6M&AM(+e=cr5>OVEqsbB z`wNxOl_g?t83Q|lrK~K`+F{zgySe-=i?ta*mWDLEoDhP*s026Y4-6I9Qi!mywf^F{ zdw_(f+AbhP17;7oQ@~axff?Nm@N}U20sA_;Li2n4ZNaDCoi;Tjz|{txe?$HN+Fm0n z*7)_u0=;-s3IvQ6(D;xr^WP!tKe<^E-3JIM zen+64C;@rMgJVG1?ZRd|Y-*-%snUar8fl3x89SZ@(d|2s_8<7N-6jl!;Z|7>c|%Lb~8C)N)Yj}z$D*guWc0$2EJ zq{_rVrKkhCPuPmFA_Q1n3)vOJ`|<*vJ{ z2(07#)*$iEt@QNEbd>qANL`Le=;sY%%^ij=+^HLi2IiCMC(1&o9Xtjc`YyRrhz{BN z7AM%@_<2S(|8||Ai>(HPqBPv)k!w|Viru>}yM(SnqEnqTBi+>lW#7s>OPw~aa%iS_ zf*{*)@ru+C3+V*yV#ZTg#T<&8M4PsVE^ou$Cws}kQeMDdvhq}!j6c{zwGq#XM*VzK z^gHXVP@8eMnuFlfjqnP^>B!viz6s)OUBCP zVBz}NbV5w=%OMZ&1oWtK%(&sUcLg+5>#wxN?)xS#-u4Y{r>b77Hx&Aj-+Wtuu6<18 zSmN#fvHs~9F@vo39x~(OLVLf3D0nbFZObe0-k^}6T_nC^_u02CUt~6`;ZcI?`GG&6 z`?LCk30Ird%j)-ubQT3ymHup8P^DZgssTF#jwdfQYXG(E|& zsJ=6)YX|9Fk})`v5Z1dz z4F+r1WvANLwr)$>N=O4^mf)&SF2_p(;}Czd_~2;drQ;=2VCj!QL93jolO^Bt%BaY~ z74&UT{nI1wGIaO5*z6*)MJzc_Ai6VL=N>_5zcH{d=69>AFjk3-TF4LT` zaDC*rK+ZNB_{}fyD7maeJ#8Mqj8-wKBr77&phHJ71M@{35ryqTAPr3Q$Wi_~1;O{0 zN55DnW$T$5Y$%Xs`xt zHaH(oJekLA_Sp%ZcHsZgT zf$}Yh_|tQ6?Ey$D)RQFDgFAKD?&^)9T}P3dilN{`UkOJWaYcYm@85DY$p? z%`JFO-&az+ep z^baJ%)8LE;W&p{vbX@Ji+x)Y{lh3PrF&nn3mkS%w>X!>IAvW{j-Fr8ueoq@~hiIqF zoiBCWsK4I%j8!XeUL?<6Me8osSPoVPUcw3wxA?Mi)RAp-krv&qbEc?#G@D0Mz2&<(1HDv5SAHrZ?G@3S<)=@O{Ci zqmQHMM~pI)cMd+$2sU95?@A$Odi@oO&1&EjT*W8zr9iK0YLnU{$+Ruav?*=VguQ>u zG^)4|+hoejr1m*XI-BJ-sb+urzfAs9#m{-FkJ8yU(IO{!eoL$mZhBV>HT%~l_bkyg zB)Hig|6Fa^{bCzX3wsS5CY!AyjxFcR*LfBY@OG@1_ot9Q)!4KP;rXiR*Ha?v9^Ri< z>vEjFRAVvvKL{BsUB>zht<2pNu9$vxocYwp8*R;VIY6d(`8{*n znSZF)C27?q>BJ?;gkQcZlbVT+p;7x?lH-*S!2#a1Z~p9HMLlqOrHn;)Ki9e^OL{D@ z)hsl55X3&l>s>6x5dC_&$TZw(-`)w#4(6i!uxy$nL#2FM%P+M1Z(=o!R@KYiN-DT( z4_O(WX)ZC4v2omL>h%6sFBlHtzq-R;X3>?8SmyOnT|XA~o7Vkr zr`&_`$t!KE2SzSGoUfgPmPGer1$AAgJJB4rSsxp=eO_QmaXp*5dF@&9J(5LPeuu+$ z8f+}Xc5a9gfHQo-0US}hE&d1KdrczI#&b!cvn9{v0ZF1;d*Y>L+pX9$q=_z8K`X!C zbG7S%ZwGBr1C}lC>4QWyBf*@X@r5)aog)SA348Q*U@>@#KSp$2v|wGBZLXR<&27!s zzC^|lUy92=D8MINeMSWsiAPF-YB=PtLjNe%BZzbnZ5O$5K9f*>ia*=9=)2#Zk`2Vt ze6~N}$p9%mJ&M?KKTq4M+3a&@@Tp=Vf(f66q z1J2_HeT(49h0t2!zYX;wxRw%MhZvPvS{=kw6Jy4kPO_up?-StTFL2bDZ3G3$!VLyu z#ji=e+pf@nicsASQ3p~t^H9k1$f{^Ia*-)*47ffzXXVhk{UikFO)MjH6ER=li3?=l zDE836xJdj2MeEcbfz7&-@W>H9TSC!wgBY*}aq#B6m+iL%ayQlPbo4y-F}R@Gex9 zwUsUivL*6VE+=DDc3VI15d$Cn$B_dJT;F*sUJ#^n`>7NNP)raTxKiIUVv6**7_A|rzJwcWPdOiNi=X3gTqOziGckA?` zq>&OfG?7XLL(nB6?TBM|^`O{&&e%m< zSgVoWWq)uWT((?)cIRJ>%f@qCl@Cm^e7v$^wBIPPs=W_xdgLc_4Y|PXH9vQHeDpU! zHVea+%%m0W(DxfeWqB5{BDA`qZRwDEmDrz~h+A$`+F~t6>FRYbj5@L%Ex6jx9{v9NLOc$g0tKj;Hbwf@`JaxE?2r7h*Xi#+?C&~rdY2R99R;4iRy8w$|}S@kR^!4 zJ_siPk{=YY*ddOlKOv%NcY*kW>wBK@xK0I`tGznavPN#JQHN>YQqgd3*z@-+Hcgji zgGShO#y?J7AAkL7z_~Px1`XjB#MHO)pxU>i_XRD{pEt&u6kK|BW{aFX?tN5mcQCmF zafYGsnEg9@@5v@$W9R$i(R7~S2%nlN`QxiUsSbWHq^=aWY489mXk=tewD(%~E7A@! zamtbVA79~)9RJG$y3BheDT!__{_I*SB$1B0nc(FMWwy`pM!2Ipk%0u}6=!_k7cy4q z?jMfuXJ2l%`WpyY3Ej4`IY?&k6#FPV6H-SWeT1Oqzv#*d&C!ttkFX-Gh)A;CjG=#@ z7>Qp$XYW885J=(7-OeK?W&nUSNQQ|%O&RHpO;JvX1&5!FI68xIK zoX{8^7DUGDSjn1fH)u${P2EjMzIq$aP-sZ)1{+RMi2<=$nv`!uNo9Ro8AOUSSA2u_ zF89REG?%MneVYfq?YfHm=_Diih-Zz^!#%7Gccqd1s|Lrh zLCrhheQO^O^tYEgo>Zo$FR@|L)Qbhd z5&8q6U^`E^q(APJ+HKM4hzoLm`V#=jK_np{e8KJR_1 zaSw>HYYN zkPka&O-C95s~Jej|6_O+U2eD%7hfteU)mt+H3Efd#Yf6-8Ztc^=Iz9pPR5g+bX`~Z z<`9r#?4}xI!)MTlxs_~zgq?52eVQTz%cX<$9Vol8A|cMCgKs`#axaNLYDuon>VV6Yr8AVTZ3w06V9K3lEB<(<{m#5i6Iz4|( zm+~Y%1YU4Wi=qE{|5=HVo0;hACKhC}GUfN&5g&31Pf~v}Z|)i4>Dm3uL3CM!N-{2D z8i^y>Fe;_V?o0$`qBn4q;;bJvNDtMomgq42$=>tmLtW|c?Bu#ft<4t+eQ%^WrU;!= z&AAmn`-GMIk5n~k4T%mAvWE2d>#%y{;Qo78q<$ny{poZxTALt8@X`6sk+-0+YPRU} zm7Wuu7w&h*pMX7=YN)a0BKdXphnz7$2Ps$(9Y^jhAK@bsU#}(_DaQF4ieOjOjl^(( zCkOn9Lb#P8+js_E1iIp#_nvue)Qy{tM%+Z&X!74q7F|EjpWL39C(&A|)nF1FtQSx4 zYAN^)l`mU$y2tNUZ|z05+x|koiAF?aM!?PI3>EK(G2!D7zk9l%WCaB%D#Ls!HUotm zf{0OHL{9&B-M8NP#ouvHJbSNhaZXuD-k)a$@u zwxDc*+%^mS<3wK@AaAhlo^L(WE9^vi;IW!?EaXN`nBFU7__Nu9KZRTP=HwcK$Wr*} z*C=qb8TVfY`3WfZK15_DQ@E&vHH+1c6NNX6A#Os^EIbZe`imHUyJbxdLy-WHXQ7wH zmOiMXs3OlgIq;x_*?5|kG$KA?q=j z_AfW17*?`RhhKYdpK1D{mjx%xP!8i{UNG5&?39qX21doqMqe{HWA;cI26c&K)KU_H zS^G_cK!0J8j~SMR=@Z7)LtC8`?Zj17PtkoE!_M~fni8uO!heqDu))1LA#~C?+q9m zR}%8&${F~21!EA-r?>a#PL@8WX5Q&yfq$?NJ0mWN48Mz?pqJfT=o)gr-fz4@MlMj> z=KPA~Sxw0Lorb`pZ~SLH)OFu@`x3?@+)_iGeFupt8H7)MB!p%A5IQ@6CuSNt_!kofTN*VKT#l4ju{;d2Tcn-e8L|A6%<9MErbl~2 ztCvC3WMxzd-}~`!JDP%1ly8C<8|BW#UQ-jND?LVo_m|gKH}0$Js+Ki~=NAvSia(bV z?MHnC{W?3yw(z;Xs)AHVHT{fG9|aed#g5ARIZ$-g3J8f!%bDcyTU~CAfRXzbR zX$j&B&Y}+J1FP>&HhjbzLgd9yKyc9$uog84-4MVXOmZp+Xy6WJAb@`pFajZgyDVbB zU6w(FZ#lAV@F+{p%EoGTIUa`7cte|&&BOO3ys z59q89eWcoH=#A|8A{f>v7)JBa!OUM!_3g{`<7DT< z7t!f7kl}C40D8OKXD<`4X*7i$-HQ^?j)C>$yCayKELAj-&!~Jw=di&VH?YAy)&Xce z2SuGY1&-+Lf-|}d*~_i%hl-w)3B`DiIrx|GkiT8X#}moSRVQK`K6eWL{>CJ#4{=-8 z_O|)xisI8_>@`l7GTXDxn*dogHS{W@Xh&f}{#@5_-Zl7X$9mH_+|x-(iR!*xMIjl{ z&}7pA(mmI#iHY;6{H1|Gsa#o=VXiQE+l6<%H)N}Be%iE2W|GQDVCqEI>DJQpGHF$c zO01#C!a2;$er&z*EN)&^fvfu5a#oH(`LJEem+{^7ZG3}_0?vs7`1!fW?LK{5_WpzC z)twprjDfZHDw_?s2AnRPj@Q^OVU_F)Wl6ok%9sIE#zKG8h_*Tg_4Og>QT(uC;e5w(+Q_c*(s~^q$ow(QbHF(OS z1PGoIZ5^Nrr_+zNA9YE}CD2ql2^?S!4>E}Mx_Te?@EvzKatA@(ww75pjPMF41#xx-2|2aintIDz`1&9MZAh@5^X>SSS5Ifr@ zC%zgyQhUd24PXkB~P3g@uji>BHd}s;|y)e8Gd_$9eN|% z+J?epJL>}@9)wCk66lQOA2SSU%~KZRVqHZpE~CBR^w0f%7aR4(+uv_On#r| zXJWmdhmDBXPC0*&Abd9qU6^IH&E{&$e$9eW)sdQ6>`-NwEzvv55lKAmki0OL-%;6` zoPoBTb2*$N+`*HUrqYKS15Qzf4_*R!x7?JsHpYTs8wt~9!z!ICtDoN+sAr8B%?rh4 z;ySXL`h-Oj`QY*1us9sA&QQ~M;Oa^iH7M*k`}K3dea5cGb#=vIug9I%&xg~`8f2Ez zHXPF*-Ot-}n){iaaZjQC>7=56Z4@PvvCWdX$Xft!arh*w|3MPR`cR4`X_o4+5{R4Y zFYwmrYFK#N<|&EhRL#6p7~5Z5yEA2B!Bq5ICRWg(m)Zi!TBbD@Ddp0mZ-=p3r(MVg zoHuoXG6)F!BHJV%)BoiM>_%Cp4RfQA1E(0bzAgMcgv9k-MSmmgY7EC0Q@iO@`JDw4 zlztE%3X3AUhpZ$!#|V)Pv;CSOR&VnC{Gefwr^S%ADg- zad_4mc$o4xP5PVWzNUmlx&a~8%!lzugfop6P3>wV2)4DAlguOnO>PnXOvME5AMDv` zgAAT@PTmqK*=^{wGP;6D)B@J$ayG8LUmESbTQQZ48yA)C-{WJiO*hqjt_EI-q|KGq zS@N96lh&zM>7So_9DUyDE`F#Gjmwz=gGU6@^o+N1W9)P;`Hv~3vI48+P8?RGvOmV2 z@zxUX2(D2s+(&#C)I>^wXg_4K^b(JPhJ_zaiIIo8`oIRf@{%whX7Pv9lp-*xG3N;+ z8fd{bmAqleLtyboa9Bh0Uqf?<#9ZN^ZC)V%*JNdP-5h5yr8mQy`fq8|-K}&dnnjW1>;)98F&ws{6t$1#A82 z*u)h-2<}BYPWR=evTQr%M#q+0DBz7%)1J{?Z6|Up?HUI* zwzV{Fkg0Fj3`UZj-%U}uLQqbfR^7=fm;Nj$N0NzJkHF_p(|8ITPuP_G7={f`O3w zmsHz`IwC)X>NC-^gG-*Kl-{@hHIZL-$DUQ{(ms8Pi zO3F?J$1tX05++7=%|Q-oC&m(KM0vn_XbLc>sS2C~xH%*Yi;<+1N_GoXZetQ|^4XE7 z+F|;fH=%b)8NZa3o9S-dBVTPQ$|jdPzunaqfUzMDjvRi6*+UwMn$h~xJam?ngvY&M z48cc(geO<=ext+(0l<%uX9db{!WBRB^; zAhP#l=4`Hn{ubI6X>I=y+Mirgr=h2uR{rNAZS(gNw?>n*F=-uZ6+D zd?fNT=|%+va*@On-2Z3n(J-oR@P-GnucOXd1;V*nCi0a!{sTY;y<$Opbn~fn1Za)- zi=&i*DY|k%i_mX5}0Cx)Xzp_NE*F|6NDPct9L1i~ts8wnQ zxg4fevcI%Z1|&vY?eiBx0wJJ%bghy>0Nq^47JKLR92$6P00`(YlWrADOzkF0lBYtI z&_kBR<0df*aU*m`@7ZK0{J8&L$YX`$GW(PoB4(?~>At|b^(W4rc6r@i5~E9xne5id zp1@sl_oBCA$Z~Y^?zC_P)4!EDm(1PGkfDE?yAQ=cwxsajArFRFC3gq%DH6dzwKn(X zI6eiQRctzVY*CULllI#c;}O9EpCB^9S*e&tW$}Kd+3@UW)SCz8f*;_B2Rir4DH%tb+ugrSij%8`Ry zoH!_wd`QRldwBNkgs2x+eAoN!q_9I|2eA5@Y3$7~LQfQ?!x12;WX z2BFY>_!QQ=y0WIiPvNb!pB#n(umC2Dq1PHu8EmLe$um&Gz}38pW`JAot7C%xwo&Ds z^R?`5fw4tJLQX{0%p+r0@goG7B&$ws#$oRVx-h|^`>{w98EMfpxAQ0#nv+?UL)`xe z6ZvBbKK%+A!xveI`FG~|3%p-adwy;}@vCPEyt6Y|Ta+D+69(%3Y~E)do%x>D_`Smm zK~>jJL#z0NbeE3P?CN5?8Ap-lP%6t^=~Q&6q9G|UaPh}HeJ6uX#G;GZk>C~~47Pkj zItCn{`bRg=gnNulz~gz|B=A{TKYrbXVx{ojADGSvq#pz#mQz29Pz$}Gy&QpRnm~{7 zb)@83$@Pt`vi&%*R!j;7wQa`FVl3}*laImPkiy5Z*!WNv=;_#J1L;_ zYj|H#qmi-nGWiWx_T~NU^Fnj|#^!htg^RlJ4H)OJ&2J404l2J_jv) z?CYfQn2e6r2=dogoBV;a)X9Nw@TS#PLO@zW<=jjg;X_d9x8hz4BzdTO%#|+sujr8L zW9Fw*3yOCKQv3D^?ViKXxOkD@gK1YM?DFksVL*({u4PQ_qviA#5&4~ zC{9VN(IQ}lr(41MeipeczzmH55rvWbz&3e9VD%O`Cv5tBdBX+(hlOTf@kLC?Z*nl6 ztXY@J)nPYzSif|kuX&TU&bKal=RYMpo||VjKJSax-DldO{wHjxN7%?$iTfd0VE}Js z>y|U}x6n*K01GL4w{RUOaCy{o$?=bt7cEgfK*d5i%URL&s3z7(x z*}XaK z#^5|ZuwZ<(aU?jqg^?uX>wBGYD2nn%hmz{~@#;QIp_J9jooKA-bn40d$v5#MGjWeU zJ2)2>Z0Z)qR+2`JEK7@!Ey}=75rFlapZ0%72a`u1;kX;)pAR^B8jXk?m+?K43H}ot zBfVBjPY>ndhy8itxr_49wQd8gl#w)xOj%V0UN-L5Sa~o=*s54!7 zWf1;`1O^KPh<$68zo1eSMOnn%i)|3q5s+F6u{D3ArE251Yg&Nefw&+E@Ti3#^o<|_n*$m{#=vDd1=ja)Z)!S3}bp8D%^_e2^0T-u_W8BkDK9)tf4uS3;jX~}}7-Ny2Gw`*8 zdHw$Z0WoZHr0_|3XyFLWEOOW_UbB$uw7v*at32JvyQjet2ds4{uIM*QKlh8F|F0oH zDH5-m_#}jdJ%tZBFvk`&nDhtqke8q*D5SZuCubdG*bHK11Dy4{1vjP{jQwx1yIt`w ztIdWeuy@lx%r%TkV0s2}Q5*z_(LOfryQ!nK^$9(|ob9+h?mj^PXN-D_5q-301Tq*`h)Il+_=IN0EB z2v0gv$k&;f<<3hC2Gq+ZOpZT}2^k^}z$*n9x35y&VyT03x8sR@jx&Bg{M+WkwRrgv z&UXaK>OLp5@_L?11y&C@Z%d1|xgvd}*qfN2UY>Uk5X}P9_Kh4FFv&a0HnN|cT;1RJ z$C%Ani3IU`DP6nsAD@A2>HM4uh~ONGR1^64rXB%wNJ~-JakTtwFHp5);ny}#_kowc zBEwgqk=a#hI@WK%S2av5hQ`Vb%qLyz06mcQcv1x>{vJ}Ra00T^6vRgY@Sh5R|8#&= z9l^WzY9}BKHNiCSrfU!Z(|^Fe|CR&>)9qudiif~WJ!Ncnc=KnVdpxdYLz&Hfp;p3f8Byc$)+ca5zNdw*vc7pk4MPz~tZ`a%dh*AWg z(5H2KHggtreA~J|A`#oENs|T`iV;}dqz8R=vjEX#Elz?!(F~k5kg1Cy)e6r;?|tb^ z4C?ZhUt~Cjn#yu0uW>}me%<*&L+2RDKrER*C7_+>3rd}^_|&p2ycIT}4 zNdz43@OE!LO9cG5v-NzPeRfP!yS7>EZ~8%(hkkzul2|!xyO^2u! zLdRMA2IZvq1?4y`t%o?ZmO8>75W$?GUTY8UHL_GcV3KleAM}gR4?KxOv=c|TZp$uC zbLJZqI-<4Hjl|=2cO0I+ITi4e`{i%uCvAzP)b+V%9RnLX)OLQ;AYMjgKl3v77JRi_ zk=F1@@G4>QZS6f~{1n=+g0$Kn_lA3yuD(|a5i+0LZ9)>;O1W~APL z6l9ghw3y%X0S}?S>%gi%%+fC}!<*&hH5F7DuvG)6KK$i3nmt=MRHxM`00Ntv>{-=ERwF;EVu;IEPk&8WBzh+1M5{OZJc3fc|ruqdt^Fh<;P!(J%3S0cY zuTcL*eBg3XSh`V?|K=zSa?4tjUWT!273(V9!WM5O_6BIerfJc`tT~Odt2FQ8#TmiE z;g#o2fv+SJHNjWVq0{|Wy{XeFhgk&%*en%3 zz;j>okXcY08!!m)_PFM>_Q?(4D_{G?2JqUC+1rhotxU1Tn|7cKK2Cp`p07DtRUN$U zVu->)%izd=|hsY zi8C+SGDKF1kHbpAV;l}EgoavMaCKl@*4Rb}yKXV1=^0a%*>de11ICR*$wh9@#+EFO zVD%xCl$6RJ-^sx;Qu-6AEtn}Ex){b+toJ5=PPb7t*Tf>dPrevc<-NPp*ZkV)xsM$A zbvIW_AX5SDy-JiKI|1|=xapAwcSJvKDh~`mSejb#r^OovpSb%Ct(^5Tb0>U}* z9QPql8~P8p%Qx~G7A~wfIdP}`waI_#SB$I#;%PG;NvZ6-qv3o7dX)p(jnl7$FHU0b z%V3%QE6!tq(B09pt=)0XfGnHR7aW8~FZ*H1+{nzAXgP~Cx+S3%z&dbhMGx0z<@7G9 zR**0%@!gu5t@Y*ZSNw0I>+!p1@RzsaPaWI=XuD@?Pb8!3(!TU(bM}x8X4(Psuv*1h zuW9Pv6zz4(3|q$ok*~dafZ=>jBX`@*r$aHlX!}nN2a_#@l2>N@VbX+I%P8caYVO{G$G~PGx%&9_Q{mE@xxu zN;W|3CfTO-j?J3+q@wnjZrFW42w1;D-@Pj z_J5g#HDv6zV^$q7myREW9Q54r*L^A|(z5aGEj|>DF ze(fr+nW(2o*E&r>--W>uq5+T{jVhwasrr=WE6H;JNZvR4W`NvnzB0bD7C8X@m9e~{ zzt-qbHoB5-N;e5Dz0hsQLb<#BS^VjIbQa%7k`=Fi6VlJmiDc5=t%Za zCpr}X9iNf1&>I5OX=BL^+wWJjEl5GHIIH zxkLh=72V27IpO;KEm8jdwuJ7Vh_q3A$}EBV*TRRvDOmJTN&^}g^z1fdA!yOq+f__-EdVyroL5vIU ziq7M1KJ{hfAAt+NRZ*4AR+}=tgw=*_+473|Rp-ga-<5|yfXomkA{q7TXM*W(?$9p~ z41Uxa$WcHzVGBh+x~&{L6On{pAa)pLu87zh$w)Oa@=v)^q<+)G!njxMqhb>y$p6kf zP;NHD3+~)XRX1g2ZS*45_NZnnrAjUnqx2}hLsBN@NLfK+Gmt;>ue!;JV&$uLWyddA z;AZZIntG3<&aqSE*fFBI_-lKiXI7?d*{F>=bJOoO6Jm?YqC~M3!byWPL+Jjnc~dIt zTsMCItu|jUshobJEN~Zs@o6|6v&G|!hFdg4k7<-Yd;dm;-WT(3tQBoEElnIU1Dbhx zgrPm_*BHSARPyG0P28xZlPCN)xDeEUbj}-IIm4ehl=SjXPx(cPb}ey-`RX?2zuc&*#Die3gMG*^N9Grr8d+PY;GqOn7g{H=|M+s zu8V25Pn>Q``B#wO2?mE|)%-IM9ufR7R-Em$J=(sH34{rzBhcSnb!s6wjPQcBL_FTH zrP4uJq#RKfnmT%9qaL@!sh>ECzQ-0gb!unbd-Irb;B==)i3$!;f_Hwr7!MDX1%L2= zw{b%dToBI^4;#s{8W=qCdthH1(l+JF7EJ zsv^C4@DyLxg)>tW|EN6t%}?@pv`M%&KbHrM5>zVCYXm%@kFLx>aKpU7#QYVX1BO{c zB}{Y=O+bjbE2hrKO2Ax5JH^z``ni4h}{%+Am0#BtX#OSO%#It-t>vw^4EycdYNS zy>*f6^x4QF1u=~0bQ!q{jYGxv zt1_3BK3oALqQ=`sNZ~ccN={bdHTYQ+$c(fIy@`lDd#VabgX>a%=ywnB7jgvf!$Z2_ z9|PWZviPr4`H#7FeG^@03BO$5XxO8^>=chZF$;KziM5{}Smp^^{V-6IG5Sxyw}s-p zJG~e0jNCyb)#dzE?FYtj(q)rQ=Y34#o^BbwaV_&RY@t$sI0e?NS_C&Ab}sbw*(b)p zzhQndP_?)3njv=nM){TMOJMg%I56~MKlPg9z@4SM`wh7py?mL2Wc0t-dkdhrzIEFd zf4-QC^Y-8Hzo1Pcy<#u~ky|K8`Gz0bMl)u~tS-Ma79uIe?v zH79F!FRHq_y2t#DF_DUsLewMU&Yw2DSN8ga~ zyhROu%J8%sv)2V=8nRGeTbsd#oZujBSI+Zee26uJ{v13h7!5vhVNW z9>SN-9R|+?od3l5NuoLb_ZdH~iXg`@Y7UV0+c|kln|CX_c@2609mH>BsTbW5 zk+?rKmi11Ew!!>*?|vGf5!hiIgiDDU5*i)uKaz%H9+eI%Yd>konF_Eoj#WSN3out~ z47Gwy6$G@fKAi~OwAsNjgr32^f38n*)WM5zhrlJNJ`9f*ft;-94%T76zln||M^=;| zJTLt6;lBM74kdV}W;moYw{#ybc5h#R3yWVChDbj-%%IvZ2R}Ojf(vAaSt0PM(2s-u z9|*rX2lK#8q|w3bi}qg8cDJAJq5%vAT~q@84>6Vue#5GNat;J%`UK&{_zSuGA1bbe zwG#{_&T08*6y(n*D+%iD@^oo7mN!W5!Rt6OVC}_|zQbUkgO}yO3POo7GJkdLg(qS)?=a+yt8m{5faiT&A5w5)@-NTwO*v#j1_(Ouu|Ptr%f0462lPus0Tw zMub7Co-(Mb%7dM`gw%tj!jp!+i1e-QQ3nM0{Rf)wp9Q|3aGs)Wvw0v%4{8|Na+J*{ zUbFu`;c>7ab!L^@r!hLKJnyDeDc!$fPM>I(&0zg;6imer@;71Y0~&5@&5~CZG?d7Q zi?4@CsJhT&^n$1$$pSSpgzhd$9_a_@J`oSYQ1D}74=J@f zy!tB#jgbzNa8kseo`QWHa}8*9&X9qc^IQz-FM%)la0)l~4tUo5$XxRy-wK{^HWiVf zJdi@_(G?T~)4xaeR17(&^v5o^r4#^jP)gO$IV&W9h5yw4vkndu|B=|vg}qgJ6w+tY z#~Aob)hquye`6fzQY!!37wGQydc@-;Rn_`fo{PPfEIU4N|6Ssd^a^~iOF&7FCyUa$ z-PpX-Si|v%{Z}iXX-~fw`J&Pe$Px`V0>yA0I`C~f7b}J1HBZHtEEZm^CmA}sSq^L+ zc>7cN!=*YT%AkMNq)fRe!?(Y=wNxo^Xo~Y~zf+7>~w%@;F^Si>D!+?+!g?D$$V)T7$Q$JRKw!L+ zi&VUIh#ubAD(Ag_yO%2}cl#{ct(>c7SiWvhIWDEa<597F!u=zWCvpz@w0mCpDk;aa z^p=$~U*|vx@beGA=lsdOJMnuW^UB`=K9#E~_B3gQ=EixpKeF9_(DVLX>61du9$vKn z4O0E!oM8U*Rf*_hn%0B%(oWsV8E2{%plvSypnDg$v7V*Pi#&WK+ZOD1awp|(mI~d< zQK#7zDz#cFsPB4QJ&bCb}M_dF; z!8kd=2ZS=k(xo;)92K9wwyRCugToyk=N%_STs2=Ud)i-|9!S&EUkj`}|AX(Lr^A#Q zuyY>%k@OJdM6B&`jXo=1&AUhXz-LEWwq~XrLdW)(+aTe3s~`bT$A5m|f+>BuERpAc z=`^&oZ;Zlgg~nQH^S8G5U;9a+EMUt8H768UGmhqKk9NcvY2%=$;w+fGkTMq@fh4Em zY+q2&eP-32Y3|7}cMH<@bhSYeAIqb`tbWk^5g?Aa_&xx;*UV4^xf)-Ut(rn~IPvZ}w~ zdP^QwfmE4DkRXZE@j~6j1}_l7nvJm%QF~uY|GB%RfIGFb#sk09cB8Yc-p#@I@A_V5 zM$yppp5<7R+6iw>ZQ4j)fzh&&Z4L9cWg{&s6`@MB_TaMdO3g?NCYMOn`WRtNm}kr!KgE*Axj{SesQvhARWIgT>5zQU1Pa7!Eu3v9Yp6&8 zVW6=HeX3kbTGk$$-@aQmEhF842T|W>M%p0A9cbQt0JNbj?L_|3^ZMy=byAGZV?vBf zQaBd1*1OutLnr_bg}~?jmu?n6yQv)ztjFO818_qn8t-(`7QE0{+HnD2qWY^P`>PfC z)3SZ7eS_B5UL;y!E3=uh^~mQ&SvJzn*XDM%JwbWZkYhO=1Iefmt&i2~>a1z2ebvsY zzxufI-g>Hq`p@oOY&LG4${ye0 zn6_K#C3P+4j6xFr$ZRd|f5bg{Ula#VGp0a4^wPhOJ+i-$y$bg~5bJ+J_B{WN>``m{ z9odWcKN;DBkN*c`&+#9Ty~V#Hd&&QZ>|w7FczucG4lbHN#jq_ch)$S|Dz7AzOG-bZkfS>S`#>+tKUrSHKyqM-d&(k=Um6K79_Hn2@nMS;K3QnnfAqYLzr4X1AaC%e6;aAa`tUz`9@HP}a0mV$)elPq_P8tzM5&4MVWT{p zdPjge*k#EoVy_A2u1SJ3+%Bp#eElAViaDs{cdCQ{krB9)| z1xp96^dwn?jSeF997Q5Xmg9^x*#Ac8{iC+W`&5$bMSJX#?w^y2^Y7&X_q-oj>iR?} zcPKVyvF=m;v_ysWA8ik#9;D}qeijO!g{D*zym#t2iSs2F&-E4fk4|6}{DYT@8)8oF zKa}3TDSH7ixafw`h!K4y(DHAFx1uRkr#2S5`887cnamfN&c9(u;gea&94}~#k1>^l zhS6V*BKkS%X(zr@v{e$8l~D7yNY^ByB+M+NZIuA$2?XsWN@>xXH-Jo{@*$oCoii7q zp(oC=_<$jZO^wpb{i>R15j+M+hdg%aE*oR)6Yrd>(wXNspe_=r#eZ%6Rg-M1bG7&2 za;`c#G7Kicwl~Fb*!Wz^L7tkL=@$apEf;H@)TBhG_W9`S1nMqRUSM}D-D8d{=(`0o zs>1J+r8>;b6uW?O@AC!&dU?o6$`vW;;q7yNf>kGi!u1{BE=uRkU3dLyjC-nu-QV@1 z_yI8KNPG8(*~oCQ0Y6?E`Yu=?jdwl@J$Jo4@)1Os`@|KI{$IF$C&KT~e-BOy7G>dt z)v;_mKINnlvftK$kOB}_5DK-;@VlIFogCBa%Oo+#EO2WvG_#X80 zGo0XduRtX)7Zd&VI-sTY<48)tWyXKU@>`Vk(Qi7}#$C%7@>}Pu^SFBjW$&tFX~l$+ zn+{_XRowF(wlUAns3j!L7_49riqMSU|77(7sm{2wO}zw<&POr)^1Q(PZN;JLzzZLg z5J@u-nnf}+uVI|SPnsYA)z+mU*o6A<> zo18ij=$PrS&Bsu`SI5Ej6_9`E04FPL-=}rvK=>g|A#4|TFsw{i*Cttn!MeLlfU~MM z`O%u;+sFhqHmZZOGanqENkM&`is_6?f#3_+bjQH(R!!-GOd>(xt~!c6)dXoCaU1{Vsw1ML&+dTjl+ zFOBCfXGSx-a)<&&BiYF^jAoTqPW0zJl`p7;HFEsKl=a9s;C4MekW}Evz;q6lOgm9k#DkHJfP3)pfQb;v?wG#m^ zTxwMrfqbx@wlLT3ZnJ_B%Aw%d)5w12^SX(kd~Ngj9;pejmeOpij++g6zHQuf7$fAN z>6%`lJ4a3dLjWnefPvG-0-jON$Bt2^^_|Wu6yizkb?5L^CX-6Sr z?kr!+INex%3a?nXOQx%=p}itfgz4tfLeoQukd)+Hc?nEEbN8IkO)8WI9g=Q)VBn{T zkUfZ@cJQI+VLj_j!nrg6DFNfv%OURu+043wD1S{_|h3`P5f0pGyhF{3p`W8!X`zM8scs zvy;Msz-4|GePlE|fWkp`c6@C?l=twrp-2*eK@-VTJnZCNCnobzMPR2>U>iq~9`@dL zoR(o3td_J15+hakN9gN?Q&9Nrsyu!`W#ZYlMx@X?^&^(7n4e&7H1a>qZ^x&T#mF-JWmgl7rPu*~VAE;+Mhz`48dB_O6a75Fdea3pRi@ZTpq1c{SY_P^&5Fo@qW z5}S`k<~>p7&G)#x0#IfQZ$MqI^>j}pP)}XfViYaXyCLAa+Yvq|r_LAccVr`%kBlfYAczT&ACaxW^x3ZCt# zm{;Q5Z1vLke))tb`Q{`emaFtVba{}WCG`_8Eg9wXhkm$-1yTEd49>O2^dZ1hrd>I% zOZ(pvnM*?R|5Rk|NDI@&W&^AIgcM$+9LWcvp>-HcmM{R$cdCFgGcEjd?; zzGSYMMFuT`p&V>!iJ-WHxkyDlIcnsNzj%sy!n0Q>(k>s2u7?|<>2V%nwxo7XI&HqB z)}%UJAjY*xLGnf+_4VUv2zX$}QeU2}5~GIol1CXH>BrL)_`qSp^w6p0FTzcT>(;2B zB{%Y>Fi8Sd|LR0-~2Gpc@Z*~6VUw$l^GF`O28HK8mSYdC=;otlZ!MQ;39>$+9<qbfTcu=)jsxlt#=V$rsZbvf5}EZq zYVCRj0vjsk)Kw=x+8YKT{w-!XzTVwsfeOrr_?4Ux{51u~zhes%v}jg}d?Wb-f{aJH zCFx_*?*=Fk^`(G}!A8x>{w0~(T*5eZ_3Rhc=z8Ld3Kd+ju?j~o{L)md@Ll6^6ClC& z(5|%b`4|ErLHkQaNVO7Jc*cky{yqZ+>=|B_i>y|hryi{!0R;b<&Sxji23N-@;g+6J z^Ys{hgRZkKDtF~8*+35eYb5j!QP~DTJ_CczjroQIi0^<1G3&j9Z(rA=zBS9~mvCaM zJ|@L86FoNUSk+!4(v__M=(HU;sgq*wlU^c==lS(H66?d zg7@ri?@dB`q;JEj;8A%}QANEFAz$9Ya0DQvzC&^n?SutUS&6N@H@c^xKM<|d&Vf5cPmeG)cnB062t}Rzsx4h<-2RgMdhsS>{#5CS$VkDR z9mxk+0N%#ZPj7F|XK06sI3YNg6OvfndZ)s(X6-1h$?*a>2XqZh@s|Pw^Z@~ELB7|U`lTNzu4LF2xN?Al;Drg+(zRz<% zgv@jI%2HMXGDGLNxfW z0QmDNzqr6ECY`=ArFWagFq2PoDPT^V;5TY6bh%EG$Ia>M`bC&#*9nOq*LZyXM4Dl5 z`OGV{PcDXLdSd1IqKriqnB)|J`lEuiIst5RiLWuwQ4gp6YQ?n2 zE`INK!8^fp?r4SSILp}7={A$*Sq`h_nI4Dc88^-r%e|FF^|pq(1@m%qYE)*Ie*8E? z!|fW?4|Zs%Qozb#UGD_LEp^hxFXqq2HVflxgc7Q0A@}Wui}#)e32Jk(B5Oxn~-MKGOBl{8XQ^n7+?;ktMufa^PNzBjHc z-#@AM{j&jg?vyT0y(iZkeJ}gE!TR06fXNm2j-8h*vG>SPy}E6#Z?v@(Op!V)sM(Sz+n3C3!Y9OyL z{?|i!R?3N7bA=Rvxjb6GhO?PxoMxZxnX(IjueeR_1B>NzSFYm5(d$Qm4&cMU_l^V? z%cw{#^BQew_Qc3V4!&SmqwkU6)2I+~AK4dLxe9$~o$KX^;cTLQphO0|TkYSfEk+r# zY}<;TQjJI$p7#>L?t|gM?1RFfh2Gvd`=G(g*!)$9)9&IMh?Yx<@zP(-ci?{-g6Y6$ z|A5^$!=@fw-57nc<@-6>l|m4Gc&)b@W7Qke;TYVI_vpum%^*Ws97DoJC5u`RnD(%P zoGzsKX6DZub9tIUBO+>DYqra*WfS6rxcU(?lcXp%?w#ejO}4_JRTg*ODpO_OJd?GC zK$7JpS1kL~|J}g-q_gzp$9Y-iMDCyCy*&FFeJ{*Ez5`8_z{MH% zyi}}a{pdWZD^aieXp@T!ko)Lg+`%$xMWh=Xy3#9{N9YpIt&V zH6B6Hy~AtWVl=(b9FwR!^UdOYiF05FwPEQt<*%xTGA$L}Kh%;L)+%nuGB0#*{hXE0ixmi-{vco$<49b~oCE2GLM54|ZMf4O2CY|?8ZswKKH71NR%&pk1YO!|2Q zxXT?5#d$~e(og-x8Drd;tP^mz-zyP%F?pLb==vjKa{T$z^Ug4apwbs4uyM96mW72x z*GF#rZI5U1ncflfOg@x-0)o@%Nc{}eSB}}07TuqjP`;{1nNN02 zwNt{c8Gq`+LDx9P-HyFJMfwuZ*I(cBEx?;fS^rS|DYTnR{~ht6`3=u@T|vF@tarCW zqec9|rK57eCfoLumB&L$SuJ=w*KqIrg-veRX779wF)O>*A7Ehk6XbBN<;P#Z;VcFF zn57H`J>8qU;Es)txM{)AO))r>eLv5`^>x$2F3j++A*-Q3Lte6=A%<>|;UTUE+Ut3I zpe~LK+2dBw(x)uD+LWJeXv@;9FSY}gaK0Rd&5*sBby@*C4;pm+S6)>qKOgwiWN61( zyM8ol87Y<=_+PugHVpZe_t}>Bxt8~REAR6x7wlEZ)25!K$1qQUckiA$nc}PUlIDf< zhaNxC612K%PqAMU?bEcrGZ2ZtVU}~6`0zzmgCa&uXx0na{<)d-L>DSHc2lV3fHkKR(m2Z17?b%ia|>W1305p|(~tey{4PYg*Y*u<|)nC&WZX zHRv}mSm{EhI3rcMT8~QX?RT(JXR37f7r0X(Ly}gnN`+tfqD%t?@^9htR1^7}CT-eg zzv9`;WZ?~UcpE*cCf{O(cjH7Fyiz@sL1f-B+hRbm?r;)FN;X52Y{f@?)~fmkhUf~y(4N7_zx^^_A&kMOKvi90lPw8NUMCJ)^(xH@JoDWWCP6Cg~Czs`B9e zpNsR3Mj~w@|3uLY^GV~^r++&I_;gnJ(_7_D$e-OTbi6dt3snEZ>6E+`U}62GiCv)j zpHELLl4Qg8B12V(3Fl3(<7_dJPf)Mp2=S52xv%4B4_&vrvU}sV2T|9AIX^$U=WMv& z0?deFr=#K#Lr6Q=@#mU%DfMMEI13zi!)m&9Gu_cIza9>tCL>6+;~N9v`_>tr-ETb# zDMGDa5hYMxxmr)DV%uT_l&1zzS5nrzht8dBiHNS7z0t>mJI{N0VSH~AYj=%%LSi3r z`S+~aVkSpCDG+}*+YhN9r2JMa>ooyj2tfca8qq~~26dHWY#Z+f6h{JrCz&(Y>^rAu ziLNoc(XGi&nDC*rrJj%^ggAC1UQ?Y&TJ0wv(ho6X9zEKZ8%G9EH>T*MNbrMkp*_CJ zQ(Xio`i|4S+YdEoAG%~(p~XD@XlM6EKj&H^!LQGrc0rU_BNTa6M)aG0K#=ej^SO6>J>6IjppvlGnL$~}Is7=l5xQTT;LH7h)IG{f?$(IPqx6Mn9eu1njP z6aTH4exqWdW!Y52+?e>ZVI*L{1<`ByR$AX-eQZH3$MvQuwbaU^BfeCdo9qPBnxD*6 zL30_OXf~D|_`PWWrig-{xcCN~Dj8X_+?$amc_aA>xpZ^VdwgoLbTjU+!s_hPna%H~ z7Xom8+;{&Ns_n>ov_ZvPctXit9mZ#NKBB=NF)fK-A9+AybcCwT_?&e$DS?9^r^?U4 zJ>u@1vu2-E{}Xa>fgFP7oBpCH>Tb+ul(%5P@N-lJGFMnu*d|zO)K%g-@jQv|ML?$% zKh6lbxI-{Ht7KlS6x%K&uIMrzIVR4}Ji*o&4T@u0B;CRdbPaq{9Y`AZ^q(dq9NHvZ znHvSnXzOT4fs`85JLxt|jn=t<=H0Lhq?kvG72ve@kbGbv=Xp5IBhH`UT=vFY-%X^p z06ITgi8lSXC32(Puxhdi%ajvz@8YMe160trcYsrmJ|}#49hQWU_7F6|9O_C^Y(RI3 z<1VFf6$d@mOR%^2@rg6~i*$z*=lI3X(rd*L$L#b&(zh+S`y1t|n;+LtI)}upnC`62 zCjsZO0=Zje%g`&F(!P%LyAd-Rr!0}ZbI6;=+Vd|=u#uxxdBs#;cFlsvt2v$Xewf8= zP>&#a`7&3Y0oJ@nA{4^yU!8HeWy`SYb@pep(c}Uv%8fSFG*=X|?ZG*8RlAq4n7+td zKjLt295qHS?GbXQ)3CCrcnU~>qvsbJM=%{7=W)_cJPb1-N9D&(+Q1QzwG@ZvnDQfX zhpB2|o4{LmzdTSrV}jLYVO`^YRt<#l6|>zstVlx=$x` zDd$kX*zNnli=7ArlTBi!2jUdE2O(1u?m|RJJbvFp2S)uoU{nni2rP-Xu=YafteornMlb*N{P**N4{k=jSYvP{T`DK$`Z}FK1%T8Sx9iA;_40HO680SFMMh87Q;s#LR~?=5k0>=;$oN% z1V-@c=a{WpKa2+XF9@v=)IxdqR zku&Q1r$>K2O0==)AwP^Hjzo8oIib`Tv;rn)x%7P%t@8&?tF=&>#l!Q+;8q`gHD4{o z=+1eoE%B4kBHi;lcpIcvc+6!%qf#bmKyijyr{j6e3~6GIlC*Q!-brNG4D#c}nKtH~ z6fn#{bW%@?sVU`=Gh^Hcfvd^z2C!Yv^S_)82qcJD<8Y{KVt#v>{a6O^wGbh^%J}o= zTr)U~VNc3stl^B0ZVFX25zRQZ`5M~jXUtg%Ux2&^iWMgiDHxK02VB|l&ZT~5^MsFZ zwwV3nNE+!-q@!`aYECF!Gsm>J{M8#^^Y=?iHQNI}3&aDk`$FUdc06kIGm_;7CRo%s zP5qCQFByPI&oca`q*JBVA;6?)*4&2q8>-M`ptHOd4QQud&8$WY%p+^tVJ^MiKtMIG z-G1IcLOj`;a3p|mdaWmdr~fXg8|6qtIRgem)8FGV2I%iS#GP|=$>kO21kR-#*9ha$ zlFI_+v7HNmNMrd)^9#po8tP!pcN**_ML61iqZr zHHL$Y6Z~W?FtZ1k+#V-A@D`aSJ=heUmO)>GP1_HBBf2ag&)#g(6jZw*F2!{0Aenkr z76Teu(ASa!#rKG1UlO-H=CcTF#<o?O+7mG(+rKB_NoE-Tk{E*vO26ct3jHFO zhUtY9*!L!L2-)JmTi`9rS>U0Ee`kJ)iR>hGA9MI3fJ&RY!UBH6%skBbZAK!gJsdeF z))8?vJvIG+8n?Sr8hu7ch#=>$5d*Nro(TK5&-0LR+dw#WpN`CWj1uL_8 zvfE2~AI5X>$`P|_1-RVlC9OIV^L>x06J7y)23`9&6WLc^4m#o7kI{c6@aTPi9rFSl zdbTfY70dw1yfeIC204ur}*J`jd3WD5|g=hT$(X6MlB_iq2NXC3f@gu}VR zxlkxboY)v$xpAi_yNb)HLsI2b+~A*Sty}z@|1E^yxg2MY&rk(tn@k+0iGxPv%29@` zU_ay`+v(NzW!W353O3Kl5*W+?^PNEoOx9Nv?nA(I zm-g}@z;9Q+(vHy1U;B%*UY4GZRor@n9RSET2Y!KR5fWj9ZQnS5x=WEmkJH`z2qw~{w!ROpE5bh zn{2uucW@KD#eigbJWp>(JeX_#)X_Ej8>f!IF~q1(@ENs^_HG7zYr~&EE+mW# zD*mFFy`h{Z18@IEEt7X3H!S1si_ntY%7pt1J%c7!YSr(Ap9e-5a-r9Ksjyw?4(sG& zkBPW-0RZuw*1phOp&N@Tz6|5S$$G_KtCUXGNnGsX_;{u<(afXYm`7E1d02_FIM5M8 z07a<+rt!k$yIDSIT?c1)o8L}h{DkY_3XHy=$l&={pwS0YD2P!}(h&;o#HGyIzr_b7 z;i0Aj%#Ua7#*{sVURn?_VJjH=08#12^X8{vd zQ`S%8eN+bqk;77OF0OHw6jXfzLC~qICo49>xkqQ4{ZLGNF0T%}!*OF{Mc}dn z8pR5rbr_wJaP)Kss%7VVT8u`d3iP#4Wq3(EB-LH2gpW$atB&BtEVB8U9SbKkO7LH(z+L;CiN`EzcH|pb|JE?8YgLc ztcNKp8GCTU6zXF3uarYMF~Ya0=QJviZF4?^w{^%5ZJ2}wr)?+vkj_l_l^z=G-g#zm zIdKBsx^Mk594D%kjAYz$ep3&Zf?hE)z?3 zGCuZ`nUp`L4E&I$67h7x2ZtJbhngf#^)GTKiiUaA-t_^;FnX|T$Jd~bB!H*Km?C}D z$YHbLScm87?^Q-yi}(#A3~(Vb8~cM_r!2JJK9Mr!6J=@Z$U z{;@{6tmeI_P(}ViRn!dh)Y8fWV!|Ed8NhF@?b1pmezx_Bg8A2zl>pW|`ss|lC>FzE zP32!t+~d8P$ZfL_Rnn+JZs-qbrSTOB)?Dq@k~I-d4z($XuzTLN2tP7c6*kt^FiZx$ ze6lPLWKKr1+$Lu%9=Y~(ZWONKBGHc$j+#_~oJEI+RbQ?-6}7#&t?G-8=DQj)+1~E# z_cPGV$VMO1Z33M#hc$mVj8uCEeK|V){i%U=!X~$Q$i!j!w{Jjae&es&89uh*1u6i! z3_C8XZMvyRFt&6)`c~+SbPb~fe`Fai8qt8WJ%f6YwX9jq9Fqc9bISV!XHH@a63 z$zQp?I5Us#lQUyWRFG3^-+q?o1tnV>6}B|~_y;qT28X9sg*liy7@Rv3KWT%Q{X zC#R84JAKgn`yBIhS$Io3PByYZWG&E^d)5Y~e?M&|;9&4jcc*+F@ z0NN_MyxrTP#y5kky#_V|f&bS!lDdAidlG^}bbZ{l=2Cn9(tbD$x_dypW7}+3unXo-T z8ZyP(VQOqVih*L*rGeL^el(+rp`IREYJE79WLA`6$|PVB`(whqil|9FAa#YjHz6ja(!) zmpLtlD>mlcyEYY>WWETz{^_Mat0!QmfqB2A@I{5Ad1l&y6AqQe#mGd%nO#CimM?m* zIOg2il5FW%F&Wa7tXfklWJF)gl-ecVksJ-JZJx50f^~<>2g4zvT6BGE z&&GQkh6Q0v-i?BwK{;cnR`zpVrJ!{D1ITiR@+1pk^OlN_Y`6`HeEla_s2pa&0eUJz z*>m-F<2XeP#G&7XZdK4aCeu2P(tXea;uR@B;j3`x7K@6tF>vqzVJo@f;3TQy##Nw8 z={Z&VEy%y_FE$~UhI3X2m1D|TEwN&kPH|3+NFm7WJFL&#IVr&h^Q-2Fep4~{3>6Iv z$lSwsvP-iXG{M=_lTSY6lB+ger-^bhtk9{m^rVtof>g>HXx6zx5pnLP&mFv$X}xer z(a4(WG00&_DUHr~x3^+j#YSWEdir*`@RGWETp?6g#HAc`K6%tT5u@SN@*aET*vtaq z=Vs-)y`=foJKCatB*Jkysbkge^h)in^vnaxnyKBb077k?dp07VD@7(b~P- zGK{HfH=U2;(dws$$za{V_JA1iQFhY+7JFw#zDYq_Z`}u5*Rx`t>CzAN@D_SBsYGdw z_Ey$u8zDjPzNcLSZu~yzy08pWzG3{M#3t;Q2ZrDP0}i+m(bQHbbWj=`jIy0!qKW-o z<8;CoRFzcQ7oXO+q`iCGlg68ntgb+b17};|#q2#f!?whAk>V>^QIF;F0ypLP6nDs_?$Zx z=S{|v3FWn# zfSiZ?lu|(A{D3_9UA6QeOUnqRS#do&@-B-agw@tQ(Z)xbtL3y}{Y`xBO}G_(`&yQo z(LQl5t6sf1eS5PnRdp{jmq%zv3Hqx{m99}noenEs=wEjM4kyTNU3*HzmubVrLYoee zGQn-eScic;z7ABVZpnKo4Xus5w z`rqblj)XX4N)9y>tCFXE8K6FxCj}8cdo9%pRyXvjzrLkc`g#O2)JnETs+Gqd!l#aW zn+U{UMQpk3$O=IS93m44cHC*k{DkrC_7lKG_ZMzS`?^I6wQZK_uHK=W)^WomckNBSRa%Sq)E$?2*r*f{6nOGwq9JrNc-;cKw%cbPy>O71g*} z2sVa%Nb2{NG=5@cS?Wmo8uyvh4Cg&MwJ%4sC+U^J?@DLh^C*m5*y=e0X~bw{Z8|8k zeyPb_@7_EOmP;2)P=e;C0&TOQg%r01?L}I7&%MoH8uZLxL~-gK zsiYiLEO81oHY=|rVOuha%no1AWDK_lw%!wx`yAH_TKd$qDF9{G4`MU$v8odcN_D#u zTpxg=%h-v_@4uc5XrwXsojtdf-sjjl-(RG&8p%Vt+vmkRE%FViZ(`qcU~O@>zRwyH zj^#CYpws4QHXCKrEtcx2K$y73CkOKz!`a<07+oOOEQC3v>r7~eH;QNdNXTx-#waMw z;^4ppR2?#;&%RL90yJrG;^|mC-+y=LHHC9xJIak%p9?ruBRekiuDlHZcXXf8N_9GT zvx&ay3MUxASW?;d++Hz!#B_UY)q0*dlBpDKvn)|tvR^_>fdF0~mK*e&7;vFrA{bK5 zX@yMQDbNVp+jXyo9^JS;@(7|2?&!P>4v!WO3+i|e4GT461Ci-5Lxy`+FahVmeFe71 zZTed0G^%8g`&tAwhr3!tHBbTO=Ponl^-gc^6A=Zix5*i;&yPUPg$CgryRuC3fPIu{ z`33c9{&oayd^f4w{icdR2kzxv&ge7ytT&go=Fw&XhwL90R_HTw*5h`Z7E4Fo`BgN+ zn(9FfHL#|-sM5-Zn_hBR+Nt4y4Z-DOryd>lWG}VTwZ-)n)%986%zm+1E?`6bOw^$@ zZE)#yueH0{u|_8&<87?|IcuW_lmwhHN!?&;$z9RhP0r%_iJfC>p*1xl#u%h@~t<{6!H(~6j)0?IXgIyK!@FKS0G4@TG`9etI~q?g`Yw$U8rNO8|l-6 zIQ#h3o%7S%u5;FT(e8{7E~nq+Lf;C~7J9l;44Qt^&>z7q&EajcGz93B`?m#0 zV6+VGrA=Go?s5noo}MBuTmKB8b>?>_lK-apa!TBD8Ba1JL4e$C^S9_bX!5TVMgv2i z$-gog(Nv9}*wp4{hqzy>3wPO4=QoEW?QqRexH_rx?*PRdfw$!h3VIfn;UPoEvI;7s ze!44t9#_%>u{+4E8$jv<0w$8HFt}H-uVXw$0~h!;P0I3F2(4_{kvILV2)+nMc$`?a zR@_*&Hry-px$HYq=DfNno*@{epSTGL_ebsNB^Uw(2ulaYtcKtY<0PFkP?-bM?6jG#eHC65|or=D=p1IR-BnIZ&Nt(efJUO zehm=)S!z*l6Gl;ZX5SyvNp&Tn?Nkd@U6*FB!b+^GbeMjq6fAAK%Ni|OqKUBoEE&^~ z-kD!t^({rzQ2Y}ef6c!GLzR9LHr~|e43t?yq zt0I~+)d3K^{$+Z_T<5%noxFo>E<0RH$YeF%uwV8BHTGd6)}jmk`SFV+p5muZE;_Jh z6j#7`x7NL31UFX%c3xAvqyt~m0n1~=I#q;Am6S&{^7S-xtAcwUFEostNAyzZ@30IL zVZVo>VC|;Deji62n}w$x4|y^qNoVqnBh@FA&Ms+rP=8)QLky6HN>m}xZYJmLdL0X* ztCp`TzB}1ozBLc1{;}wcHmU?FD(kj#XRMTFq3vBLX?EEY z5z8hTP2__G>o}Nu({<*uA=w$X8Ygu(mKYdX4C@zRgEGkxIN$WHRGJkuB&Zyt!_yUq zoGU5qO6tpF*2MgJkyGDCle2W5j_iT=KDWo@bHEs=ttEQj#jDq{o#*&V-8Mkokw|1n zYC1;AcykkT2@{=~7Sn9nCl|A@j^e1!k=y2wb?xz+GslW)f@(_3NzafpLX2`}C7trR|tp+mLMp1{=V(Xlg zsnOVn>x$`JTtbG?{+cV6f%&RP(I*}D)A*v|GJd$q4`&u@*tw`XACS^)VXBqQCp&UI zZ6&-uvx4rft5rOCVdgNJ9A23j7EeKN|MQKJsCIBz7q+4cVx~TN$(h&&=)yyx4Pw@k z^+i1P4Nased+%;Gew=*`yC^rqTr}Rny87t`Cap{HaLbcxSK{#IChStCrG^;HllqYn zMs(Les;{lfxQ-uZW}PO`OL7gHr%n~skbe?b!Z+3|xv(Fs;M^c|Zsz$eztYMZkMW=H zDTD6w*(BKI5c2-jF=C_ZV6pKdr0goy#Ye|`*)AcoD^aOu%a2QHYnCrAmD@nIZUEg@ z``4sxBE<@xr>Ow*U8fFb!Y3cch;tf~3+bok2-UgKn&qfb{9di|YR?Ng-3`BXADvo# zHRVR4(TQ|+RNoWzvyhY*92A7b%o#&wh19)jB=)7}#r0Bl{^q;#Z21d|i>(s#=)Obu z_wR$(JrJS$ukc7K>YUpGKkd6(7j|1PVgzn}*?Vc5Y|NZd*nO<08kG)ntO}z1FG|~w zm}JHy4LGNmHH)&4)q1f$f6Dr0Wq8@7em&GBGfW~mT{?jk~ z|Ee@6^tUGTHzs7)subWCe>$X0rj#fb<&q{FSEhD~QA&488qX?J`GsH1d_=J5uEpJoySux)yHm7C(c;#P7I$|mZpB@TJG(9JR_Hf8ul&zB=RN=T zU01U1wUV7oW=AHoR+5=mAkj>7SBRDthVUKOE%8#1WSS?9{}Yzw(s-6ABi$5|Xovnc zU<~?l^!=@S+&U|Shxx#Op$xDQ)+ObcQHg((3y(@hsZLH%cdrb6%2MXl5(Ar4N$`^Y zqz_;7_YKd47LTt#OzcyMcUw_dC{?*ZO|P%$1snPlXDW$<=WHX~_)t}qO2|fka;#aD z%&|b;6C7kJ^=Ho6rnxf>oN|owW`*;=m|*llKnrg0)QhGUDpk5`vuc=-v*Jn zdFCk#f9i7E_@Lz?^X^iWJ3haa30-RpQ}*cmy9qqO(UWA`fM2T3PK2{+VfD_#U_;d6 zqfz#KzE37H%n-g$_TPB#Tyfp2-OqM``-E%_8IJQ#=GE|^9XPPtE>^p;THfju6Ag)i zcX=Y*h+eD4!2wMG^#1`+>Bwf2b)*MG{}F(e(1h`B%XG8}gByYDKhQ+@ShvZB2)ArW z@FinDQS{!-YMsmhQMAS6{{{wcTX9BCCQFE-DM#yMfFyr`0fQ@3677=QT5Z~wBfXKo zS5~M<@4f*8qG=@hE7pCsW_*npn&nLC<#JgZCl#XN73$Q780lrtWZzQhWv+3JA_4~K zP$N>sn71;RyJe%ug^_hIwq_2zjSMY;tox-QwEB?>%jMRiC&miAPYf=ee~>cQyP>Ub zYkHOev5VBPBE9-zkg?dn*2f%2y}B{D?rSDPmB|V-M2_J-WokpG>Xw5u7SD%qq)b68 zxJgg`O5RmnxS|K}`ewS@^>M83h}Q?Oe?NzsH`wr=gE_r%8p zv)=s90zN8c%l1RHS!Zs3uE3#Ai@L2hi3r9)sB?r^{iZ*yzG4{6RLZ01g{R*+@ckFn z;LlP6*FW_7*8|_%b{;ipty#!NU27zGPm*bkvARs&l8oe+MwY4h3?*M$#uc$bTHOxp z7Tz21x>qxJg$(t4J@Ga1azywHKfY+$kuY~CkqqlNdgu#wB&CZ!Qf(GepIj*rP~PM7 zF1CxR<{0;Umhjo=b$=f4ep-8>#62(6Fg~lh|NEj?j5U+?rXIZ*>d9n*fW7O7z=k(& z*R<^f3d=$vbVJs#lUzkT%R&?`%~J@O$L!G7p8|s#o@BQi0x@{_eja+dQgIwje0bZRW&Xq(ycR* z8{cyDTB>)?U=pA|{-Q?)|81OW!f-Y*1Ddz!`*omkbIGt=se#=uOdlPJrx2(lD8^+mmaRP12OvM)>FjS+v* zM{q-dX1>bL>PbR2c%nV<-9Hg;ldDtcRFQqhF0cR2tHE;gX~{{&0P-I14}rdmEB>OX zLwiN#U2&LMeEkP0y%a7x(ehG*y~7$c#MQp^`bRi*PiM2ylMsbvY9%m}i0^68oJsLZ z`C!@BvNA=&d1(?%ClM{u+@bLg{(~qzeOv<3POtYE1v?@tEZ_8l9X)`m{zzvHCL688 z$R}cW0jGL%s&QVezRbTvQj!}p#O8|>x%8@l%ssfkF`vj0bKm9*t@Nq{?+W$k43}zL zbEI42graBTL}r2G0g)p+@OxhA)p6bxtI2D`r6}drHXu`=PSS>enmO4Ee;Fi3P*tmw zU06&xE3e<$v=1-Gn~&lks5gtG)x-6H9VzpOn-gKHJ+mCaK@G9IE=s!*4UUuxvjz0Q zjUTv$$4>ebmfz--jiP4Rf%Y}BX;(GU-L6JZ8|ch3M=|#~(rG^oijdX4RdUT((Qw0) zcj$kUxhtCHE`Z?P?BPPw=tzI1oKp`s4z9u}cCci#`~s!kyv<^1A48{auE4vC!o7@d zo#x(z)7F@GNNe(`u7pjs1I=7HJ>TW+V78aU3}1{Oe@w7PT84q67aq@QEc|41G!_)l z7r)Z|zNB4sQ$K^KCKIfreDST9UQYS)P)GKd_TCk5b<}M1o2dgsG$QV&x~dpJj`$b& zGk}~>YiTKqn;QF%hh3Yt7NQ}?X1NH4EXd~JAA13yR?DRXx^_c_Wh1ir>6CZIT4iSY^s7~2pB8vl1=1Q~qv%$#zLF;s@?lVq zdZL|k5}=t2mt73TUFE+4W)!JL3_GgLaD}O9-r%bJt5GbVuR0KlOGoE_oO5DR_xzk* z|79G!Zc%(h&2Gt^PS-v{rgua4A?=N)nmO_{-j9f&+qa0lk(c{WBj?z z9Jr-o-tK~STZV9;yMIpNyjA!7WIB3c^}7weeUr`dR==2@yRo8Iqv+zMA+7#el&|sA z2Q$Cu+E3VK^i37C12=U*?@ysy9b((})bOGnrU(^;Asx-;1exoTvNkVZm_Mc^oHqHZ zZ#m@P@N|cJ##0P7AUWRvj}7b)d+deUL1I*f(^UDIBA~IG%*vk$l&hh(yR%z*@c#M3;zUUC5JFYZa- zTzp5budcAHeU9o_0rXO;Vuw6+SZjtTbAERCod=&w(SxJ!bmK|(w~f1XJe-Ia907FR}R`|J;GOm1BT z{+cWXpLYmXep%Wn8f#hpa`nj^>Ry>M6;R-;o!*dGx{B<;5Hy||8vE&+O@(tl^aSzY zSr43*wk0YK`rt8i`f2+DB`28cOaM&t`}w)m2(4u|y{lK9O=D>hS{rAWL`tl-MWphA zPg_@`>K)-vmha;MI7L`~Byi4orG+2!#b8!4Y|Qh^1*=QH3dnJ;4M3~Xq2&L@m$+wd zZSLuWb7FL{58{`fxg&)?&qmo1#OFBu`damMv|c)GIaO|H-^ctmn5bfOm80&gxj_Dw zKUVPk;-^=ep#`FqWl}aElnkmm^20vrW22ExF7w|U0!m)L#3%fOG6N=3vy^w=j~sh; z3DG#GuTq^a_VT|zeJgM%vP1ZKlkYCB>lru0U$E2v(N(A#dzK-zyN?$19F;3_XCDVm z=X=-WA0R*iS^fDe%~fa5|NGiIFz!=g7p-+}SUP5g|2lO6WcO*v=T34noT2v85_+m% zWxlM_$SKfI;D`Jndp*Hq;6Z!c+ku*zXeSn;KolCWCFm?W1h~yN{VjLvZeeDpD71mt zpJzxLT4JT@EijXcauS@Jv_)j@Fl<0J=qk5A&~+$kMNOi6(1HZ#pJX;r5{i%Bmpsa4 zL$8j`DP`YWXuOBa`Tt9Cw?&}k5?5Uic8NAQv+9)Oj2jT6N>q(E@G3-}F!e=;Ew8J8EW%$y_XW z5hAJm0(x{PPQ{J_W-7dnyXYZoM8Q5F<)FQlmV+GW~9h&>tX~ zw&0-Q1I478L0=-G9HP}g=Qp2AH9CmlYhG4T_g4z{Xt5v5XV*9pLGO3N_hK=VXoyZ5 z-NY}(O=P;<#4a?G%7V;fh*eNV{xJgR*1|>2y@Pjs_(rPKjW_TUH-zWO3{+x_vU3&P z>riTW%%oyFyl_1_3e(1y#qB{?!58y1U+I`;=Vbks9dZtL1S&zNFt`x+P@p<+2~3||lWTWx{} z>qklz6i!5}5H;v;XUQV0(^M)bk%$}&@eSP2mPAP+6_RXdvbhW7_@5}JGop72<5)6( zqg!cW(1^ckB&fD3MbYa6tBONc9SoO}1*Jq-%qP4gv6BIkAT4mD;lhukyk2=Qc{m>I z)n3j?b(fa$`&QyuX*J@Y1I?uR7d;<);a?5E(7aKAR^b5Qu$DK}L5p*iQnW!@(H1Fm zK`CI11NtBDgvysS?My-TIxO+onb{AXcwNSW$w8d(iv&8>CO#S?I@t|Yj8nSo%~?w}y7UY_ z|82GY*;<5J-;hj8_g8mWG~mq>uC2{#fyWNlI#Zae0p%q3(zm! z#QD_{_CHy)M>K&Pa&}j=sS-Tp%>GL{JuJwXAA$Y=@9-xnETHTKt`fk_*j#~tyCYy9 z;9a4ZkB3KmiO3Uui4XxnzPvMhPcc-psRSWu%!2IRdk|1pLxOH*GvjyfuZp5^lf&X} zgFH|6*j$}uXx%$D2e$*Ba>@JeT}cMVj#sf(8(jr3ihxB)E$7cCGcAvop54OKZ4EyO zwRHrjPuMVkaX%0h(r?T2XZf%FUX^Rm@%=T2Pc9cE=*V~R5pY_b?w{Qx+zZ;V;idv( zcYCd!Kehbix_y`RO=>^NdVnsM*6Z#r8UlRJ)>J0ZdFXJLHM863*|&WoK5(3{(lYN* zhMUD>(@5!7c8&AluC*;br}g|Ipery&7;OpI0p+-?vJ#a-DRv#05R1c2ch}N?e0AwY z&~5Bg&^Vtzy=~rM-pfNI+6&ngB<32Eq&6Ry{L$Qhc8>RHu4c8vu!C|VC$+n)D-{}B zqc&#NPOfdR>verqy<+l&ZBtUwTVt;5TTM9u8XC&%TSqwoWe9*_fSf?tm7z|BDHQbC z5l+y;RQboYfM3qrNz1R> z7uQ0+1r$=e8T@C?pPz-kwnHomBrG5#k+<$gH$^#7Yx~4yJBg7QkE{FmQF~o@(H;H* zn{Vw`vg?w`Oto)e9(8yAcz-uEAVN~y1v9Kf_Te~6i;6?w6NTgKyWI2R z-NWrqwAi^);#J{%sMmnK+s2N;nK9n&OYzK*{qoKsR`+l0#T~O!so|yFeXfc0ocBe+ zgy99xHD>(&jPh@U3~S=&K1=;@D;9v48DF8GwmL?~Y`BSt)a5Vx!?8wU$w~9!D{(;V zZRG4(?zY*dfN+w2{|=HInvDcu%mqK+@KFW(GzEc%QJjC&3~VC@B@dX3$TB`HkVI(8xJ6De(c*@XL=ui5BWMcGcA_ zo0w*k)h&X*oK1R9uwW!J zY}nf3y?x4$oK#cPuPW($*bz+>M?z$w?B$H)p_je!BKoK0ijlqCSa^>0cs^b2qRqzh z%Lt#43but@{Xm;7;FpO%$qV>Ux=H-2<+#@Zl?HRCP2S!CV)}jDv(a?x}4s-T9fwV zMqiU&PX=42-XDEF7W6X$Y>1I*HpJ<29PtWN8PdfVO0+zEgIaQ| zY>3~rtqL3dF-_(1~kkv-@^+^}eu9Kug%0R;(}zhq>TJSZ)40-dr0OuJ`QE{i6n zEw|n?J+1v&y?@`G1^E&_dzAWWL{nC#+DU8?=_mFqV2G6|NWdny=>1sAr=9~Pv5@YI zPg*`1Th8v`BBGHMo%W90=Y5<=+#q?vZWF>D4;}QO&z~M(=8n}pDLVl+dE^UgULw)X z7A}N6_I9*wqCN%V`G&)e?#$9%GVge@~g?()c9-u-%a7iHS(nG8uRHe3(YgWwlu z3%k0^ z<(^4B{_xs`{|$r$vhCB_wW-|ru#2vG=!D945pWrpN?ZyK-nCSqHRAk;COtRBNBLZ$tCfTuk2Oy2GMrCEUaCcGf&3hq%9BVxd z;y3%mP5HwHTa6l9tr}a7Wj&_Ext2jb-%(vM>g{V=dM2Uufe#FScy-Xj8N%B$@qMz# zEjPW6D8Y*HwKV?NE2lv>(#$#{7F=NdUgv)FwED=C8Gyz?fvulp!_dp>aP8fZV|)9p zYEAmgy9Bo;>yH4@AJ8KLp70#oRnzf6S3|w>h~UBjymKM<3OEdf)wC+lu`* z0Buav9i+$Nb_(;PJSN?kIAMBm>XWEDf%!zbZ&6LsQX42$N}4!zdDpQa?bLsL5il|* zr+acPq}e(jvo*Q#=0}*!>kD~A;GuYDEU60w# zfcb(;S+H!_V)l0%Pv`}QXZVGMSNH|kJN#n6C;Z~dH=F>qYII%5C+x!W*)!+h(;P=E z|dT{DVHhg_3{oK#S+&O^9#VyA}g{nS3_ zcqC$;8tk>7U^mna%jB;!$%XQFrZ`_~0Dj~BRGKMk44bkJv9|#D=EdRr!})b;ZXOc29yj^f=FRHUAb(ug6dFA3lXuUaH&&%uob;>DyQ(id3okL8 z!haRVZC+0xqZg#-^~HE%A!f$lAJbxp;j^!(Ql_z4H5SO|SUMCv{xK5&ip`6dYM;bP z5hmGy5r$94n6XVeF7s{1LDuxECH@%3uuh7`V2r1VMmbBtTdZw*AYaL`2vvou7cqyS z^85R5-ygRhu7x`0sz<&j!wCJBJgtB7?fk>|&U<`Wf8{uJHmC9F{H}FY$~rX04tr3@ zT(V?1&DC*cgw3k7_(vl?yM{VXPz-)KU8WpxAuJ_&b18nC*)kx1jv&pn8MF)(hH)F| z^NSYX9&%;dfcu#aH8%ChAGQ)9G{;UVi9?*x-v4PJb^(4z_JwWj$(1NxIPUb*r`T%6 zMsDCasE_HW@Lu!eHd|_M^)q>-?b+isPwKh7XxNhRy}|QY#KFZUX^vE3f&8zTDJjWKBEN!w#m{#~(evLfJ3<5bow6B+ieDz3y_8Ey)e^950#} zjNb_OMeiAYa=%0PsWn?;1e&cX-0T#Aj5h_Aq-vqE=_l0r-(x;UjI_8?ve3{Yv6OHi zvCs$uC<~wll8bqeKJuC_@H#}W%VE=0inq^}*6A}?zci&o{FW_6Ix%K{rr5hS$g(D< zuJXGZ9S<+;gKQ5L*#Oq-K2|C=X>4fb4GP?I+YKf5&>yrHHK%$owKZZVc6-fIPIeZGVuu-_i=2p6_859gl#SK0 zr8WP*u0po-Z_dWJ{kY3>)&IJgv-i|KcykH1y9P1981r{E^_`*OS$jsPCnw^u9mdK6 zWn+b`jrQm1YU(^gMX}Y4&}L3VM|+HwMasr1SsVS&)6LX*j{lATQp{5}mdV;s6yu6S zl|i|f(L-g*z@mHkZ5-?mAo2-1f8#bKpC+Fst%d%mMustLUxfZIuR}3S@sYF0Apw~6 zfVDB8sB(arPZ3ATiwOD*%!dw^F?up)V+^5zjf{`-z${1?7IB_WSNl-fu!_W^DPVr# zK@|`jt4NvvVevs#A5%>Kk^?B+)u3QbrEF={U#?8H^gp`q*$xRl+WFMC*vzNd^9Il0 z@&mg7okE|Fn6|`QZEmQChyFa-V;*VkIww|bIw4;JpZJtZ`m%(>2@xDJ%x460NJ@fj zMDtJJBHM5&e;Fl|e)yMg6PKhR+DKaGg-}mTwl4vv`#IsFHn>t&#z#kVk+M+0L6-gv z!*E6@_!BlzuRwW6`;lILY5MZZx>7IOtDTi^ItJ}{(e}9JuE+VfOU%5{JmS72J(8y| ztv~#sa68{@B{hVwgWV&(RukwY>surEK5}MHwdfo9C3zoi@yb)W);(DUs3TQHK}a}K zW4@27cj$ie*`1|iCG^^8C5f(Ad)R0x?SL#LXdlUO$No^aBH@-wku7smH=a z^gf;oMc{fWCisd^-oIz?T2?`4MRKkH&flo6a4@!Pe>rvo;O!VCRj@s^Uyj}&E$`E^ zlr+@{s~U}hE<@5!#tu^xYA+wP(@b6{r?1e|)T$`2)Y8Aw z@sOUV*j{3nVXd5qoaV$eFz#sPa=QP=zE3x6_NNtgrZKd0_8~L%!+{Qx%PrE##%~fR zlbEcyxN>{v$DUia*3(3k)+9(tW#kTgbF1Cq6gW8qPp^RW{~WnVBq}ng zElFzKNS@$sgg&fWhp^Y73+raZ1kGE39h7+)1tp`_HR~O!O%Q)3w~KCME6~RfEA3r$ zj!?rvyq!Eq(J|{?s7)~DUYg@qbc;}P1q5yYfgeJ(eSs9G=te&U`xrWb6#vhWd)mH` zCFD@<vH$ZDJZK|;8U}7 z(--zotC*W<@ciS}-J*1!j<+C@V!_t63q==k9F(p9nQtp=C$W;4LDogNYueP$b^Rgx zfM^K;XUGgIbo}=3n{yB1OjtQ4OdDt+3PSNviTt09y|`ef;@c z4j2jG8G!dA{4!vuut>xBK6znDs;3?oF4xF9Q<;ZxtN=WUnj)PP=BrO9C63W3H)%hc zt_R^Yufyufa;%x_Km}hO#q|4}FmD@-yDBNKuu2fZIg^|^6Ae5RBAg}~B^1{!D96=Z(y-<;RCLbl-SVYKq@p3i4 zPWMb3k^TF8hE^-N4<%H&a$Dtg=Z;?xEWCijBB!B6cGF>9G?OzEVO^eDTx`&LGH{ew zp>^i^>ZG9T^>`o%CW^?fNnTajvdTz6*{_%=M(5E2V`DwWwxnAG-lRJMKHzaD-6H^x zfHz4dQ79GRj({$UvO^@MgJI#Ll!OBT^(9pg@P^hFI=(37KA;0Ct$WE5^sXNqeYjat zclmR}#_us+w0PWr?u@2ekG)UZUh2+n0>QWnf4hQ{!mr6Hv}Q5pY#{@^>mQNNcBpqz zN@P`H1Ywn9V8brX^dBjK8vL zx`RK3e(=o{n|3{^fn6uQa?kZEKm<8^5!?yxZ919XTb8Q^klKSuh6(mx*Gt_8RsJuc z&qoZ1i7#pzu@ATF2BhV2V2UE(4|ZT(I&`HBEQho$^rULW$5kI}nm$08HD((J-bp3+ z*=e5&8Srbzem5{xcT z{Urpl7>wHOkJwp`(f83-AQV(;0UuN4|KT)6FO=l8^WAu-Wf^svF%p|YXqHIyNOw>= zgCat3>`ulVw$2)##8xR;+P+R`Hd6)o>>iIiH4hxNhQsN$u3|~YuRYg21>bT zQ7}#jEfc(|9!h>Ao`fxH#H<;p2%A2B7K!$z>Z94_-(d2me%4Y8U%Rs;-lJA%GFxTW z!qNjyVoDPwk>f`}L_K+zcQ_H)7NDvy;Kc54r&tY5SSHOW(Bq40AD8uzT%12%gsdZu zGtu{~Z0{CGtFO)oR zE|hW;yr9E6FlqO)M95BIk$h-WhWUf~f-!7#LHnj0Hnv~b*a#XM6~E%6W+=BDrK)if zG#;fUaS;Id|0G1!W6GC^gRjC-m6Hz9ir#~qVA?dv1e^!~n)CmgfFSJUyv81+C7g7t zkn5vdK_aW1De@0G#xC>1OU`1_Oxq=14FESoDd-Al=dm9#Z9oN0dc41??FyI^7fZjm z5Xt+DMi)4xz1AmyJUva#o9uK^3|yWec|#%8(hg>Vy%l4kp%Y_*y&uq`aok2hPb_METxLdQ(RX=erT?yHjRA?}HV69X z!i%pDS?6H+N3!woRRI33G~6uP%&v~!it7Bb-oDeGkZ5H*WsbW^w^qg3vj{|( z_2x3M#5%c>MsZPP@(SSE2ENG_Vyzu(wNV^9!=RRR|3LUoyn z1SvA|k9p2pg^t$LjN^j+eQWIh4SuigK0$(nq_U~yP-02?kDsp(vy2*zcD5Z&Ac+CP z*}I`bkGK6Eh1(xDi?hv3f^`JLgnc_M<4NeoZ}2(dj-+4|I}q|85}9TiIaO9(Hgo-iX_j~B5MGTe3l#lsMuvk@=yEZYk$%Q6i{nB~3zl2!e=%(1LJ+;DhqBUCpp3?ysa~uobT_sGK){1x zGs%%)<~pOV9T@A#$F+@cx7GI@Y>vpK2rnf(W^K);qWjNwF!+PcJfCfwDczb5FKYZb zQv<0gzy3Zno7mqRus<1Ea0vWexqZzSIBP?GD(p;F0lGk(dK|){zZc?H<{`QI`90Sd zj+0>2_57YAK%ltVEBla#Yzi<)UH`s0boCaZxi)gM;7%NRJU-lC9dg-9)u4%{4E9xW zK+aO~bmccL`LK^z^wB+(X^Y4dnMe9N^dx%ozeK#>qBP1MeA-e<2z}a;OZa`-a@bk@ z^c)gUA*h)xE*rf*Zy@UH`Hz{Cbf=%E0uD~$l^x%-Wufj~JfCad^7_rfppPD~i~As@ z=5~sCB8|*-gzniIiCu8KBlF2fO%Rxnk5D~S693vVuA;O9_-nu;OsFUkP9$K7{_%MN zn~@i)%A7nBEx-P-@X%@ZWYje9n(AEiXDYvxD}`9@1j+~(JLT$lf&;sF z{r?bZgl9$(5*?`}^zg}M0ZvOQqLEFkFd3b^Dh6b087dR$j#@N;FQ&MCiI_M=BO#On zy7v=P+5L5ok~f%~%pOXhtu+a2?DY+QP`k23^5r_QQIKW_xFd&TsWb*IIyBj2+&iCp!s0ZQaI81SSQLRetMj^p2x!HP9 zqoPre9lmPEs5~>OD}{AmqcPh{ZwfX@0s%S_1dBn2Kq&^22_*$1m`~FWg0Jla*^Bjx zu3i$)vWu2$%1yzRY1zI6V!zj1iz6g``%at<$y7#)3}wWMdYhc`OS(jKf`bnRm)Hl^ zU+N(iSbd5b5iw%7P;JaKZq1u6H{cpY4Al)FI6=-q> zlb9xy5hNB$&B+7}UU9Yk5m{17&1?f$F@Uv22-?vIITj-;b<4B^1=A0yv)EyeV`pf*lT#PI2=GC7t|J844eRTYHtw~m=0 z4gM7#vQp1RS2302;@E2M^BQI$>S4KL&Un5qjF)a1eL*x z6=In6yot~dL%DsGC>L=qOsa-R_aH4pA4FCL8(xTkmn3KMBG9>p7Xl47vO~t(1ISsE3XhGRY(Xi7bYZeN52Rq3H%28bBOBTXhI0HN&qzW z!jlXx4id65{_w&RyredB8Tu%)GTQLMe7u#hHa9Afu9zS{e3*MS_zO#vy)nGuB-R8f z^VtOI7=C&&Ij7dqk%S~duZfF9264?;Eo{*0880*gu}!B+_a1e619{CN20CKfS4ceYPxlmqg<1W9ikzmnI>zG@i7Z-H4rhqWU^ z&@*9-ssE#B9AIF$Mc*nech1s_ zmaEfEfMA7!NEbeVt*K>3TP%&?r26sjP|eGYZE+{lG?lJF$9?!P>nz2-YA#EX5;#mH zHV$k=p@jpVmq{t9vSZ-M%0eyJ5M-$+Lqut%^M+ho2UXz``c5Szv^oohCBpA3OuRns z5mEt0yAqJUHE$59gc2!2VifsbP`*nbx4=rNIh5caEUxB(BD=r}wpYrxNG(lZ{2VHi zp3qq2z{{o)0cIk55K?Onm089hx0FZlga!h>Gl3oO`<(JD#z3CPRQlny`k}-zAkXNE z6CCZ#OjTvk$kYsZ1UUnHw37bN+yPfU1we>3o#{ajHf2h0?d$QNrqIS~owPVWQooGI zMG5Pz9mGSikLj(o3U#l0M+V!kcch3QdL<8vc@NtwBmDw&Or=}F73Gb&ulWYSIDIU6 zEJa}~Dzpl=WGL83pC@FHBxIU}%ifSJfBA94!)4-34m$*Yq!_yO{bOe&h={P38$H+@ z2JO&5oQN=Z5Y$&k_5+y=V4Fc=k*^KOLNQ2Up7Z-ev|_?F0#!{(Ma#QOhZ9H_j5RFq zKJOun(0F1UjnS~dE;?3@y0YHOgctVU1v6))EMmixM=$$?=86}swfE)r6E1rNl)oBb z_=>m%4NV<}#Zt<$K3Sf5#kQ^X^9u}ozI-$cQbQ>8WRlv9Nl9jwlz(0%N244=(fkZ0 z?h$y&&4bRi4J9bzhZt}`7qYF4F8d8hmmprQ5*te>ra@ae8y6?1(uWl6;+W$oYT|69 zC;F<^GB-C$#57G@OJvhEl_h$9q#GPylU|r8bz=_$8J8T(n|e+vg(C??Jp@{Nt0vMa zGFo82A)EEQkoXoZBD5kVtWv@+>bHX8CI&MSXGWxC!MQLqVbh!l!9w3+=Rq9&)z)ON zS*o`<%taDaS#fpQ44%&%?Ev`+ zh_)f|)Xz-JeGp=9NqnB{U+O>q?UQx(EZ_bJefG4vf9LZDFDK{UM}X6?F5Ry~cNeut zJO~6r#!K$iErcdW*e-3KQ8JV-rRV*84NJH^eDl`Z`8p}Dsj8T4I(2I^M#Sgu;VLqH zMYA^N@433aDd6N2vwNhKX?!+TJ;2eOsJXd{I>MDd!jX5tm3P3Auf&zVil%D&hH-2b zX;mi?AfKh3Z>(jMV=M~6(Oq=zHb`~qHn3nqsPFTzArKJH7~6 z+5go%7VDHMxx~zn>n|Gn4zIsnmUArMJ_UQ4QNBet#i@aD-AcD@qW=9C%b(3-vyQ1n ztIYDZa8sM_xZ8|z47)2o2B?{5dZtS%K@PWZHNC&8Jj&%AB#V6}-;s~|Emi}zHKLvC zQYrqBr|?HC{TkVl>m7KxOU3F|Ed2?2G#ASJ>okKMRd<8I4{UXc%?1OTC%HUenNxEO z)=H<^59~5E-r@R6reU2j0?>v?sdES5bPjH!m$#&d5q0c%2wrl-2)rM)myb4|TrvSWpVy5*tW z(INHx5^8PD7iVMc=PoB$|Mk#^w%Ds{PcM(%(_e-q74lUT@{YaR?>)*q{^puI%Kq*Y zLk>0gN5J?^Q!Nu}LC0!A>uRqiix-eWV+@*Lt#iw%c%n6#dedv|5GRW@OziRe*EZIfM;qXKeSo4Nr%J4=^fc>%S5D|Wj{f86BA;t z+kpctt@2Sj5%5)3vMu{#yM~tfCt5EZk247HNgn z$NomWplh{Po{%PJ`J_U;Ha$|&@ zZD5=zjax34#C?o~h3B)hZx{=PuMP6s+=Jvbyo6mMf1x2UtFDLjQkC=bwn})s<&ddt zLnDY{WT@#HC5mDsRP#^f#VTWV3nvFgb|AYgf+!8`bn!&XpNra_;)(me{sPOH1+ThL z@-e&0Q!_-#6vgtcXeumSEfIfQd0L?FK1?R6Sm?ewMt-AL?c@)Up}h$HVWiSiPjL9f zNi5OwbGb7VlBBoL53Ib10U95)Ux@;*uZMrJ|C5u+4)NXV5>p%4{wyGW3t0SUM_pty zU>^4Do=GzR!=sZnu(R7qeR~nRy2iyY%$~tOecLW7KW&1>-b%7ALwQjl{UA|DmmMub z_`#woi^KV9M2{x-K3{o}Qo{q2L;7kYBh<#}$7fE&nfGH zhHzCC&)XRNI{*1_a@z86;;biGcA05kc9vNI_(Q-ml%HkV1HJ+N75nN<^@MX=6VwTh4)t^yL3R$-(A|E*$b|Ux?_8jTCa(|IkT;jEy=R4 z)!%?nyk^%rn~PiJJ*YrYqv#+A9IgG~Q*J~bH9@?MVE!dSO%Rsxa_Ev<)V@QXJ@26m={|OrtS6vlhgf{m!L}4g33*e>py7uLuNVh11JVC(+U5(%N4` z{Q^XXA-JJnKT(;He}P0?95Q(z^G55hp>1E@<+s@z1lv5RXUtxyJF0xbh{Ed=<{irK z3|{CZ-sxN%1?sysma?;71gXCc)-kR+Am=Xpn`qA34^SRxAiR?J_|2~OVd!y7H)nnw z!ou0{m&fmkCQE>`Hv@#8$>w>IeaFMXFLMG6iIPK8*V3?fJ*0=W7Yvy-zvfX4X9>rl z1Ahr8XFl+kJfM&yyU>*oY%2;e?`u$W@C@ha5U>`G3%W_>Sal1OINcw63gHVyBY6wi z@82_0Gv<5?-ET;%^~1qTnKJ9U&{fb8ibfo>uCf!d$M#Rbczjq-3AMbH&t z&{*RO$c(l@(8In#6CGp%xUK6nIe~v%i38RTa)lc>0)=v%24mkq$ksf5m4BlqE$Ok_ z*fYZ&{_@d5$QiocM=3_bTd5N8;~IAXL6E(^@f_vd)taI0`$8fSpM`i^owm&4|o=MY~s-uy0faO#&K+$9Nl7;;TOoJR>L+9 z0s4wg4gsP+~+4R1Ey)e@kGZ{Wdyh0f@+Q*mZD0W5pLz^M%jC6N}PTAOQX{i%9M0D4wxI6 zV76f{vfYZ{GppTi^zi7Pm4!B(jo)nwh4*`Z9pfF60JL_|lkS9wokpvWz1lZZw$!4+ z#k2G20<5YFy?KUPsny1juS5h01~9%3JqA%ree)KRNW)$~5f0ldJh|&|vtzOEt*i~5&Of*<6%JDM3Y>klWmG}R=%X=`>k2XRy^Jy`ToB<~OKaLL~eYWY}>u-}l!yH!B$+s^FNbKES5 z3qXLleSdbxrI~s``NMu@&d;FD6YmeN(!SptP2JfW>o4aOdCdDZqd9MeY9iafz!wn) zC&B8mJS;vhlw%pp5X-~k1KgZ8LDy6z-eX3q!!CD>>3e}geFP^cRP{7=6oz~>OXa#?)#$YOKCT`K@^?p8M(6@mU z#jjjP&H~ZK>ux}k1-?tfPXDQ{U4HzWH)cJxfK*}d5?>Vs4XAH-4^{YP@3qM-2z$C) zBUZ^0*M7O6(w-o_wmDb(VKPQs=Gj%LpSa|{9WA|cipKn4NwZMXWm%{EjBkmwrGN6g zI}cikaWjf}^>Bd$)1|NIU*}p>?Jvetq}3lM02j}G%eJr4Pm-U;_S?<=ZgugjrE1$* zzkjniyxS2qa25Z#nf=!i{;rgD3}KaOHRq^@)Cc~8&f0fmCVw%F4xeDv6!;FH7IUW-*yLl_;VwaeWLC|==^rAUD=2QwL2B@zzVwvKCSh4tN2lbmF)d` zB#WuYK!wfemi_BZp1Z|fFSL`!3_qj1C&ZH7!~GlSl}c^X%e1ubx4Z7Zs>~^F4?~OD zW|FU=pzn`UmkFLAEuVYU#4}mK(BURTT5nRgGPONsY}g{P$pVJ_>9Qosl-(yTv|9$p z$LLQJsa-lp!Db}8Psc9&PaTStpvjVY>Dva-9KhU6x?{uGA0tShBj@0Y#jNzQ%xY(pYhwtc7db;yPIQ~$nHgyYO- z_Rs!_gOn0`*6DZVPT#|9p(F}b5sbcJ;)v{}#_bGkB2iZAk&@RSRggstKZLTVkn7}t zuE>JD4xC;^bhxLHk&~Yi9fU*-^AE)vBf%aLk<&?>P3l1I67!)EfBqlR-a4$Rrt1S1 zX{5X3(4cT==}u8PMY_8YkWT3%;Q=IsL$`>OfPexLA|0ZFNOwzrbGV=Pd7rr7@B8Ds zu65S@X6-$D&#al*d(F(6vqcqt=g9veAo5@NQ=~8RyIu|lm*})I1zizsC!q@K^f5TR z=;x0D^Gh96mrFZKeTeuF#96cnrBFUNwo9<+XYa6&oQ z8ZhC0m5LG6Aizl0oD|VsL7h_gP)#L0&NnQDs|e#{HQ)h9fL5d~r%%l)@dK4}e#z>{ zbR31Rn6L4obj2a79<|qz>9-UD-llf@Q*Qgs=K8-U=stA2&oKOwVP)*)qjCX?3$WW{ z>J7`s`}&`IgD80$-BQV#qTEih+r27-+uYkl74S({)x*ZV^&VXAzT&yl@#6!WJ%dZQ ztcOuijf7j``Rww-Rk~;uA8edO?kmAP%!Zjp^V!txT?LEgcHph7q=rzuH}qYP zVOaV4l{W{sPCeuU$~UKA)EuUk!&=JcDiw__m+G9<+0bA!a;!xPhcIYxH+k$2X0c@V z3b4nopUFPgfy5M*riSj2COCVy9H_@d?n|5i(@G4Lfl=gwLf~)0!h4>IZcj}#+SE&(`mA;Cw{^X>=WX)L^yvZ$YQ^p}PU;Qi7k@y2Wx{5+|`QO`x2)N#nfeBCqQ{Y~m( zHgo)BXGnIVJ7?jn#QQ871T0p#BT3q6tHS+%sP?JB z^MYq&qrBUa;Iepc=$9T88f=hvJ2)w@J#N6~2Vc6f?iz3Dk37Pdq=H-Iee3yP;@e^E1QZ8P=~gIveUDPFPfyje}KuSpUx)7Tv3Dme>1!?AYmfp2;*LWY!JqUu7aG zNjR`%?WwdmZ2k~2KajCDCs|ZO@^?yVXk*G2%q)W{4Kyi|k8BWacoGpiri@cj~u+#=_h z_(GBh-!j?$BGPU&aQVvi;@#;t7pNz$X8P&`QF!@knB=yd0pXmKtFkPXE5o}+4#21C zSrLvkB9A`?t>S=G4O6Xxzk2M@KZ{~qo}Fy$dA25O)jy4SfuJt%rv zq(Qk)#mA!;HsUr1t!6yJWo>HWdmZ`f3MX>DtEu}o6LV3|kr9fmL=9YYeQYV=N9VJsGBtcVDN-^1y0Es};J~nuxJ!+|;bA zQcRh0w5}e8=#YczE2C8t&lI zuzz}qH*64wbIQm=(ao`nZ_OE-W2N50wIB{{L-z$$Vr~^?Paf!kr0cvkzi;U_s@2>f zQF$rBpZofz*jO4qgtN#vA6i{rn;i<*lMOI0)0*BwsbdYroFAZ?YZx6WJ{_8yTimeN zJF5K?VE9ZYTiHIi((2iN(;#Y0;JiBl?RHqVqlWopH8-&|7E>Pmrd^(UY;!O2b=sz9ys^#m*&|)3c=ja zp2ky?m~!b2NlKn;&A<75HIRC{kC437_+x!x@eCR)keh(H_@#+2IBZMO`?i|#(JGUS zYG)FTudcf#4cj}5f3W3ZJW@rHLVHWnhY1CpT7viEFqB8;IjCtBBMR-^N%4~YA>_KR z*-3;m%Me_bXS!KJab8Al7WrAD;R2!_T#im@v9EKlbMV+C0)01HtNmr*^EfEs_<|YN~-Fqo4Egux>tX3+L8A0U&4f{ z=(-8$JL(?&?rBHL$9QDUrRMVJ*;Ns?kI>@0M+CfZG!gN+nGqe3Cx?Ty$7E{-cFUn5 z5}Jv}J&(*8%rR?4YO$SfZG51Hdif`<#HmNoekRMrbNe$Sag4p666lWFzN4D=HG(*e4dp4 zG?_}{9ikI=w353O%syxKc8G0G;h#5j2XEDyiL3u?+&K3Q`dPj6?I@_V-EHb*>#Y6B z&#W1;vUbs$J6b_r`V<9c%ddD8By$B?2sf_Mrv+{0m5YQEXTEBE9*ZcWBmG{!Ig=}5Q zOiKBj9@xky8Y$>X9j+~_$8x=i?GwOIBq#Fk&+mgkhN(!66rM>y_DdHWMo@@6LUAxl z-9vGd%kxVlL?y&G(P%nf?r=Cgz&KPmlMP@DV$M;)90gvXLCu}b551leUl2-Qg+rUo zy|EmZ3PzYp)0yAP|2d*vq3fzyvELyKp|dO^*Op<@cN9e@1rR)z^c@{;pdo;snM;J0 ztQ3#&Wvfg)MPKEwr3chUS zU0ipperx|wc}=!>G}>@}@OdG^Gp#MvuyCFRV+g3ng6wNj#@m@cIkMU2~EotMkhzOBs_zJ=>SgnQgNVZ zxnza`_zu94Fh{!5Nx;f7z8qHBl6KWf;o@#|f2i#uz~#R9*Fd`=K`Nh7Z{QCq4uCR9 zNQs7{4JtylJWjp~VXg?Vc*9#ll|P=8WsjvJ?pV&G4%3ZS?IKlec*O$X9W?-(5ol_# zw0O1r-)koNw~JKzqgXZL5GKaAS<$5{&4*MYKf4KW&+sn4MN7MgCeax%A=cb~Y?~VT z;wOI|5M@xY3N&l0MRM+Qmnpy@9ium}P619@IZkZx=FKu|*Z7O9Oe~!Tj;;{(y0kmm z`J@k;S!n!?=JO^t5+9sTErC@zalO+EMMZZ(5@vfjT5J+M8_x-Bd_$i zYq()pJ6UI5Wz}ii8{UwF?nHNIvI`f%sJG%d`GHZ-JcSFYLPm+e!PQ1*D{Fcs1m)~o zs+1fs+F~$>PJ{{}Je?!Un9^dc4$}{QRCH3g_cr)A98vel8~pA%?ih~$s4H!=(hBS?I8IXB;)64>)+|c3 zx6p(4gBX&v&><0$SxmB)n8mPn5_Cq)KaRt8wAc3*JG(DN+nJY_6kWJoDjJ}g(=|Nr zw25|%;(IF)HTwfbEq*0RV3~ty*KAv4Lm;-(3GpO8wQ@vXxmb=)luCeXJ!J-7 zrrA5tqaGz@C~V6$4tv9=(>bu-`f|dLw_?XXy0TAq_sx%Oo3`%*0fkjb>QVSy-kPr? zaOiYb^X01O5;Jic90ftTfITfD_!HSahu>Deq5H!8iMY()RRjv!lNH0xq~QM-9fxLA zP%6%%8dsZ(C^RQ=6=yD(UT!5G(iSbUNaKmwLIQ9+4;C@4|>0oX(iMkH$gCB*8Ves2Z=HrJZ7!i~fGFTOd8!Y

Fa?L43Jts{F8L<^hW)#{Giyn}7uFrZ&sbpN>0*6;lh=ai< zn=lYoK$4OONq0t!-r{Cxn8$+{i?(8Ee!=Jii!>x`g+D8wgRkiBRVZ1yn4&rv(GV=} z84-cgh$A{%_i`GU^YsHPgbX4XsyeYrrCcOUl(Yy248BDeFf8^vByMfJ7$$D)31$I% zRy#(mnma}vFj>LNZvsVxqTm@3Vt(EEB`*9y*MHjy-4~uEuqBKVH9PBY-7YN*dOI6Hh?ihX9um@mABI4di+vMfQ zWlH?&t9MH0U#FY0ij5PY+X_rHbj9UVDg@$EyQ?#bZU%gP$T1_%dzVo~_%GC~V~GMZ zbU(FwHPBwk;CA|X2H$r?wAAM22>ZA?|tPg`{sf|N(S;SSNZYV|@{ZA<5GV@EL_ z6GF!%qeE^J)qNzR@!k`jm#A`*N|l@3o;o=-zhJ^BfaS<<^So8A@3bw()Zei;PH>;0 zLbT43OutMuclpTcxh3ajCT3 zXUm0szB))@U%VZ_aeSuTNs>aoEqKJlwW}sjT{q(au9$e~gygypTa8PRvF}q#?1xNK z+dH0#Wpk*rPAK0}BAvva4Ka<@q&SjVrYgM3G9Zz>njJ{#307$8vS?N={(j~@Ns_X$ zU8Tm1%(>RMvF-7lp4KSHzW6%|1m>(5Xhg%@6zTcC;$XrNETTwU7m!~hSd6G zxq{>6GtpRsj}7I>7)0J&S--)@Y4?Y)_&XMIG^#23f`0aV{th^NwR%ECrSf9@h)ReG zA^d!;@U9~br58+LYONBxnR1kINQD@9V>Tv@rM0n^Qt)o8;LYMM zz@bXvthUjwD7Uxqn32w#yIx4gSh*`N&aU<_Vuo2E8C_j?70SlgGlF6gLyj$q2lTWh zaP?AfleN7gx!4{zKqYK&^n^RMZ$xTp8V+?1C)J34MZ5Jwo(XAD?(@M7?AGhj+nGA= zPGjk1U*)xWi>j|sy`LIFFJ*rZi!?Nxm<)vXO=-N00_m`SAVCO)-(tenf17lIzw0G0 z9*h-~g(|B)dv%qmR7_IWfv*mW=2Qt$lV^nt2_g=$2G)=@jhL^EjpBW~y`BW^qz-Qt zV4M}0!8p4UTgrc!!ZGjSzVLin5V@gVsqc$VrYuD_B3@CPqn%bo@KMQ8-w}QT>xhU0 z88?;g3oT=pDSPpI*?J@M;dq~S4cesAsCH?zOgQuCQz0g>FqE= zNYIvaa0#?|W$-FI|2^zeWTbbIAifF@Wm^r~CUK;96+;+){`)O58@xc)BMBF(!rG#U z4Nv8iFFJ{B_g@8Yso&Ia)Pok)a{6D6Gkhb4tyQfKgx38Y)zyjR-;OxlsS0`LlFOyA zxxvdgBFyK1KQ3jFGddggS>bB|j<)B(K8K5b>tYD4i(8^XbiPTZyn_8^eNFr=dW=8iUK&oC#Ot}R zY};Gk`ZXyg=TCHHm`g`O?2YMHJhNJQz(tK9mlw@@u`u%(-z!|Vf8qFu`>!xJXG~FZ zEWijgKfuuY-)z-&1+iZ(Y`sARz4n-RCf_`fDurIephhzmlsGtzg@Wehe( zl(HoVoyI8BWhD$Y5;J_S6p@T>xldHjkc?LPfVJhV7O)1ZlOH(ycn6oGKzT4#2;u-a zR|lCju8CAkx=~niYyU4d_7DGJ|N<7A_^x$@U!1g(D9q(@(NT2JQ7m%#F5gVLFO)Zaj3@c|aFs2RCp_W<;Y9KHbXcUi-h#8-e2atjYhpr^h5i_tgf$yLnS_YiuMb7GKv^jA~!W0vD ze~bV!C)-AWM19)447PhxG^`X8Sei6<1)l?Ls?JChK|sy2IlH9pkygX^3K98iH(jefg4%Aj|$~b!Ms$t1QF<{@1qa|gqsJ( zoETy(^Pk6y)yoM5OeJ&7)Mg^T%u1vM;9>Z;xSf`Tga~PBNjKqX{hIpPedz5gYL` zAXHUQY^p=^-{+cd6gQ1%xn!q}iT`@jrbhb%hwsXXm25hU_Qrw7$%BGuh~ez~H6|Y} zb)?D3BnV>i;iVMPF;WZ(yg=KJC8TOY8H4yQt zJH-(zh&6)7&PE;|Wy@|$v`Y{`%!ytKi~j=9$zLJtU+X3cAu^YM-qw)Ld*z$p4R-uc z-`Hg=-ryN5HL?fA{(>0{+jb}?B5(+&Y*(_q9jKo0R>B{0>X}aptf~#bk(WD3zu;@W z2?HA6tq;Yac=~?RJNWVgdL5+SXeaENdExmlTpuYZ-J2YXio8@bjz@4+{=p^cV%0pI zZ+N#h15$z0!o8;n`Mf;u;}ZlXHs6ICynL&IN3_M)!l|3*!=ZkGep`4sTp|{BL}AEj zKO>iyu=w5T+t{bVpbb6cY-wVrMcVWbBLl#gmnJ?ErqGq&&{yi|cMu^VnqfZ#M$4aB zoCNqs>{=HZ;(mE;$9TF6Wj(+HetBO%{}zhJiuEWUorrpVrT3O{n;lH)>rsb9YVGbq z*zwo7*-i#F#ro$?7Aqca9?~gR?_ZE#tKM&-i?CYxl#^_5m~po8KaiPm_FK@K+x!t( zxY78yovw4o^jo9lH;BEbiT1Hw|!O z4H>xlH9qho-S#WNxs??n^(~%Hm#FJYDA8ilPIi#Pma0b?Z#!j})ng z7J=6IDk&z-@m)H^F^l|iO$dWh4FnCFK zC0qh?GD^EBZS)sIevJhp+NwRsb-ET30mU-L>gC2$+%SEY<>v0;bh0Vaz|@9 z6Y;t_0Y;q&$*HY5Cr;N?Nu79#HE5KL{d2fnwVzBZ= z`5%T%XZQXXTu_kn*2BzKj&OAgte!ZoGZ@Cg+&HcbgE@u!V;Sw;4G!I&_Pb|&)%qp* zanjscOKDdY_IzMidZWqG{tSn^?X z+M|jM|I3Rtl!NUVy}{4KVSDGyhlTH%xjyJrwl7L(C8rALSa~VWy`?qH+&oJ`nHPF! z*gM75GRI|bw>i^}wPltvU;>4kYMthuj1>$7+dX%@sF0gy)WqOZzYzt7N5;x zHuCYgJJheXhWN6aRlxHdIj6q z8!Pu&)gL)JHKAEH0p^za#-_pR?)=Q}nc%sy)vpF6zDquAJfKt_E%B5;Gzj2l9(SFw zvN*37|3)dOI{tsEsUj*tg?IJ;QX!??z(A3EC>oNvE+iPr|Nn`q&`C}`b4ItJAx$Gw z^_)iWsq~DLLFwCkLK;j%+Q~8;jG!>AZ-~ykms!yP?xHsSFGvOY#N4$l>$K0OkH#I)o1xP~`p|9VSHim_8U2|{Z<5w++*qe#AQm&K|wg! zPRW1yf|+n{2^RdvV9yU}lErR2C#M+v*Pk&={g>ggow?C460W2a`h|B{fQZjdp+8ok z=%}ll7ix#6aX(PuqR~QX-fu9AhP|Ftm>AK#zdP@&?td#;Rw8vR`vCw>pCOiDqm70Ug2Vp@#Av-Xc{lH~Grrpss zTLT67eKRuc$1gkIO56iEG01Iz3wG?gSrF+rcQRFD8WV&Ku?DvTeN4Fd+Ru^zC-Lng zONWg7oh|8M0cDRPS88zc+}pNTz`-5ihvf4+X`xYxYp2cwYoEV@CX-1Z&h2yow^`*@ z)x3bR$?U^%V{~PuXhQ{&iHS|D5n1KXJrQ_$CG0u4pwj-WN=#+EG6kekAXW&NW5VhI z7tprgi~ulWrQSA0L+gA}vbDm)ow)h*^7w<)663@X>CU=okOE1El_XFJZ!D2gbrL< zx7ld_hFm1wZ8j9J+Tp?+_Q14m$WSMyC}?zRCho|Fs@oT1Hksp6=-+af{{;aCZ-0lF zPd%^-{oqT9DQADD$uF|s|850deO45N^Yb7}B1}u#*{)x+O^kXwVI(5D z?>8U>zHL*zPpPmeNfhiQVpHgSLncmP(7$PYawCYHdoM3%kw}M<*!F+m@_y||R1nYy z1p}6EW)toK-P3HNryb~?8E!z=FJV}$x~zgkon2PO2_>B9?kZmhy!1QdL}x+fTQ;wj znH@qo-_y%UmYv|!{7D{y|0JY~1+x2>Mx%mUFLkH_eKCh{Z-OQG-bRm{WKDG>d3RjX z^Y!NJpam%J9zf!6#$jV@r>4OAMxqH&C2aPdvl4nxxxo88l ztn8-_aBAEiP(it)=DTqjlfhHn{X?$u>o)c`b=)LV_Vib8FU92#xh^oP&hUDm-Lq@U z4Uc^r{7q29#(?%V{iW4Te1D5Bb8Y)0%_RZuhR|Z4^i&kt+%qk$YNG8&#k84vQ_nQD zfKT(N_|u-wFU`9b;NUx6nqO%XXj6fcdsX?B%5B%oXi-;Q`9cM&xdoUVgDdFfpcz#D z6aU6kkfBcXE^Ao1R_*Q~*f=nMR}Dz|x>xs@$YgHCLrgg%@O10C0rxZ1Wnc>hXJ!Gp z;5O*xgC4=kRMzZnA0_(>&H0q?!z5g$B%kY;9-x?$c3zz;5aMd?{)mk@yrH%PD4-%t z96#dxH_X^8h{9?u*IJ(r8h+;#F{Adm7JRz!MDE${oiO>Q?QIAM5lQT{#~6XYJk@pD3#kno5BR_}9+)QoM(?YY^y~k_@JXGq z31y4TuY7qla!J{QA7PHPa39>{Yj&pP%?Bx6I7 zDh5ZA$$p^9+zmq-WfRqJGKu+>9HEZ)h*-qnn2b)OBarnc3aPt+n%6=0(wZLoTX|p` ze;mCj)2h~971d8eW%S!T;b};H);*zN&+T*fNpgQ%W@GqS`yH;ir|Dm&Z9Z}~xaZO5 ztleyzPZN|hYmZB+`p`c(>uta0F70O>qFV0v^wHbr?-abOzT{r1y{*q|Q&D%vDEc7d zY)D!P_NU?JSSh|aRFZtiv-6!hM~CLRpx%`l$@vVQON_up zyc-3VSj4+-*nDs_>ymXO5zN~beK7}|VRNtM`@4XW#}`R&bB0Z;y2TvaCs8dH7fIRG z-C}7+($0-P2X*I`vRj`-Vg7kj zDlSlX-IH^BUVW}9srp*O!_Kl9tCp3gT~kl%T0LLX-W_$yTc^)&-Dz4N;Tjh_nhkG$ z6t(e7TcZEe%+VW-U5e4OR*Nq`)u(QEExI)1=(K*9Hx1yI&U@`HvYu(mqX9&s(oI=l zXM~TOO__$fRPNeJY*TwWUqp>oU#rhPBE8Zynu?SP-<-5EwYf`t<;P}GeRWszHS*!D z?YbW43%a)*;=mY%W2mb8lJ5F|tApujnK6)w{BD{{>LKuxrdjAPo4Qt^?r|N-hvP@) z$s3KnKEZ ziEUP4=M{C>57zs|i<-csb4$VDD7PVW9JMOA=i6T^Fe`OSUo9wm>s?1#VSBTvx28bb zuyI*{u-Dw{$WL0d%OOL=!MXYPxn%*7pI);shg5=sb5R7jWd)Hg$y&)Sd)oRLwUwV5 z%Cw_ri{_0=l$R9XRsA@t5-@Er2MP_(O6^Ql>wdB+@**aNjy*Hg|S)Wu=#+(}^i60x!no9tv58mA4vk~^g#G+fiZi)$c@_G-C^^`R~x~>pBNkefh#m2^QPB}E$iAHQHOehPR zvglBOO#H@{z-3>2f?_)|`9a+Is@75}Y>zO8d?**a845O3o;LztTtW!&g^?FdD{!u#3L)9IBID~ao=*DG(zx4kB<}kY0VBU zU;-hvgOCSe9tbI{`1G@O#qqE6lAX5|u2b=FENmaDB7 zorbZ|$s6tG=NlyI!x+iEz89wyOk|$yQw~gb=DG0Y?sh7ue!pcWD3$^>eygopMnu;F zO%qVY)b!728vw<%_P+B;MyV``{LDCCj!XCYec)Y7nhwXU8n%@vPIdrHv1h zuRYi}s|F!55znj-@L1|I7q$UdYG5D^|s`vfCT zIH0{6+h;GIa=)M+reEg3C$wQ@t{a|aMVNfUQlp}#$b-UXd)q2jg{N!IiO+F$`zDTV z{G!$XZ8)Yge#JG{jV7nKFIAu4hjw5UDz9JeYzAN(G;o>rU1i;KZ(E@_V!DBNr-uAO zRF|YPU;O&8Lu?=RPTYtAEJZYpk(~2uiMXmAvcZbp7-lGxO-!dwJM65Cfv^_0T)JUN zT2T}2L7{O*%NgHiAK{7`p{7b;?6MPkAuZ&58aqI_RG+oNOkb((9gZe9DLK2;l8aLW zRlk&dHK5F;xJ)X^<&05omxT=NOs=sz6Pc`Iqtxw8?!y2_XC`f$7AAvx;MNU0CoQo8 zU^92BK0mQZrm+oT&WQW-nSW!hfKH{T!gfU?SDpoVrDSla6wD#DPup8eV3H;r1vP%H zcS*M*qO71y+xzGTwStL%)lx>JyJqD_dJ%3+#`--YU~2Y##qy9UR6*}f&5;>yj zZPSSTxOjrXu+Xv4Ka4P`;T@Bz*y5pdM1r=RlXi+uNxgWJ~FqBtLH(9xo0&ygR@pWd&cbTGsphl!-5z-OfA@kV7mx3gP)-Kmczr_n!JKUbjR z$=%ZVBTPV7zLi?rz-d*yLSeZ0SSamXldk*tzl<7*G-IuswQEJ6$$){IO5ecnnd@zI ze4APkjq!}IR$T&hH)S$;E`P9rFZFApNh!{&;#VDR*>ZVbyDX3>UexbO8bWULNoR-e zZMH3G?=hMRP1oCKt5wfxnq-8MD64nAZa4b4F9(wB{1;)53m6*ra6nexf zUBGX(;sh*ZK|;V%7O9yHbBmhZk|>*Xxn4ESrmBv#SZ-b%aqG;U4*livFn8sk5dLS- z)_zwLIK2ty!^jf7%^0;v`}f5!y78G7{?H=qf1xF^-zG-yT2_1@w#h756!q~|k;O=Tfj}$3yOu`RcAB0H0 zl4o*cqY_Uh!8vF!Aa@8*2Qmr3w+(?g;7f$`A%H-~GM(5IfltRs$AS3a;P42usKkF7 zP4~>fKt=Yb05wrShpWg+AQ*y_!QkEmNJ63bb=s#9<~#|XJ7XOOvWJ8JFj^YL5yHrP zpvE~=MsQa%z+KG%DmJA;1njGz4_>;y5iXZ~^2KrSSz5?{7!FQONDC|;1UN7z7T1dS zkhoR^2QJ<@(Uilf?Jds zS={gN%Y(I2b6MR23_PN`nE3D1_76Av-=N}G;FsP5_dKt^!AhL zp4A#;x3|ZhX*gcp?1l6)q6yIoXnbYm2M{iz_JN>}@G~~J2Eqk-33-epL0~j_Qn8V@ zI`cjQanv7KKtQzf?k}2&qc)%MTL!_R2+t%ATjX0N)qZTfRO|zYod=~DXJw06kvp?Q zMT=P4Z&U+q3g~UXH+H3-+@d`^f@78o5^(*eO^L`z1&zD-L^WJDv>^oTL9L?K{_#~crianH84{ymDW-d~+99d5?%oE=DI;cq@|4{#Tc!sNa z&>kA+(-Q$2=HDs74>_9O;nxVzx8w%2>hmYS+y9;REMoe91L9#`puvC-mOfME0QG?Q zDc32J26I#Hzgu?V1UOK!r#>A#G=SrKtvl|o__NY)X8G#_9@3Z~J8fBRT>TT{>i;It z6m0Lh*#8D)xJp+(l>_1kG2PR^mHtZY6yjYU4%Q3(1rrGJXTyD88hzV^7DOoiO>v(& zwQt&vQn(Lh5rey9w{Uk%|K2}oeOb|e!na9uVTVHcN8f1I-)VyD@u24G!g*#+?gVF_ z#B{6vi}+ygl?=aw6c&g{rVBCV(T+rP((JgFOipNeR$;N+Xd- z#Y8b^e@8lFAu%~;R?hBQm7pgn}@$&#vIy_e|kYXc8~utwUG>asjs5$${pCR zL3JO+2;BMP4BVYZQBCS%S0obuM1@O!X;Q(zLCW^=FF1brW0e^6%w8znLg+w~NkVYaZUfZis<(SOoo09_hFcbf+uI3S(Nr)4o$^A1vMKz{|_*=e~Z>@1; z^A*hIeU>qj_P#U*Y0xHCr4kdEBx@2@l|_#ir7|c(N<_}c$pfY>dI$lLXKKS#6~MIB zk3fK6X2vlu9|}hn4IugX66hF6geF#2k4)k{BSP|hJ&PL9Hu!jyP?C}VYMVhvP&ws| zXr?I|aq=AkZeGt~v&C@jI&lsa&{oZ08+Vqd^o*YgZ9M zu8CXN`h2m{pn*yoxf&w9qKGfeP|2jTr_9Acw3-1_08Ms+^&LBEkko69JaL?BifwG_fKHQ*g@s|Z4vPN(t1&x5P=(P)Ck4E4v| zN$?n9YnUVvj}ORYaY2E8i;W^M8PoRihQto;1Jz$3OKW#b0aqaA+$Z+Y?L~bpRt+6C)aQ0CA5cfK>SoBr;DKzfXF;kHq=GYq$+H2b@VVDLceOVM-R} zs9o@JWJlxW7zKEp5TzaUE5QVQOEL(qEbB&b+u6iW;qTBFuSvws=5fA@NcP0CV+NxM3bM9-|sQC zt{Y4zR#JiJ%h?w|_!~#r>bcTE$SRfUd79&~LLzWiIY86a)-rX(Uyf;cQ3XUsGq8R@vt%?f%+D-R6m_y?7Tl=BFPcq5V z+X20+fl^gc*QfKtQ=dymnbrH&Yte%)OzcvM-MK*o$)PjyHTxZX!{qFyrx6%H%#&ZM z-4Ec7J!=f<(ORqan?$+K-n$MYIC)*BKZ>(^Bzl5xZD-D&Ck{N=$>^Fl8EWojnH0H> z;89#^-;{RvZb@tNjl4-Wk(!xLaXCQoeA&j0uov+emjo?p^4{PHJ>4?$!8qLvrz>ks zkE)}RdMLh62OL7uaDRREjeZkerue=TkRH((Zc}m5!P)PW_0x7x9@NJivjj6^D z0|h{umE3h8wD?o%ZHV+dZD&7uLIlktS8raIq{>qOtW`@9cG_p&oX zxj&5nmkk^R5G&{b{-T89=;AQIf0V0L=}}-zcRvu5QQ2o+SAQeJvZP8ZUz;6}59iLY66OH%0~Jm_fdQ#CZ5~MAQx$%>n(d)(wR@dq)G6?TRB>x{ ztsIa?H5(|MxzX6>Hh`UuhrAiTf}g3rqbQFlfwY?$*tzxZx)`IF8p1^AGA#mK%7K)f z5VflLAM10Z>w?N$%QT0x+|d2F@9}hG*C_7TcUh}9ZWDfHoB6L<`f?|;{bwwwBPg~f z%mt_NM|RggM@ru%28>TYZvc{6sdO;8O#s zE#B_y9eh~iJ&{nnsX!kMYNfUn#m}I1;D^`!iP2Q|S8oyyw3EaKQPJ)(@hwUjba>JL2o)TDbL_p$3VZsY+TGd>|1Q+EluM{FhMSV)!`}-NEbL@$Zq4!weM;~q z#}&NK1P<9eu57g;4rZRPswL>S=_c|tw5xD7pixdMe>Pb99iglGV%#xaPD)ULLZtIY zR#)nL#*mYFdZ|!-_(Wpi9udJPU+8uCgqB)28PU~>O{pj)-gTNBTo&ooX~GA!`p%(v zB}e=CP`)oI+F`|0p$I4H{AM<(&-m$cMVA%uEceT=Q}@u{ccoy{b022&AcfmfH2C8Y zw1Brs;P4MwPx0_S4?ZRaX})n0n&GdB@hq$}LmlPV;gMId$?7VvJn_BLW(W#R|E3Fs z!Nasjfqr5>#Z|%dx-XH|bWH=6-lrWs*(6Zyy&B%tKSX&4nS#oWN;ZQEO31u%Hn_G0 zxYbFHipCU7{%ZJ&j4J87nwDNuv`hv3L$Q>YjD3fF0~FcPJ&1C~ez+3E7@+hx+9^~H z{i#fD8$Sq~5#NTDg(u#0Smzt66&lTO7<}&k5oUIu zeQn6l(MmQmQBWh@Z~eJ=Ez8Zp5}}*x-Hte<6qKHZuOh@t6b%hI`=C zAg|IsBT_Qpj$r>uY_fVX-<7X@uK0$*_&)O@rPRZZwIBRt3rW${ob!qA*MljBLD0Oo{IBt>9 z%MC229%txm4EW=Y6E7u>!B`oesD^J!sWk*ZK-vXe0-exQmHsG50WG2>5mW*`a7XfC zKunS~n%g*2v5xSM0m)8m;9ygpKO%HhqI#O_EhvaQ<#~pbl-k9PNC=$9j?!54 z$dub-m3~2cFYcR(88sB07`ZF1H!Ks4}b3^vH~)gw&|6 zo&-Rfd%340ZJn`4O92-rR(~kG$#aJDa3N54bD|vo3n;S5L2A!6(w2j)s|cuB!Og)P z2;?32I6GB=HFTT1!Fto_HGL8%IVE77$RNe`5SNn zZJ*OUP{{*x^6V0&JUj_Qh%#qckf8I)ofhh*q%Sfh>uBaaeqn|M@O8I}ni3^AZwyA%@9rhNT1MDVs zE{X$i_5yifSNQX?;oYqAYt6LR(`Ax-fj#Z=B+M#thcHiIiRDnwfh&v~wDkZX4OS&d zc$uPUk^p-dx%WkHhLvaQQcVn>-|i(U;6ieeF~nsUox*=3fl-3~F@s2K{c?w|SWIaj z1%s-qK$owqRrlSHy$x6RfQK`w*-~rJ$=8+6A7n-;_pS7~zT)eZAee5HBRqpxoI~&>G6_qkS$$u=8oa>>^yk=GZbD5!5WKXf9E&R<6 z%&>C{U1u@jE%5mTr1`GsL+gb$mfjcdPr5lL#uhpQ^h7S`@orG;uF#Rlx5yH9ZyUF4 zhlH~1SCLZNJP!$*b=qf~N@`WC+BGyUV#Ikbnv#$d zLhB8dwoBF5H3hU<I+|YB+F7n(9X5F0|oI}@o89EegXp;XhaSbo& zIH*bfC}JFOW7?-+V>4;{>zVI};p^e5uuT7JQjb5orfRT+zXa}4`?gC2&Gg{;o3T{T zPF;>F*xOGzEuT#tY!4g7n z3m#m8OMu`O+}+(-d~uiH?iSoxoZz|;To(<_;?BZee&2uZ_ue}--7_`Sb?S6aSIs%k zoYRln^qrq=EPfiR0i@kkgi-6H@n{{fLx!NfUia?hB9T9?4b6}R{K&z~(>gJ=(oeST zGx?sV>TCz;hJAqH_A)bmalf40nf;`OOxV>md8C>cuqkgdIbnVxDQ(is@=DcVcQ{7I z;!>AD4qdJeD`=gu|4%Xhr=X#)>d7E%TlUP0Fp9Bj!~EbQw-$8fBxq>{foOpX9M&#q zFMVR~H%28T*cOY~(Iw)^n~Qi^EdYoVL>cH9{k;yJ?(2rmU%lnfLsTxn4}NX$xYx;! zR5{mY-Tkb_0pfSR9V@`P)@|wf>&EqPfNzRC_I8JEc78B6oM#A^ecU#49-KzLf~~k# zna#WJk0=1(gPeIyS=r}y>gJxPCO(Wo=7orD3*UPg(I`4~9>>qBKnS+-36A$ux1(L# zMAC{62Knga0H4!3Eg?UtDGG^OBx8JO!Wwo;r-i?*S_w1Ed|K&L|2} zpB0!wj+{krTJyd2}PTp;!EP2qYAPH_?)ky6&}4ky)|ZTK&QJ+&d?cDY`x z?Ye^O?KxB2x5k<2T)gg5@i;L|Y@F|M+gh(0rhXDEW}F38GqM+1#X2z^{~NAr@%CEe zAH8*2B-O!RCVMPq#Om6chEiU2d*vP~otQ8cs<(%q&stw241re5SD_~!NoISK*E7^f z-)dY=oKkjzEcrar&aT09F+6!}>%O2h-{!L4`V={`$7QK}TP{bFASgrExApeUr^4!u zX`*VH{v4mH7OViU56EPF$meRk%?nUcyMyMQ4J~i*N2i|8eJj&k_FZK?RavD2PX;Ql z;#b?{#_?CSTHS4L#@KEacZgfaq;9Z{WENbevyBQt4N!vatvfkL^01N%x4J&8Rn-$d zU7{z1&+wZG8M~!p>a;I}c`dGp@Ey2r1ytQ{?{>^)pn%Sp8gT><_xmE3@)~h}QfDDg zcsnl7)KC2#ZhHyjCTJN{)G=C!`fe2V-H82sN-5oqvn6#D_BCU5o_Z)!soE(QTT#-b zvZnyFe;TGRel}5fCaR$n$UeFrrNqpfriAI&W%AKZLpai=P?-Amo49f_l^t+gTMf!w zMEo}6mh@6yVt=44KMKl_ojJm-pCB>b3@iUwQkvycojf$M^VYV$Xzut zw!=n`x2NMjoZSZca38_deu&-I>;8uq9z*}h=Qi-PO3lScS2}q5vQ>h|d8R^@^`=Ol z7#NAF;(DS97;djhGg$C8cE&dcbS5trqe{soj{mb?~;zXl=w_%72UEZNIcVzepI~UYKv}k zNMzN|iMK40o3*SI)iU4wn=+jX{kBgTytf3c6F8mfVD=b(_5!#9UbU~ks*Yx3jsvz2 z)j5GEU@h;i<+Ys2zL3N8tJV*NI0M&B8D2G6vH6Bp;LeMnw9lW7;3kw`w+J(2-wob1 z&GyC?@FCk{B-Y^jL@c^)|d%7DQh(^~^cxaVSw|2_7xfodNL64Hfgj+@H zZ)GG@mK<|_P!=wvbmO^r+t?T>;KNEruM!S<;A@FCg<8y$=-qGltY-C}+z8&Ji3xon z9G3XYZ!YK?XXZS>{GWupoB9<;X}TIMWl9-&WuUklP?aZ;BH;3MACUvG-SyXwihhw^ z>ggKpzd5&kMN7s2r3PA4dF8@L(BWH%(h4m0md-D%cg-FwVfC?y$Us&2B$7cH}kAp7R9Mwl_)LleIC;m<=P4?|6y1si^-gR%D%X%#@tn5wouvRfWt(kmw zoSA&&r%Gp>pFH$DCM@uOG6YKiW-oNx(GS_tl&ain4pNRJrLM_;rbxT^2{UZ4`R2Ih zo76tIQF?9KF0vlha}IOiZo1`V4ipCI88>t(-0W$GhaP%-!#DLpKo&KXj^YaThVuzy z$5;2lh9{Da=Iy({k$=vQ%5k^;;ffyM795*v)MY1rX#Vk`S*_93V*YNKopbOceat5| z`xW?&HRU-AY@esNj#Q-+U#u+qo(*Nd*>{l07WlY7IpD=Hw{$%i@*`-lld7(e#<+-7 zbcJg;V~Kt--ny}QHeK?aNlYf&4;7=_VVC2Rm3rqV7d(rlnwUX!z-E^Cu_BAML_xPD1TzIAL-G_JWe-n%ou$-ks8NrvZPHVm_QKk-K`w1!XcKiJRx-i6{X7EgZv_-w4^iL)u z%rcxk{Ira3nN@{gocb&LoZr-+u0KIvYHf&(4srvlJA1k!qAIa$GM+EtRl;#A{`oO1 zlkL-u9ARYbaB_Iy^QJD-=Xfug57*GRj$?M$FC2`DNc*sg)Jtey@tr4-z_b@JbQK1dL;7qqU7LyHDg)+e_4wk zT^Yx(%6E<&zArD7wfI^Mlk#noZ6WBvu)r!B9Rp2Q@;)mXX~UG69(Y(Gnn&(EFqLzj zKVI*#jnm$o?IAjzPC~x)p~Px>`4%w4aodvrgkrJ43;-gA*L!)?9F0eDIskXw=cahm zLCQisy1xFtbrP||CSr52dvRAeonEYMnIbQ}QtLM*UU3wr?3D^GJ|~}|foj=U5zlRI z(9xP?CaWUnrd$NteWBlewXXEm;AbB_u1TU=!Gf?T=`6^<)BTOC`5Q zaLj6#SOI?fFCqTN($+&tJpoE@#>i*+D#-De|Hq(ndM+%ij&?F|TvThVrq3yrn!APv zb+*>n<_uEW*Vc&;a}wq!r;Lbk*$JFMb3vA1=jE7jJH4h&4zjf8XOE=ylE0RMcm9d% zMQ`6T{Qgnpm}z?5RZxqWWA5Z*@bzxEwJCSafPHdMrHxN+eEjs+=U)&?*upreYs5#7 zhs~Gj<6XNy>L#vD*GS`;^+NKUFBdBu@+JkPX^tF9SIh|qV?Xs6G@~|i+8)(MBjzig z7xQ*2gx)D-X6iTcXNA^kede^SQI$KQg}Vvqy8E>mG#X_MK95}*y4GII7|%`UN!aSI z_-@b=6DUZxfAbGj)hH6z-Mj1q^iSv?^s)svJ6{j%kZqZH_316Bl0_r#+e^F|4S!x~ zp#8R;>AD3yY27nkD{}jLx|Wrk$sa4{sv68Qzx6d>d?`m@SBQVtoqxCbEr75SwbH%a zGk4c54^1#HB7jc1)Ximut}Kj2?jf{}=j1gFF=+%F(vFf@8(e)Q4D>Bot5Hs;my1pp z|1>SCTGuPg&N`OA?^z|@9gHs4&EQ$`vMNOsH}dasmx`X>t#80CWAS5|sb+webj0D3 zSn}X`HP$)mzzjAKXS`fhDJNEOccfIA49%L!;ut>so-&Mj-|Juz6Iavx=_f^UPjC%L zIx(ike|nioCgggy-+P^zx8#6^Ch4iFt3cpv^MlC7v0|S#YG{ei>NmfH&NxSAN2bJK zx18Iz4c=Qg9q9npARbs(;H)cys=BMo?^^S|8uLlv8FuVK>&vAyF*>_UmAAPPks(AR z?H=kJ?rP{!VEKMmyN(`}vXQpe+eYcaa}~ zeXi!K`VK$so-b4#2~T$l2wt4y5>AnQvMUC~FhjtUOuvpoTpy=Jc0WhGoX&my2tpVC z`!$y>1w@Rgv^y{C@RQx)uZB0Fm?9Fl((n)O_d}(L>&13g-HqCxhCOT)6KDZ&b{vQN#F-M70f9n`HaABBmf zYO2@Oag5rDYV>n$ZmN}TicY&P^%Z4>vw0blj8kCr$w4gktm4OS!uwBco@L{HZ|v+x zCnmv1XK0V7am|>+j=MD`UPn-VU753TWHvsng4S5Dxg_7ph4UXacCK?oa#uJaN3!|b zWVNh>Ej_x4nj#i1cCK_WJw`R0L#7nUio3_(ph%ollzo@c;Qiycr>ppp^pY6mDjo|3 zlLPF$_yUm$&%vJ*7852`IGhgbY7vAT^yCxwgFnAmq-rU5?F*ZsYyhhH^(#=45^5)Y;-m>PhkDsxt_PF+-$H{N~sB^Na+!Iz|yAk); zm7v^1v{m_`d_I3(Z6xEyj4vsw^g& zoAvo&H{zVWaO(jM7mnxW5B0(Ir7~M@kNbG z5fS=BT0ZoRu6j-sQN?%NfgQF6z}L$~t|1zidjjL0`!IE>*G*Q$xHC!9eIT{$S{_6< zEX9OZ(nW8SuVL?lUMhZE`upEGK4IXk`MBBrgCbCTh-w#dK)|VZn+BpQ&Wrp z5vfJkO!EC)eRroyP=t1xGbxR#n^RH-dnpq)whinsOq4bvZsEl<62PO=6;OwETWeov zq4!(|#VYWTdik*rX&);QkWWBTz`Q~|r$>3#6Q}#}1Jwfqb>H%=zKA;()PM5oS`FPy zy?W(t7Fr0zK-ZP(MP{ymZrds6a{op)JN%-A`1k|W@vy98i5wOed9O`AzUnSjE^t)K z#6f`9Sa!sN-z$jsazvWx=#;G_!BN^+Ep_HTd_$X+jx2sRIx^OsP%nJ0&_ntZy+arK z>>lp#{+d`znLmIZPXfdO$CdH~*_PIBG{4?z#*^dW_5CK4m}fh>{gEe#kQC@df%u*> z`FG#1W|B`If_z--u;ZUrw}iic>c>Tfv)$kSHljc#XXlmf9a$t~iZR;QLAr9IsAC}Z zSc}Iyu~nZpjH~C5*)^`hHVvd8BD~8MmV5lO{xNCTLgeQC6#-#rBh~w>c_$>Vo-P}L zP;Iooqo}HgFRyfoGbz8~v54A)aOZpJNhcH4b4Whc zuRE+4g7vRd%_yMmoe8CA4E?q?MqMipN19VPmlt_anAuSbO!cU+hzqJo=DSb(sSOdX za-;)o5GujTlclstAQp}jPT)ICl9t`%~D?zlOBsfq1LR@ciqao*4!U0YvC~6<1?C!XtoW<=i{ZM3?@W~DU?wmb^g3l zdLi#;8n5*UExxDsW>V*>qy*D~IHd(+7ec61S_qS1|LLZkjJzTAaD`b2lSHU=Ro0RN z=W!vRZ6Rf`bFq*WS}G>L4Wtsr!B+Ajb==jqCyti-rNpeLEZpqrdp|b=WmY9{KNMx05bMFz#EM`YEbM!Z}PD&cQk3#NFLUg@l zC=4?Nyn`!i?JKlR$$LIINJ6J{0qfsla30Mu+rUj$=HUtGoKA8zzTMT#Z}}|?`Xo$w zT!-YQ4q9(CY)&budlyf4g5QgH?5fLjXqU$AcOgf79@TsuLgW#4P&WsgdZ1PKglyPf zOy$ds(D7b9A2QFkB0`0;gEs;owHu>IYqTNew zf?B^gcG`NxbThZ=`I;DUB4>D9;XBW)r_QGJj5OsW8K{jRjwH{yC zn~vw0rfx;gR6^ra;PAv%Gr7TIYm!O?mo`V-d>S0gjoKgyQfZCc_K41FCgX+%b(VA) zsz3Pq0H<9TJ_Q=Eyq3CK^huCg^YN0Jbw5}9ZCsaL5tnQ*F zGVCi3zaq7$NB8V; zWmuRXjbFOPK>3_`S|mlElj8{VYM$_W;m98T7VlIxmhERj*T_AA!{KmSV zbDvB+NXk<1WE3YCooMhh`A4tR6ckCJnY7wGUJNb^h@e!nBcl<*XZ} zoLMnrZ{mX>rpx#)S-ST8pKYUERjpx~eVuI{VWz%Sp?@{MPRruEw#=Fr=wRo<&gDGX zwCHkIMq&x+bZC*_SmPmr%JfU~RjsjX4T0v6v9-2oVT;n9U$J!GDXc#EFb9y-pMGlh zs=~IY(5L!Mqc{=&@7cgtMeZ3Zu-wmxSW$u4fw*#K74A3+ItQ9NJzw{zjc>4>kP(nMpeK*pKQ zKj(@K!TkIXOJO%%$;dok9;P9KSYP+Mc6P}7?owe*Foo@JKv!BY1~c3*+5_LcOpr*VRKsDfTb z--=}TL!ov9K_+Z>gM(wVwEuO^ZUF?Gxuq~KM(T(AA+N1(j&={NrC6;it;o7Ad#>2V z2oIzzgz)A4?6aG!XWK_c>C1L@BxFxHU@RJg-iYt$zHNax5d7G#kSNyw&Np`R2%1LF zmK@Zb0QfcM`p zhj06PSkUJQytdB~omvnnwj6s?#xEwho2?@8Xa_hg(Gb39`Any81B6f${u2jMt6fr)vL!(nu-$#6JojY5};2=60R)w?lKfQbwRxK+ZHVbmjUl`Q1J{+PmAV zpDM@%QR`GHl{=Ys*d2zdSi`1J3hOxb;dh{r&D0NTDnYu6-@TibrMo%m1x_dFS`Da| zuJ`%2N=2}53KWbf;!)NAL-y887U{ycAkpMB0+)O_YkW<5%VkR6NPlA!l=Ix3{)9eP zg#V)A>`HTLcNR&%_Cb2G5iPlhUQfmgl^taIYV|SQeNaZn(@RsP$NN%a0sj-$(^VU? z@P)R`qEhh5$cFS1*+Q(m<9=_`PrZi_FE;gP$Ffc?HT|de(q3qyVhsqpohOJsGlB#O zknNH$kBr$@+cr}79$zs2@^F9H!%zM5)OD~N!G=FRU@=x2X;J>|*5Nbu8?EzXgq>L&gT09xUYI7YM8B39zy3gc+ynwH@ zyK|FEs(eCrkob&|5N*P;25zF1N$oGHZbpRFsVCTqmKnqO7G_1`^yQMf>p#XOsa&nT zFiI95xK)=>V5E;wKl7>C}qWk}j|c*Ec3|;IO^>j^zG8 zmZs-;_ehjuMw@Q%D5`Jq&_^e1>dhVB+P_5t6(9u8&Ez_MhuIc(*GOGL^PqipSS|`D;=0P97Q_RVR>+jM>tmcHq zAddh7>`>+B|J>=DR3Md_7*!ybx)@cUlm=~Hu?Hilr0Z2djqhxI#c9o@jBXJvG>u*v zTP=-lku3m5w^HPhXTjT68}TDt)*{$#o#KULD*hU9Q~3~tdhVV$ahN+W#+oR7DA1ZL z9XUvLsKP{bcnCQ*jRS#A96@#Uu}DK=_Ew+9>z!m=d0V;z85-^^DcCl7ywnB9d%6JwYzNucKg-5xbKdhE_E zu-Nbx4K}tXv|2l`ahL+`02ykCM78?^pVIPh-D8KJp|>KwM=Q+$Ke$iF zYpcutGLf7tzV1(|Jr17cSBFr?r@WnDk(OstxGU2XU5nwhh*Z6=!_xz}*>>YD4!nC^ z02LAe+>Y(>fEJ&pl(F(w{CnXfgI5_)$8S9Zy(ldJVm9IHm0N)dC*?t4{d4%QLC>ux zkfE(VpYUGSs=!ei%0m!wmk5aQb<%oT=M!zqx3IvhG-}pH^Ic~392pg`EiMT*lux%hc zbZCU!(!+o+0;J zT1C36j%`gtD0&X8u&Ik}M@Pqb%Xm$D5OBY2=!@{uOFf+LGhARb>t&yQ!e^EEW#9Ae z%mM!UL$7D!@haTr>%o1N$ht7@Ho@6r3G_j0Xc=)>N3iiZcf(xp4tA@Q>AHjaV)mr+ zZb-n-^$CPYAm>s4+^BPKfuFbbQcM;PfS=rM#7o5kf%Z=*EU_2D*18o3Lv+}y@NVTX zPpI>gh~h3e!Er6k1N9! zZA^ZUrHNXe!Cc0xTqqAQKc4eR1iUrXq-MfGfD1btUC8F9p{-YMy9@-J`}?p0u!rZj z^~36v9C}n~Kv|m}Pt`g>4|iu9xthFiSpVv?r|R#ErQT=ltKV%cc}wdFGoz-K4<&n# z4=m&x{vESw4nB^LX4?uJJ*& zYSB52&jPDw8oZVNDpyhPCa2&tw}V5zdyic{PvNh>nxcdoyY#nwXQv!k)vjbs@oyhW zo33_yx}I~}1>^NM7c_s~Nr@X8J@h+9u#O}A zeqKB1QLyE%pZU}Ib};$F2>cfAf6dFR#A5KQS^Iph-YiRqFK?4_$){V=319JXfZxye z_}tKmtO`D@+n%-81NSn(bW&EpnB#izmfzyKpDA!ZG(2mgt_SOOasQ-Gm-UPr2+OtY z@i2w;@{0IBO6tL@o^RLRV?)m@Pw-g-~U+fWjht}xU*yZ)UQZBJPU#?^f&+?szrp> z4;Nye?hMJedmgrI&*DXD9hP9G@m0`^==mf@-X(|9u@%A;| z2ZH{4*vz5J3_l27Tn8Mt%=fbh7{(cNy4zkQD8B^_dHeN_kK>*FELHjd!GU@>y|Mdu({cNnK zUO%?r3&>*bihV8_T*SWn{<;~kE}eC^D&lqZ=Bdn1*b0u3g}p5D1P-q*f#z+HK+o%z zmqb|Y{fCK0rLlLmz|#{GCPV$bCzKQRpog*hwZlJAt(D;xk)!@DUVtm*r@KiIkKwoD zwkv35R#q)x8)(&c0j`iW_0Z#WMf~Ep4BNY(&@DP#6=8MspJL*qzG3G~uK%?B(zRwU zd@zGmmp-tU zvm>#?y1_HnsrsY($L)aCJz$zH_|^`66*5HuF|`W5oTn&1 zPpdv{jV~l+Y5T7F-^2FQpY}_@j&Zx~ZEc)nYw|43S<59JjjeMISg*H5b3-l)khYDp zscKN1%X9Qa{d$LPo5RX(I2b<`yxU$E+@=R)Pi1WP67d}kd&9U0I0>I}d|7!Rg!(Zp zR)ZlIn;I*=qc5X1tGeb_cu$r~zVk23QsL{##|0Ud$;VO)yn8N?x^e8RTH>tno0Fv* zuHaz!3%MkfEpkMqPZm(SwjtyPER>C zG$Xq0L;zY8=@5);X!URrk1+rDX8cSW1J_!DwdsL;8q{IpBEI#|Ie+NRA~*E@K(iAV z1VwHDci1I2KLR&$?_hh5(7ZHmAltg2q$2#Vgq&sXwpv+TIOuU2yE6%f;Ffsya^0-- zXNf=ZEa$aaJ3E2#voJXc%8ggD`ybMi*}S$}1|pxbRbg}Nfe%Y(S6dgt5J~Q{#WVYL z0ge7)vz{YyQ_{1C)|2o>$*zI)6LDyRvi;Tcg~Ry4Nt$ro)fI9xcvuomxV7iu!isv_ zN(k>FX-6rIeHHlFUr&L}Lr(6vY1-TvACuU5wSw)?^|(Xs6aI3_@5g1Ialow<3yhIW z;695JX^0o%R@&%vh3#zFiuk)<#a+aob>Gh3_w&QS0yWR@pK|XIKOrC>pd#?QSE~_E zk8nXgARsKg%Ik?>VeM&d_S4bY!A;HF)s4l|;my(qx>GGXPYrfn2{DS-gOiVs@4qWM zyD8hs1J!%)h3Ph))V_q%%JajC?I*8+#}1_$L=O1&N`zYfX5*oyn*ktNSz;7kFs)-z z5t_CBg8LAwpSxckXgvRDxc>$}P^g1r+O}=FJ3ckbAGylC4<0v}_0JQ;SeICmm?Mh& z{WANj{EhUb8hFg$cH)GUGqb*6yiDj8oYiG1CQ>VyHII`}isGthczVcV(S|NYaXYay zM5{$hkFMj;Ptgb&|3VbIHEd%b_uxP_|8&BQ_@@bjaBqnIs(?Kj0fOU*cSUZ7-xd2c zM@l7aEqkI!M4lg;<=y~EXiWi$+Xi0JEp2o48#GKV=E8zqa8yON?~QCfez!O1{;j@V z;*&}YdiMi<=Li4n5uX(!uJugk5M-=JKF{F?>wUj}za~iaP2!4+p`Pwvz>ITtw^yQGrBd z-3%U_jOzo2E9{{uzsu)?1^(oc|)Et?}y5RK!vBKywKBcdAcYwdl<;6Uf{ z6J&DiltXm+@1be5qD5qmbS;qQy$L&^+DT!XQ*L&KUf`GEUG#|LkfGk2S;)of@K{zi+qU{CP}jri|>g#Xgc zM5U(~fq7iaqMx&FRj26KH-biPh}99*G0Ka$%wIW-FZ6bX(w$G;0dK05sY!AFhf*|^Mpo^fN!5*bFgM7)vn)MI+q zX=xFSWPn21q)-+~J@` z^-83~^@F`&kky3sFYd$aA}^peQ?avBDy%B`P*31@>dAli{D! zr&HL&5$l0Gh!=u1QBHpMmfNK&YH3>CAKUDVE8%&cl-+0%GveOTNf7&SB6)GQdEf0) zouJeZb5~hj=7boI$Nd*G0I`^=b#DBH*Tr~*)W7$Q3YbHlnJ#*QGJfh|hZut|8aaP$ zU;l^&B1Pj|z{b6Xdr7Vm<>Au~9Hdm@o@G(Q5~&$55zQ)Km$Hhr6bfkr5}z^ga68An|f~i@h(ZAZ5HfA|VU9*&eS)TEC7xD8s=OC~}yvY-HI*EUhA8U|eX+?nRvz z*|20%Td-EfTcTEd`*kkn9i6p79hmLC*0R!j&?i7P+qcdyWa8zS)lVN2D%PS7G90dl z4I!BmZDc>{P?E>PrC7LIjXj%V#fq51@%~1CC!rNPp;3OfIH_kCL&#Ft7GlOrKT^HG zGxFED!0~*ojCGPWQ;Ixa{x)$j_rHfJ}==hRe=)ONV5xZ){3Qs{ipiuY?^<= z3sU!;|BF#@^o@_usTt(KDdVtq&`A}Dh@F0Be=anXFSK5XXj@;WFh8ly9 z_skgjs)=4*2{?Mqdu3Dw45bb$G7!f_{S=Wl;}xdTrI zLY292<%cV>z$pMHQ9Z;K{LrIJAPlU$>omIno|R#e+m4N-Thr}|6x(MtR(z}FsS*Lgp9fS z6rK${ab!jSTdPiNW=1Y%s)~%T$F{_Q<7_IT%|4X}8V=n7tG@vj8Q{>>6C8y$hw|w> zQPjbP=d2d_3T1rT%Zr_F&L6+25tRV+uFHbZY-xYL%s5%1{jA%sW~3?k1ct-DO{2p;i&}wT=S+k3M)s`h_QZy$bU_EwGH3It zvVn}ta+r6!57DwGI#YeFIzw7fH(YC_XrDSuSy(VKPv2e9rt0cvs^?F<{<4XSL!KaG zu?Oa`p`qZqw80fUfmvCbAI~gP?S+UNixYiKaj9$#u)r)TZx7UrAx~hQyy)?UF8~Q+ z^pPV7C3#_;O%l)Xz2$HpS;beTo}B|Kt50QN?!Oc~QV8v|%3-Ftdl;hG99NEs@81%M zu>q14n=f}IBrXPrrK_6=&rB5q)>@n2h18GRGCOn<@a3{ieTBbMggaG$6v;(?t#MbP zl`I^)s#04xTbH#gGmzP|w6Ts5L&Nv^hCG?>8;0MD6{w431_It_{)$y9x?R4NWjUQl z&x-1n2~jk;{QGz)W8eiDR;VXKs3xE$-2%_hWk9*edhqVi`5KO8qW6rvB~P`*#Jr-j158cwd#0GEJD?hT_I@ z!lKH$F`J3320Urf3C&XM+qUsJ9<%VyF5UrZWx1ckci2cW>8;r*e1IZ2Ql&hBk_rqb zR{5MRh$$6+d{cl8gY}a}E?!h1jQA3ZZufiK579}iBi41QU69bxdcF@)sbf|9N(_;H zIct8>jGlTQ+M6~0@K-~3+oYt;cU!G|zef#!TcuKcBX-A5;2DIL^I;%@5xpXN%)!ez z_}KeB(&0d!c^95!p=u5f9{SYMZ~4KUb6RqOnp7Ihb@PdZMQI2PX}8Tq*vq-q!{1r5 zMlN|4B?QE30ZMkr&?Eb0!j+AR8*eaH830-=n1thn2}h)8<-(L9$IiXVkFZG3$bfy^ zx;kqR7-(I z)}A}*)?gzQXYFmKHbpd??~mV5*_FKHPj-!VLFC;uuCEh;>IagU^vd1MLRtBQ^|zk= zW>}$vql+kfj@KIJ2H`woi8@*g^$p=UPIf=R0Y|$$V?{PXq1bEeeX{gt;}}DsWV6ky z)6S%MX;vs<_CQ#gk^zDYQcv6zFU_@U2rw2?nJU}& zF^1Z-Sc#`~*-1>uEYa=c_|SwAqrH-j*^!GAu>A#JQ=J_`0mGSOPfJ)W zRps`?X||1>(z`y{^@vI=yn@$Qs~-I2Jj@4 zkx~RBWf13FG$i*fv$QJ3C39+s}>zzbC z-6IiuK#spNqH=LX^J&hSmLHjL3FM@tfwsRX_IY`httUh|%B}9JIZ4Q!*uGnHoBF|Q z3Mq4A>Ug(R`6C^y@{!NXljy^lH`+qkcjpoF`+s=ci_2MDE!AXpG`0&rQ{~zAb9^2Z zW6wyJNwRU0G@GJw|9 z+6_Ge+c5=dw4DOtUl%t5p;ZqJg5fn?!<^RMem(Q^hf7qNcfs|DR}COKLU9`^3#rW) zwGmC^}5x1~2p@S*|w9(|_K!%uV(A-mi|J1n9c?*k`;|L`I?Lw0sBr^o`RS6Hi^zK!?X()XJ&N+;I{pS58e()+6_+nYjGSLxA1O zJyqz&@<`;E*7Mptc6^tOT+#?dmc);7utDscfcFqD>X?3yVTE;@Rs}quv{yT;aNB#T ze)^5)@(Nc;a3rIwJ{_CMA#>pHg)+t%!usNT>8pRQShwc)3RNCn&gw@&SC?SI7Uu z_)zZ8I~1Spk<2wxCEcLR-UCO!wITMEu#Q0vPtz7feYDUj#binyRo1Hg+Pgv58xj^7 z55v(h%W`jNl$XapEYW{;<7GJSE6Km(o&}2{jViyxsM|6Hi2(9`eHqjjgf=k}k|em3GUHS(f;6dtKF(il_qwX>*~hgF$%SF!_=pw#O( z9fdRvjj6V-_1%M+a!KqvHx2&d|o0YW6Q$<#I}(QSxP+=&Ax^D6O`D zb>edYGH~aF;-ta%h8m) zSf)UOW|W6kRSKT22=FFlz+2YyEfn+QsrcBwy0^?j6iop^ICx`(r71HPlFXljdNF02 zJIma}EvfO?Dz(aG5q71=*6hSGHl(>;pj_Vc1WO&o^*IRuh*z z4MmK^h!hLBq<|Zj1@4pL&98hJM^&oMpCHaG{(TTZ7lPaJQ!VgHcjSixCN2JEv0B0# zadGegPLkA@E4dsW8s4U?Q^2giZZ1`kD`>c$>JS6MqD)k>nzl_w&Xw@4n4j;=u*uGf zS|+u?$5@OJK|f13FA+y-LQP6%`}~d9k4EYuZ@jJ|SNW$P7t*2`f#ImbNEd5v}$J^%K6Hm%~?GKqQJ8+tsod z=KQG1mJN)JUh=mLo0hq(p`axYqI1198pkA!^~7 zr#7Yw(aTO_-@tX*$~AFJKYbMy*Id*Mc0E)tj>}gsD$DP0)I1^H48FYs0c=+9IpfoK z#gR29GjRDpPn+o+uzQjF85PG_NWPDHQ9Z=aF^Rd($Gx_68G$B3;IC;UHJB7L)z!Rb zf2S{Vg0TfzT7E&mNb{aen6=Lb(@S%QvtIXv?fVl6AI8rD6Q6*g_bDW)e~IP2rZ}&U z`>zcDXA)ybFzuhbCa=*O1O&YQoy4wg?j|fA`f=<^{cJd)cd#g)pk&%6aRxUrc}QV} zm~_OJi6PPZ#W-IqYB-qy^zFFJi|>Yy=A!3KKgmQ}yp{;Gr4rn45eqPl&5|X_t~fVg zj`I?AGTS-_w||XlA1dd0+l}EoG z)z%NP{?G9A@f1iSy@m$zDg^(p@K~AI{hv+kKbv*PL8SHjXOZ;Cg`CH_ww(0J(P&z* zWMPST+N3~zUSp%;%d@t>pW!OqJ^LNs_qsXrH4dGcSLNQWtXx?YX>X3lSjbx(>der{q@&Q(^QJSnAYi4~tuzSh-KzZM65li||Hj@I=x zbE>;{)zj>%<{QuEt;)p`!PngsOFjGxuXfnnD76yyl0OlnmhwnCz?%_R93qDAzl+bG zb_p18-K-1@?7+YS0U(!QTO){-l3buyk(-0ANmf2~aT+fJgMKdqgAhm^5I{722U2J= z0I4Zy==#6h50^a#)MEowEezHT)8EUFp+7S(xd`0=BW2Y~b2u3oZ1oTh0GYF`u|)vG z0AL}Hu7AO$t68&wE|;-@gayYzy82XDd5(`q%O)%QN^<4-zaM}Zy;$T4lae=HZ zrU@k&E)XqiOVj`c;etO1{g5DB2y_!#5SC*GVZzCro^?Qr8=4S72(kaCD~A4xq?BZI z4@~g*c~l=*D~jc#JK#nHrU97x4ciyq<&T8x6B=8%8sb z-WB(GJZ7ycBIc-`&ln+;jW#JPXw3Ts49#}JS@|5@$=t}4l%cmlfwCjHn3NW~3M(4* z^Zl-?7wK(B?yuO8h=R5zV0KoMG1;K$-e-I3OIn<;d3%#ge#c^zEUJ(p%UTiUGr~$pM-%u~9>C+&&0o;nAUNnic(i5DQG&q-M?0!!GdP=?zEigw7*mR2Imp+YKdz+TovNufEaZY-0o)YUX2*p zZYuwtt4sO}xQJBYn&;s2xfL^1y7r{n$*lpKT0SMF`1kA1PQK2GRw8p!8wxfUh0CNz z-?JP*m7pYlnAu0dcrJ@9SZ>L{MxLCriE~hdZ(7j}hYnnFn1pM@C1YU7YG6bZ@glh* z5k&U>((9I|cp4g4;!l@#2*pSI3&`(#!YpU^<85xrY4gg??0|1bJ z9B*#rX=bYEU}f*BYUbifZ)R$~qi5%`#f|-$Z&0*9g3jM+2rQMoxtW!osOz3G2)fkg z!K{~HmpTfU8SAv`Zt?xTm25i|AG&)=x68Av(@6J@E4APikB`#)5YgUDuZ z$|Pl+)+XIYmPc`Th3w9=_deivd;*=wn8~k z>G&l)0akN_EDmMq>j#YiCHWkX$tT1JkG$s#p7+n``n2;NOE-76S%!-MyI1`S=jGlm4QmxdEB4}pg;j3D9{o+WJj_WA{?@H;B~@cI*HFon|#>^8M8QLT zt=hH!b_H3ywci-|gF1{-*`?nxAN{uC9Gd_Z85A!ncTbQ*n>Ws$Y}k7#r6K6U7>Glr zNcAWmaCmN9xp@iYEY7Oly3knT9r^&FeyTj+8craKLN0{md7%tEg9Y++7T?=Tfjgkj zF~T=?%nwmf6cEvphV=>oq$N)jQs>!7K6BTqU~gTSl@JCEjerM#;(z5B6Z+U_OtHOW zpdn7w@PBhY|IR;)!#rq1*HT+ZDip5!H&*G~nS5dD#hc&#rPh=eFLYu)C{f}bLS-$N zo&y{4@aMbu8XZ!}cFlupyf?{(n~1F9>XDTL__)5>#Zdh?vOv@wI=Nsnq0zruN@#-?5EBtQ# z(%}4FxIW1k=s78wDaz5x{Vu1ltJf#S3=waKc&DB}%jdzo)AKG}z9x8xAB8)kpeG?< z{rF}O2eJg^kl-reR~UW8bPqz#OCF-O!y(&1J&-d>#eSj8E1f(sYvBs-U_EHjoMbQT zgTZy%e11=!vTc(V(#u8RV%z8XrGMpuZuHl@e#Q-NeeS7DD3txTuWNq^oK^-jLmb?8 zxy}9i0I%)!c(7GjXrIp5ZXLqYC-u|ko8h%u)AM;qpTXE}1Hw}X^;5`};k9a^hjmCV zb>ic%iE7?83A~yEO#-`GX%oNHChFM7Ut`s@YZ7P(G?NG(1YHS9Dq5pB*84avmtl;@ z8#aZpTu;K-E|+YJXl_v3hi4(X!a1Trq`jDpaec;eijTrq&f(=0qq?0wk zS_FQz(*6Ww8E4a#X&G`_?>W zm@tGgP1G01@&xxKj^p-3v;RYP=WxyQcxj2l;X35#ebkDjV0kw7W#-0%Q#iGYJQIi* zkvfA9A&;O>5b+>^@Lks^0P3H}Bf0kL6letMUjXSh0NLZiztM*aeD)fA=Yi>EWa6?! z!)PJFR@un>;gGP2bQzX3)l|cowKJ$+dkyKD_PAqD*npk&_JSGQn<@|WmTjss9Z0S+ zZD`>_6(*-b71r01l{#D=l{&n;$t!KN%qnekZHrz<&wSo8UP;e%-ZET?o$>aPUTs&E zU_&%1qZL`$AcbBGYxy(X*HISUm%PE!?}4wyA=%`#MstHfALeIhW3ISa4&-NJwA_NaFR7Bw9^a zCYoRkJXj?554Y*Z{E)<2N0JZvV~Y)o?K6xOM3Jr<5>5nR>es*$w6ndM^eX+Kwn8FbG=yvik56s^tCK9k-Pc$RMIxd z`{WLL-X@ksz=-m+3E@(P6X#5Irc1)92|Z>GYHwDhuL zD)NZ}{}P3XVO6nP%PeRac1tudFe*ZA6&BR=+oc-l80AaW3Ja>bmuF0? zRmgnh!ekX0e`VKugPju3VFw~GUDrOQhbB^+4?j%zN{1>oX~-XbVAO`oGpP^-MjpCT zF97RJ3^i|bADKfsUsGyd8^{2HG02G$7SrphuD^>hixC3n5t0T`Dj!66;^SOml41t& zGCV4SPd7Z#MHUfBM`zjO1BFmKtH|Wj4>l|hRqLsn*E9sj>Ej}2jmj*ZI7ZmdeJ z9ap;2kuiI$*qAb1B@UUIR^NQ9j8YHWI$>;A_5!VJSMbcqnROk3*1{Ub7CMrgN@aqgjMch2APZ3Wejx`^V1S`c_8nCq-CPSd~{;QD~;FTcFKB; zPkx6AMM5wAF+EG~U1di_J=#5QL*0BXmRp<<252vzOPyl}jtlw5m5H9}Mha6#I_m4} zm2jSt7hDnsX!j3VutUX@yl>mW!{=Dr$v$HX%b>#O0@TTVL6>I!iWkr1^y;&>Qk2?+ zo^JjwYdg!UReof)EQSrsb|_G4+e*%9_dqeI-hlSqHxI2K0mG-?H;;q5gsfqAFMhtK z>ktREz^@nn{z!%qh*^L1CebQ`)VEjk?x=-j!4ojo7<8vtT01~~^?V;1zduLl^l)7P8@MHS2)@Y>rf|mXsTBhTu zsg&?n&#t^U3yg@3-DBXYftxW-+k)gs<%DagbX2PU=iEdU)-T## ztoA?jrua;@RUxTEYuTi{CshERNnWzI^=4o^9xOu&tVuajFgtrF&pzGOsW|7v&luD0 z&_*TLLwu#cSPna{$yN;`pr@dq-@wp`x2}N^vOZ+!hB+9Mc=-S<1|P01bqlN`@F88xg6b*FeTa^* zWyaQ-YM$;YSVyq<7cC~5iBiuVI^rWU3WL}cbD~e}leuw2}hm35n_`-IOBMq>sC|b^M|RUqq-Gz8vU-*1t4y-DvB3Biinw zSycnraqt4*F-#(&7*-&tGDV!2e4tHmC{lyaP}xyX(o|JjTJKyF98yJdLQ>OLAwgj( zA;^H=J#fgBXUNFSD>Q^fsXk#$MBcHoAt22xp&_#?kerlV*hZ2YE`t0>Dv4QQ{2*j4 zl&}y!z_XZ{a6t!c8C`M<~a`9+m(nug5U|D6x87OzEABvRh zIp!8)WAxELKA>^JtXC2qA5!mK`8)vgYG&Z9);$y@myHqZ28!Sp4oYH{BR>!s3VkdP z9&jDsF+k8Dj}td`XUJh>NT7TjW7dW~#2(`5I3oQzRy4ru+vbWbWYrm}1eCRY&rX`y z4A`88u_wY1fC0nU4>l0y@$8rv=<))K0SDU26>)Jx58Sa&ldG^aFK2#+bb2@@Lo)L& z-H_m`HHH8R6Xx&4?>+$6P+oFd=Hume>*hIj^UpO;LGRgRzDL;8neD5`huIkWKOw&j zX2W)cBT|3+1QKu5h{Z<#%^Fnfc8cdC2tTR*S^vz$j$#*^4P?T#pX;FYbx z*IZw)M@;J@YaaOj74#p!+oW~ z)R)is9gP20TiQ57(FF2NEeX{fH!Ul@wER8~Uu<%d({O?U29r zJn?x*Fr4nWa9tZH6g(k|)vN9rms@8zYDc>0w>U3g?;xJygrJwjVOpRJkVLnW3wwDg zt4%xhf5<};ZI8{C)GGSl=3nQl_|1B3p=N4dW_hVGXyOC>#Wn5aBw~gUSR-Wl zw7JSKM(bZ!P$oiS4yn5b6#6jChOK5;I&f=Vi}wu>^FKP`)nCdew$RQKMP|rwzY*y$ zx#=_VOAAyYyfMxaPP5G3&tmhL)|jnuo)ik&>?r26SaxnJZP>C3cDbqXGaq+G@;>eE z3pKf`>x1BSfoMT63?ME#bz=ZmK#JfF1}K%Pd|bS{{LIfaW6q$q7=z8757~wjWtb~( zZAI+`*=6TKb)KkkYG8Dxt{)*&ilIsq4sgY2Ge>Vz>KIT2fHK_OtXLM&s%D+4?4y(e zf2#rQt(e6(L`y7B8|L4X+D76JYN?XrM$ZMfW5w~BiCEZh#$B){m34u&y*`Fa z8;P_Vxu+$?sd(l(nqrB&>Q7PY6;4dQIfX38n)@j}BfvtU!` zio@}KbBas6FcdlOd5K+F19I-FR4EHc=~=YHKUP>6rKCTZ1b2*v+OgpcEevMB*n1zg>g%S0je0Bt^4jI65b`+ZwM_VxCF%@CWTuUgzBdKiJ#eK7SD+QVaSqZD zy%3+jmXfeI=iFyLOckr4C_E9fr9ijFe74Yf2(4{BdCk=R5uwX@&S3}=ub`|^U=7%! zdW;1B*20tE^|~`zz#vDEOvzU^4yY|V#9-Jmq-|9-WGb@4nER;cF5<{r>#nbWh5IAc zfvH4m6UufA=O?F8DlJqYbFTD-OQ`ARyiT>6q&cO>Ey0s@Wn)afT4q1Zm5|M1*@ zqa-Aur&*Eu1;dmaringhqfDDDvM(tM+-6Pj)Y~-4(3z>&v*7}(TeM2ey2N-bCfHOdbY5Gvc)2TbIPRTlhJasH z^T=R%i7=E-Z$I|^wWd>}LEpF6m)xEcOd!gfZC@*Om0wb0}`e^SMh{6}tVivVQj(XLL2BFZq60fPCsNhitS}F9Ftu`&LC(c`c;$ z&qwj+Hv<)R}a>S^ZHe)nnsKutW8#5Ay-eX=mVnL1Xu?I8FJ=)Ub%i z&V!F$vw|v4hTj%9{oe0JzbBZe9h`fpcMGN{%tgY^jFQ0}cfg1%+gUunY`UQ9?%*D@ zyUt2`?A8x_YF|i#QR8F(UmNg;&Erls`irirwToPUMP&c2Kxx72_36bF1M;bSFZl5^ zkk+UfF)eppq{UWD1G1&svamosy!xrFwcV-o@pOU!#iB@MxZhH818DK8SxD*XHoEJC z%TZ))jHmWo8i;ZfcCLisnQ%?9kcs#Xlfzk-`=LR{A|6@L<{zX0JamzgjWxrJba!|S z$T+EW(~IJofTdD+yhl=$PFY(K9m}=tz}1fXg*F+_yR5jQ>yZAST@@cWW)|or*(;7I zT9O9x8E1vLHu_XJ`Pv!9QLoB^o~iX^5!Yv|v$;nNJ43jg6c&_^6pvWQ`(5ML5w=cv zv9qTjm%?vp%-=y^jM(EYhOTJ)i)+C$Wvj#*gx$H2bAM5od%RnH?1eQ~ELmw07Immq zokAUoO?h=mb^*Mb{6&^f)GB*i!5WR8n~{UefKOor)#2x|=XLJj^)8>>sK^>|mj2I=}Q zH?KHCxbHtQTv_2l7DTM6Bj0w@XHSzAhTlG(k%gvqrM$PuKjo)M|=^qsvGSj;9Xl^0oV$TrJ%RSj!* zydaGj+#~0c-93_4O9=8?TNHf^nJ!!T>#!T9ZZH*G`!`{^dWU15lqs{$YyP^Cx_l4Z zp>L4?4$%siR;_b>3I({F0Kk9BNB>W~z{S$Y(JW2J%29X1Y4l(U#ppnHmaPv5k*#RjB!ulWZr#pHOo7!=1-f<^V#SBDfg_)*Ei_X(zKyt za1bG%JK*@0kMJ-{-#<{{Zoj`fmVX;217m?sPyg?ds_$g?{hH^y-X+5u90GcTZwv;* zTd{Y;<DWMjh}&l{;2+C+`Y#7xU$(_IqnsAscwZdCzahvOGMIR3(HNvGrODw&GO|Cr- zt<}SW1-`O!f+Ywayw$Gs3iz}nrD9G!ZWeW$P`U*Oo#@@7wXM}Vu5<5d*xg|5zaJhPThoRz^b~2@!PWWRq4{R+YIRwk^l4vP2wA#4RZiw%uS8X7i{K)TLd< z&Ui2PxJZm`n-?pHi%=6*pV~*{=Vb*ZAZSb|65NCY7I;{qZsa${x=MJNi1zI_=6HtB zUrJ%XJ$F6T5pLiqZ`d&;?n9P(O$zBnMvNrixM_AqHeu!fH9>1?<(kP%>JDygvD?xd3k@vLg z_Ux_QXXhleNX_pBu{LfW5(+_uyNPD*Jf*csZ5T@4*A8;T+t54g>xLUF#tO| zLnkrku-O2MOCjXO4B;`IRq8_{k{E)*OQ#bAV#PV7Q%?PeGC_W1|jZ)rFk!Jb! z_9Uq4Cpo0YO9W?x@n=6@`)Ko)bj8cp+uz=p7)l_hZW9X}hBu_n+peBlEjf>CWVy_^ zEjlPKTW(kjUqGoUU7md39={+gF+qi}y3nD&w4wd8DHJoO2H#of?JV{_DKD|aM+ht-mu^0T7%2_D zv3&g&Ze+3j(>oMhSYZSryo8J#Rr~Y93OJH~QwIQ*4Iv%5qVrpla>Zxxp-Mnc7t#7o zrs$%1v%m~UBA+wAkR?=D{hC9SQS-v&+pFplQ|9s2ET>^jv80|h$L2q{1*HSP4_s!U zaaOe_tKAe*JnQ!9S36^6T)3p%-A|SV8r&YvqvaATr!6VDA#03t@0Z{^kYK~;LlE41 zcBmF4&vx{ug&I71x~Ku$-PkZ&VW-fqKblGnHTdxIlPf%I@_vL*=)|<8w3J!DLYgT_ zbrKu!sb^Dn42z)Bav_(r@$~Hf#dm1H&8bnClOE@qBCXIZ;%6G#Gs2?Az8%iLJ-3A5 zJ#bRbrCv{vTemnLLs4PF+K2aCDQp9n-DLV|X;)v+l7JN4c+PxW{@R?*Yw5r7JyjSK zQQ&o9xe{4Mr0sh+SRZi9v@>+@z689-Ps}${@UhQ7wN&uBbNH;8$he=o15P+d#if6SZ_jk`H-xEh|bKr8IgUfn&vKskA^0fk!bjOA8p6#4-eF%~SQweSF zPap?Y_l<(sw!xzs1FsJ_k>*}g$@*S<$=*uRd^zW%W%wzyn8Wh{oaFLKQaSdiB@5i- zngKJ(`T=vv10|^g_Nm7OF4A>=8%g@_7NXmd>*V?3i*hpV1Nxdxcd{>FI-uP&AzpW$* zsi8Qxr`K_lF9NKu^cpae>nln1*r)CUx=rq^Y%toN>gALwZpeM04g9Cbyf(CB|1guD zRpyuGQGF=DPu&@4l5)UJg;(a=Hu7n`h4b`$jbfwNxVK3>mhNN?GxW4vu&iZj`m7)S zP_*-PJw?mh^|rwb*h+erBtLACt{b>Pd~wvlEfH@brgneWkMTn|4~+KqG@7;cEf)hjZg&%F+)d^hHmb?$7Vdt3;MRY9 z)a>SV8qatv&a9`+t}`Ly-qJv>@k!p=Jt+cKJ%jsjsC(^7iTr4Z9da?lBmnROmt>V zeSnU7iaqD>4g`KGO`y@;C?-?_4#NZeZ-kx#zk}DEpU4u*Y6|{#N?8IY=}bYhyV1pb zCwVo6O>W&+8-+oe$G*TasZ$qb)m7CK+?KuXs(yJQd(r&g4SV$~8dvl&wfFOhraVDE zZFIWIZ!p^sYlHGiGNqH54f(34Hi@P@@tg)@o)Evn6~dTne~|6E7y7e9#cwiOmeGPS zcbqL>ux;H@D&@^oJ-3M$MI(iA3yTJhMc%F20}=w#y{MD?t}OdtiC%O(e1cv=N97_h z29zhnj3LK_{Q^PD1f+=D3 zHA)3%j$KL&SE8;Wk{)Akj=2~UD??Q;0Y)iS^(Kb6={_#ze=%aKZXuFJ;}oqr_yJkN zRx1fb=MAeO-%Pr91pO@GYm{!`l&EKjq|G=n9*OYPOT00}fN6|IW78OZV5b;tbt$B> zH>zS)1~(-F?O|)zE((jAtprNFdp%XOQxR*|!W1dq^C+wY_aAbvm~1W0R0Wj)#sGIE z0%aq%Zc`P~n>V>iBl|-B(h+OddqRsF`C!T&A>EY-SMr^X! zP-E&S%CdO+a}gWOID5bfK`#y>%Q5E-q zb60yKHr`jHvbG>O6P%+Gfv091v~HyGSxjaV=6*5%;fS^BK;f66S%@z?1BW8P)(;#d znrfXo8p|ey@mNGsE8gKMdiEvHkYo9S=KeuLi=;-IhGvKT67)NTuK9HoEql-gqttEw zBPj6?wVCJ{zTCX#GRqGdzUEh43~`VL(>eQY-;XS6KWcj>vv`t~1!iU7R3g-jSf{2E zNuOb7vA(;5JQ~Sq#x>hAN4;`njrwkj`Dx;)m&|}?joLtFvC1?Dy*2(rXX|xIlIo31 zS~woHEab06s8MQXKe&|$IU_b|G-7EnQSVJywIB8_nsL=GsN%KTMAB~D7>`CWnsNPx zMUs0O45mwrKiap4ubxJW&F>xksB8P9?)HzVyMBz7y+W{j1%58#pc!}W8L1qW0BoN5 zqusWH(#W+BW$cR_BG@dh>kmV`ABNh5(nqkua`r@kGwt86)GuN1p=6 zX~wbtuo*1U1vk=-r*}DX_Bx!ikdNP6Gcj`(q<9B8o!WnM9JVY%xm-V&vta3$%`9Dz zzkI0XEHo{9&BN>ekBYI&hA<+{FUC0;BB^ z?H1@A=9O~($T*!kM3u!!+>aYGO;)IoSH4eyuUJKcj{@QJLxWi?shQytaV#sD7_?OkxHMq}WQ#Sm zHlL;M&)=Wgl@BNIA?wu)BqfTLp9|U?Uz0wS5cT%d<-Qkt@hxZFzXYzx@(W#)S{d-7>I z1*eqjHma8$7>oF?`uAY1+|$L&%$FGXIYH$N^TB0J$a6Nbx?&xc#MbHvpnpQBpCdzxu{i)od60#$gq0S71r)mS8nc5Ob!;SA|E> z=K{N8H>&*xRrGC_eMujeShz>W+>=jL(Rn0`@1-A-L?h}qq>%OI#Rl4=rph!R9_n=)9> zI+07>u<`|r38YkiA$BDFhqwPZR$kHpz)Ja16qi)4+CEaGRO%7ksplV-R74v&Ib?BV z?F)!LaK4WszFxKM;|fAEgbLVa4{ljl%ZcznKNDx;L4$+q%TJD8_qJIJ+I#bY;h#7L za@%0Is65fi|lZ#xYKSk zz)4Jpp{4PzwTGB*jTS+#?wNExa9@rY#3B!;6W*~}BQK`~5lZOdv@8m;*T3wb1vR}g z9v8J248b#AdLZ;1P`P9=yz$P!OcEEtXCac;QVr_ZG4>uig>6W9wr>M z8Lb3*wsx$;)c$pcs6)|?{W#e7c)Uu=ntWJoHb%p+(9HzNW2{~3eA&I zrX9MDx-au^d*qz@1Mt1h7?cS=vnoidM(>Vrh`a-aM-~Hs%P>=gYEjIj4h0s!AF7tmivyAjYE@ucL{hcdKc2lu#p~;&eZNM2&-s-szyqTDA z!-Lr6BT}s;2jgWY#a2UbV(w%O%dEhzs-U_2|9;F064fhIfdK$EF#p$jql>FioFve$nKfdQ&ujjrDt_+2o6tAP& z80lDjK9VHKKmJXvnzo`_r$}cd#b;PqSZ7%!CR9!>8TERNo=1tCpvw~D%GaI`TqNv{ zYuRMK&SKOhmM6rQjkB;!n3u=J8!~xm&h=8UI_&qe%Vy9OS}SO^+Ff^6Mifr^vUCbNdWZ@h`g=&BkZXQW~;ZFP6@hi_b`wO*-lPE+ZcvkeW1s5j;q!j>(qEDQ+MW z=JHvq1QI}9&}Ro+57boZv6A>5zLwBx)SpjU8?i4GO^n=BBeIdyS-4kRM$S*+-DEZS z)-_T%QLL{8uM+vJ)^Kp?wa+5u!C0D5A;G$04 zOarnqK8Neux_N8QwdG)Hy46{RtLs;18>?;DXll<#&3&T4e&F21N*gyf$(6Qj9Avk; zdbp@=_4P1Oy_zs0rHWQg(sOOSYqJ5%uZQ`zZla%yb}-dLYLmUNR{Zr=US&=yDDD}$ z6GoLew@>+5sl)E&k*6j1hf_ku5%!Q7N?l;0SpsLcr-Zz~9mOcPC=~;I9IB)>lWBf*;T)p$<&_4)eGQNLT_Ib7|N_Ya2p zNv z1YR`&A>f)nm=E{jXYt+jBPEId4#{Xqhg(mSWKG7FiiwSqEv&jXr`PQ_-#jmiQQz$~ zo|^l0`zwtmT%tj@{Vv_|{0{7*n1fE!Z-lzCgI7wT5^KqiWT1&bRCI-P+zh)(v#^H`QRcdK-3rHR`~!YDnPb3 z)|2ZN`XFtT1L-^T#r#0+=fQQv2D1JzPrTc@Q30|qtXsQLfeuG%lTU-}T(eBh2)TJ6 z=OA7}0!jdM5OEoVkYo|m7MLAmP7tOM zYy-L#*lv)$aHp~F-X(sDhj9z)64)KYCvZE6Cy*zoC(rafDa6$7S z^a1cd48ROP3?L1Fh#-h0Au@ZmXXl8Rp{$+Q4ARmG24QvO~_RsMMj5$iIg$T?ggd@2jSNl!(4Dph#d z-OdVx1}FxDHzQfDJ%{kh(94j|lt+_DlVQk(n#?fXb_~y}vn0V5Xa@X(LPt)NZu^Qs zXApWwJ_)^%x6#xJd(`0u8S;*sn%VU_D3a zyriEK%c-~sJ80`rqPLh&XI(fec9ofUHrjPNR#j>^-&J78&ee1~O^@6r-}FCq_l#y9 zBdl&)u&4>sT~Is}Ch}yu9nfJGGHFJkmbBhy zHwxY6NU>%0z9r?@PNije%lspt@`&Kzt@5Y<2jhfYX_SPWp7|dI%Fhd^%*+=BRH%Wq z?WENNZRDzySci5@rSqz?+czI%npPj!f5b*N%Sp4-aL;MBn{KZj+m^FZ9+4~8Pzt~v zXI*-M#?FZW}?vZU&*7MJ1-c ztSHi8#hK7oo~3-w2A4DV-n^Tt&0059y_9`~lse zsqEn813R)Wc$_u?6>a~6rl$G_uVgB#VdV=Zxmr2AL0_-c)NY1#b(_sp*6 z0?*0LBZS1y&ZC4xs$J)L60mLOD5{7fp={eRl@#QOjE7~~lYr@ugf0<&0Of3o zDX$>Wd`oWYMv75Cm*m@N7mqsJ#-j||v6H0Si5H(ba^+fzY@b20>ez!<#pdntRVK0P z0KEX%`Em<(AU>~A74`eYyy8*K6m^a&DXHWKNoN5F4*$U=A+pH!R8~}qQUB;+A_iB zquer*jkkJPXwG2MZqS0k##6dUlHFIhDU;n-y=jx(hjgu0FH==BF{B!Q??~+Yb){b= z)4R2M)+XH4!#Z;xYy6u1DIsyux>l)GX8yghzG_J)(V{zN%|^3$Y2)Y6wN}kavt%1$ zKJkdRs=ZyQ)o!kp{VDUON#EvsZA&9t?bOmQSECGN45xWJ@NFD6Q-j>SktBtC!za71 zVN)X8uXwX3+pltSA{%e{veKNu@+scD!0M^WJc4Z|Czikp!`+KmdTj``ZluM1CQ9-* zVPwSkNbPg1@oF|74?Y;ywE0dAO3cg)4t%FiLYvR)W-{z@-!4ni={-YfiH-7XW zVU(^t8mICHMD!*%MxJ3`QYBA}pKNOwSNK~7EO)OZ&w7yziw(h>1l13G=fO`NRt^8W zY&ci$``ETFy#HW>vwb@BeQD!&h70Kt=nn0*U>vxy5-Jd$DG|e(Q%eJe9F#-W7$$&%&h{yC|9Uo#P;TM znn$^P7?UFVk2Blt6_^wIHc%`I*E-Q`xj7udM8K$+ z9jvyHLH;$3dkQ;kj;46YZ@Z%@39|4bSnk?b|0X^biCiR41JXSYitsYHq^gHkPJ>bJ}13Jf=CwzX<)EFTRkjB6-H?>G|}GEA9cXNQ?(k=M$J z!c%~g6*C@HuW#6u_i;z;`L?+7@0F#!%i_xt1}Bh?Cvuq6@o>Y{>5x`9sb+UBZl%Y+ zC$9&7D!0RtLR|%^M((+P=hs?3F{jg$y66u5o#5Qa2#gXy0h_ss;M4@!layM9O&~opH?~44B zmq~TNvnnD|WtiANpICe)b0rGh^|(~y@%JJwSTYM+^8Cw7^MVtLIrQ~wcKUrh-X&#T zg(;F`bo0scP;R7Z=`w5cS zKQ-Nki<{x@=qwAH#WmmICqxT>z}8kKxemh|OR4F!?%xXU4!?x`E+%(`&V~As*oute z>7L4dQqu0eOpUM2QC@AYWI^EB)Wg&s-k_#cW;PK1JlB0{qP_x#Zw=47v-O)+#M#vw z_|tL32Z!Dxz6ATz#e{jEXNdoP2}K-hYwLfSIs$|L*LGu1V^fo{JR6T~aqkJKDRs-y zR=mx4w26$SBT{!{X;3QZRAh>B&m_uQ^TbqI9{LPoq9}GTYEZHn_uRhro;i1h9sLfU zHz@Bd559_q_kM>rus5tXs4Xm5!P&$TNANXHF8-uX3dWcHtfBi43xFa5a~A~6Ow29T zcjm<3t{3!=KS%dPhLoVeNQL1++#u$vh)_GYIyShf7gq@rdlBcVly42+uLiqFf1)~k zzc2Vs@@~E+D(|jK!guQ~-L`zZE8cNY+dRqA=1FPJbg2>a*V?h={D}G)_1GWcnevu$ z;zrJVWfWYoA>Lfutz94IU5l3eiv_OKd2+l>%;hZj?vb-Ch z$^Ee(?u*%p*Y~OJh>qKtwlA@%(uou4)3@Z%-buE1egEPzbG06Kt=nPl#w#h7=Wu`C z%ByG6bFdZpty))W8xUh61$fyKW%af(zVP1D%!0QP40 z>)K*ktiVHe%KWdE@Yj_dWkTbTQoFCQt`tRb)`oa*shRAnM*-D|AH{@Um?yUul$xr_ zM*%|-=Q#2o<0$@g&uB7g+FQGH&up?ctplud&vdeUlc?*)QKxjzd@_B*C=9KG9@<-E znOwuD>!#5e+S^Z|+uG~tmnc=@eAY05+|Xe)Qq;zqi4?~Uo4@_4+-VvmQoY*)M7P{B zptQH(GQCsC6j}##=`TPsABklCqsR^|qdc^?Ch0FwG9RgA;F<@hGP&kaLg|&wqlhxF zEu&cJm_|`8v`+D4;#vp1GP;IQ1x=$1O_=#@qXKb4xAZa}!DRkZ$Unkh%IKO#Ii+VB zMoFb-8b`^{I%ScSYaKw#=$b`grDvK&aiwQkM1iGy7LZxfHccYS&^FB?uWKG~%lHl= zb7&rjq`z1y^8S6d{unjpD$5%FU3qQLTj4ip76(|cQ&?m0`xwfHxpzZTuaTxZFh~H{; zJ%JvWW$uxbMx7#n%Z{Ixx;bsVd(Am<84kfZIJoepb^BGEI=e+SoVt)c@tHxoyh3f} z)P}kALW&^r30rW7gjl#vIH?C~y9wJj6~&!ke(-#X)~wUNJcZgJ5-kZKPgm{Ff+_-w zIe;!4OxTs`AbChX>pbLRPAR8YN_^S`r8_V)+ygY`!WUfcNUZpcguGhU9umsVgC0PrFn$Cevn9yGYnp4Ova1YaABKKKwUt3{s* z2m{b>kY4YPO;Xp@m%xgRL1?n~v<{-i_Et#dsZg|AmKF3GY3#N$&PTyMrHkNZH1H7mbI(pYA2Pin z&LtHcIR@bnauFebmUR)MZ_fTg>4piKFjMw~CM!#~47E0tq)>CaD@I@x5kpU~^8N#n z8cOLjM3FAiV-u-Ybm}C{V^Q;WSc!#J!`gmYBl5_$oZ_}$U28S)js9=sAdCK`)rKwUieeCwRGs%v-E-hJ&|SMBb;(`mGvLInDliBO@j z5P4Bm5R9_jD7G>nC2D|>2jrm?f-oB?YP$&c5XNwZk%%kTyQBGLI$Og@b0>v1Q}c~3 z85rzvhsx4ih?GcM#Se4E?;_!XAQ>-)DkZN{#5!7s3MZ%};`+;Q(Nq$Pke#1>fU+ZH z9H0rsNQw_EB!mRO-{d}b>+Z>Qr8~|F{_dp)p+b8U{fy-pXaxdKw3#j@qRvop&}tW6 zoJV2@Pc^4A6%6R@9J~q9-&Ey@g*J*qW!5 z)t$r&)?`c+VGWH~N0=N4A!D~#Cg2WA1F7Td?dh8(K{z?K)it7x)wL_lL+7+r z1Fe~0hpIfVsXi}-l|Ds1S{47F^@wE;n&6|)%YrvXrmieKf(0h{+~S07fzcJUdzg9q z9;2AWWiB`#Wwx!UTjFzEv_Yzo(7vU>VYWY)=0QhPGx7JB7LHwX50Z74EgAHr7`jJl z5Gdrw194F<9-iU-D$HOP0gB=H|WF;W322a+HjW{D{zp8C7OY#a9+x=w`tr_ zG{D5p=>WgJ^=_E)|0>@NZ7`6F#BFrxLT*T1Rx$=FboXbxM5?SaEa8Q4`AbNZhrM4k&MT&rF9{go(OkYk zZXD5mmoD6p&Um9{-KN?FTmZw=Fs4Y|ySyD|GH!Pw^(Qi%qMxAh?-(z|pyTUe=U$p- zeUwl{V-nDSLr4Tv{6c~`FHA2Alp?AF--;X50#LLDbymPxP{K1W;i+LzJ^>+OLg|mX z+1M?wM(I>-La}PvX#7$G9TRu1kyffHB;lKfj7%!XHuJaj6JYW;jm!ON3c21)Jfsmk z7jyL@iB3gbILaM4_z*IhG)#hKAQb3{b~B~r9N*>)bQdKic*-5G;QfH$U8;4?9uea4 ztC)oA5TTQo)GDf%AbT%;d!r)o44P}*=#mbE#NRtdKQL^~BEKUWj&?joXy$LUk$lSV z3|q86A&!$G3py`8P@#EvE9NS2jc(c|#3^WpKtt$GM=l=x-U9HFIKt8*l4PLLwU!x+X1*DNcCr#&y^Lk|R&Tij8= zW@W}R)nUl!@GzxK$B;|mwkQeIj%(1hy6W2tWr|?1J1!B4@CN!BoCma!BgCWo6T{$k z+8|n_Dc^q^ZwIfF?XjhwNDiztt1Zr5K>a!R<07GrG^&mU4Y#&hacV54NAaS5EyuRG zkb>5bW4{+c6G~h<=-PBE4#u$7;TrV@i9YlJD>k5{ETgx^;YQi{#l~CyWe62q^<}Hz zao4^tt=k`&&Orr&g@s$^`22h!Poh=IltVK02^c~~=nvz0wHXq(Q^P>8zQ%AsK*;*R z`ZZkw>dmMjVSHxKV}IK(Su?0037aVEoQEvlT8Z=fhXz*$dT96*rr6GDS4GG&n`Jp& ze7lrdg%^0#5m=oRIxKD{>|HMvl;6Zk$xiX{6g8--Y8fJ^Qh5^265|1)@yjjddKrI+ z#Ds9fjZfYrEtg!0JKL}G*(GXzbMX+Qqnd?IdNjV+4JQ!AMdYe@z=!Y#P;BuTB>x+HRXOh|a@r%ulB<@bp18pacIc1mEcSa#1|{JC3QyBYU)TDcpIGeq`3wst{Z z(H!0r4!7NFoivDu#3npXhQopn;5|Cbw_UQ|JF{o7=nFXUNXhj%;reo`tx33$4y|~* zu8vrLvsJ!#>P>U;R?p^gY@SZ(fYlP&Lo`s#WIt=*6bbJXVeK($8$jJtde<)u9@F|= zD@WXnks7|xYWm2xuyUqaVKtQK3fx#Yt0upbcW|oVjKh!5}jrSl1qRURC_9Nti zY4K`ZA3dO^*afZHOW)7{_x$N&6Fte6P>GQ~hN27+*2A#ts!B(DJdFwc21U4P7n#s7 zfxj7RpM1xgRL_LSdh$WoKn<`FJp6!@=^rw@>|#*#Ft}=cX+U2^I8b6BD62g9FrHvJ zkbyj)3m<;KM06=2SLO6V#Tdh#aW7^~|4)~TUp+&VNJpu8>Vr2Kx8K+AvejA|o*0!= za_07o0D=SD@!ds|vaP3R@%d?fg50k;~UzbJxdqMjrk<_s+XbCeT?+ zOI36Cx?jG1)&~Q3i%WAISKRLBytxt2QHV4Hz)p8iR7bE0Oo_?qrzC}3_*3PD7~ut; zxkTw!{qR~`Ph!hrEh}9eVg<4?4yQ*lLPZF6?lnL^BcoKPWnN8q_6eRTtJ0xjE%(QnTrv1Zh%p>;}SCTooL z;G_;b!_)7$dS2wBS<*!k4)SEg2-)}E!1A;86Syhl?d~>+o+pJnE$t+w2jfo~jPqgw zGnlE-tw%qlGxB7TZmSo^z`W9N?>+jU1x=K)4h!FXbK1eESx(kV6Tj^h%LuEK^vzAl z!a&X1-Q7waG&H*fj!h<;MnNiB*YbGK#f)UkU-AvXbEeEB8>K3hs}KM57cGgnvSraF z#hRLlC{^jVv+geg+tom=Ng3Y(BTKw~w?Il7)nv$)I#4S>(cPxVAc8`Vx8-f5VW-#K z4|)S;JalOx_Gu1(L1EuRbp9r9ONyXNV{>TeeZ>Z~H9p{(ntl!0oU(Nkq?|D0Du(;C zN!Z^qeE4t`jQulD7SZ$Rb;^HhZkY1o@I|ieBAum~>@2Rr6uo8O3GWVVqVy zn{}n%15}H?=###ExX}o2%KEALA;cM>p*?8hA%LOw#g>-+N>@%1#O6@4DpyVk+;s`( zqY>>J`(^@rhmKfuM#yty_LL7i%st{S4?GTWUY;gQj!ZrIJuaNVtEr;vunrFK)!F6~Jyj1yO?@tblSXjoCo{A_R)NWS$waWA znPbnVhRo?zdhr{hYYEbCtXAiGx@%K=OO+$N>sm!4Trzi8#^3Q>i}J%!ZCLp_NcjEV z%&-aIqfVDJQhq+n*l|D4AyZAbQ_jihI%Jp@ z0Ga$`j4SfvhwJ?lw8x*mnS0)*_xN`Lo&su*vL>jyW8%+SX$r{5A1mRzZvpSaT~&Sg zUvQ8j*NU|fhM%OKJLCkBgYjpaBkxDm?>0EE;rS<$KF?y%kdVfoSSGu20U!4x!dSs2 zU1a{Ze3Fu%SSY#%Bs4OGJ9osKL8XgKm6}3X%&JuxBHzkZ)0#?%`2AsAWMQjfi#R0I z&!QY|;GQ>_QOM3CSGYnk72gHy6??!n#O)v!N`L9nKEhbo=ShjKw5P`Et)RkuY%8vR z683kQR)h`2ErjTOMm__Vy;x+vnBE!7Cha&hlf7@!ZNFDy`%J%^zZ4S}CLyD$h^!Su zJuXvV8b4+})T3k5#c$K9m@So0`|3;xGj1Qmrgf>uq(Nyv@)|LEKd7e@v#I(~X~bOS z?!~OKJDV=HqeZ#HOQ}t245B^)Zu&rf66LW z;weM-swtU=J8B((D;Tu`Y&CH~_Xm5IUu8ajyLqjYM3JN01 z5C3_fP_D%gu2qpr8CKO$!<1o}l+w^jzpVL$bRA)^6MHT!s#tqL2H|h>+p|}4^nua= z=E1aLE!tYO9?M>rO zX9K5l$eWeSf3^U}wADEsFA@!xw$T{x;IT>zY3HK^bJUSqX;@s^0Vp);rKI!8l0)s^ z$bhc!k{~qFgyE#~O*5^0?jO!>;;XH^1Y|0ymeiN@zJI6~t|XaJ=o)3U3_XN#S0!qf zlTd}IC32Y9E`C*%$&y)EasEm+3_$q+E3v|nz99POoJ3{DHN0CZq)+{j(Q-F{5gF*P z+__UXNMu)5DTfn|LMtD1Su<8hXUxFN$M zexHfygY0^40@t4er*jN*R)?8PWQ0yOC@|g+Nt16<3}K1p8nJk!C|8;FY%a5MDFv!a z>MizD@x2<(g7Rip=c3kxqm?k`X5oE5WSp>%jt(;pgrCCYU>2p!Ky{u8jqN@hM=URB zUn_w>?#MXx4bedCa?V&ZBhBM@Ge%FZXAJTB;M=T=`NyISndFPrOR3o<<4Cc$Q%G(a z{2SauBR_uhpsBcVN({%y!04_{FOvEVw&bN`jq{oKx3>kx#dqeKS&WL_E`vnY`N+{r zr@V9q_s4AA<1_W1hedP*!{@8iB|>IB-NU5cRat~A?7%vD%u?SuM*4WXUYq@x@ z;UYJ+vTDDM6D1M<5PZ?m?Wa7HL_hHv(Ie?+!9ij$xcx{H+?#sh%C_EeNv0G*MNjVN zxj1lQX2IyZ9Z+j!Moe?V_uJL}`Wdafdo7$G%=DPQ^u}>1fHMoF-QJ**e?1zXW5yDf zD@v+a9xDT_)jl+;=Ry=AuW8;pNaI@e3iB|nYRuQs0d zl~h_CU39N}Pi$loW1ovxZpqEDZnT=>FnhGqwV-(bvc{#l0xne+ztCOF`USMR5YxTS zW*G|0DvYmXy(6?lf34Yuf~hx>yJ?vWTMvz|_1z%CmW>>?f5~BeNyA9lDk3M&*>WWy zw37Fz!CLZnaQjc95CNx?6gN;n!uoB}EK@~&N%dS_34fM^{PJ_Nt}rUENo;8d)E16h z$KiGSq-k2Le4zGnv9Bh;|w#Rk7t=2ta^pzDFgJ)4Pm96{}>yO zsKds=#>$X8Tb?~p)&V8ab`^%kmM>=04gL(m;edg0UP{u>CW$rjwLf-MwSp~%K!T_* zSRaxAYm;&=Ja^DxbJRf^Pcnas9d=4=msvS#1w3TR#B#HUs#;fKu%v#r#l~hFH!3LA z;z=hER`f+sagOuqKbn+JFT6h2z^@t58ibL9BCQp`p?Z{0UTiVOY9@^|bhc%*UUN6VA@$jeR? zMJsAaDiZ;8CZ-?Db>uV3RED*kzacqY%E<&wV(H z^f+8aM*%-jS!kzmZD{q7T;XNewGJa)VSEq6$}X8P%i6rdUY`;%>>u`X4(2p1l}=p? zKWrtHbY+@<(5VcT&|`N6{j`9W9BN9Rpj_(nb7>b2DdlV^IAou$o{d4(_SCvMTx%uA zq&b*RN|?%xI+RkHe8b+zMrbaM@!2Pq<&H#2MQVw-a~0~8)S?S?2cqnRHUPCdkd1z@d zD!$dRW3mwknUVVw4@bd);OE3 zgI7-uHgDdOeB|EJ15F0wp!A{ccMHL7^f()GrAKs=SV2G0>%XZfCJi_0fmzeqzB5ah zNH-pJ(>W#@$C9mamnpo{K$%F_@dM|Mg4l^zW0x=+Ri9{JyPh&OIPb1fGjWgA(kr#( zdPoOY85af}Rrm#Bjs8jKHirXXpDcnwt5hd&soX4#T16YG2~udKu?cdi*g=ic&P6qu zstFcm=PDNl=iqCLY1lUZ3V8qF6Y9*QJ(c)(u-_r-Sou!wOkl^2AL@5>3EEyo#JM)hRKZp&^A$<@kZ${nkqC zn{zT0mIXyvbb=rYe~`)-b(-C5wGr1sY=EQ5c zOsbw)1r@H-UPK6L3ld&Z7NT_D(8>jL1W^wDK;7&PP8WgHQs}`+rRnqy)Q!`Zk-&Z51FV}GGeKMh@d ze)2bSzRwVLzO{AvINT$8Uwd4=e_nkaAI=cI?_YJ^&2?_Q^$~vTZ@75BU-Ek%60$bUcK)_c0FAZewbBhT~0g(byzQJA$ZudzlmSHUn4$#7H2-#c|kPYu(YXp`c3$C$&r3B^OXwob!8epV6M%0RM&B^d3b;O)4_Fn+xlw3 zx&!O=#}XrmLo;#VRyty3?7@0s%GHG)f#swtl<-od4!_og6KwRR=ac!}^Wpvbd9e%j zV29e9cZV+gnA;V~+fp>al_GeRbJ;?*CSsjvSCirMwz)^GxQ=c`(uN`1xLTzbiM8D0 zQ!(S69j{(wU$dhd-sfr$GW_U5{jvBcpZ!oJA$~TuXQs)Kxm;7m7IcBuI5%X~Tf^0K zl0MG!Xag5+a`;Rl$FjDzj!lb5*VAb$;oE^ZC!N_Kf_8G$l+AZYNb%(41cT+XU;}lR zF}njWdskbT?PC}OT1w;7{JuuE$--?|S2^pphTfmJSYfohH^hhbDNL3Q1>C zua3n(&r33}>oJiu&PTr#N!q?hdM*?KPTT z39Q(Q#M#9m%c+IG2g-3oBg*M^wQ#kzx7zhmY=-`1i`Z**F?28?%+9#7kz}O;JNSy z!!QaXVWeu^eHMsXU*+e}kE7kNVb4dm^x9|^(eObQe^ z*pZU)a@@=$PI^8*9O|W4s`c~Ab(l1Dy*>ZMdvi(QOASd7x4Yx#^AI29 z?@irF(v+{UY>&_T?>9GoRlf7)hlQ=UuLhaTe$8wrJ%*cY$W@tx_}LpVNgRU}Vw6X2 z?D;2T!gii=W3J~C;!AZatuCiLl=Y--tXyZ)(Xd4=EVaOJ*(jI$HK#!Xo-OvGl>Vw4 z9Ifb=mJ9BeuR{-T^SLvORRfmizu;~C47SrStj$$nO+$i8@(i}a%zn^AP~PXdlv$Gn z-BoFu^w~GsqdZX^>Bh4;=aa;XPv}}A;PVvCa2aYl-;tYU+cwgYmb7Uh%dJE+MmGje zqQ&SR{mHrO8SyV!ir(zx`{JTF8 z^(nxjLfOiMN0qzaR$P0VWC`Fa3k%JEa_4}i>S`FditNe~*L(rj;A+pxayYDokAH?+ zb80batT7-&MO(k()^fozLyv8)kmB@-z_Zt9(@Ri%H0#nWN;BJHR#|CVun*OfWL9`O zEkxf9_^X}A3`^!zx~K+)FG{%BI=cG~+a$>St!-Dj364;ykTmBE^a?UH{Syj9+0tR-M)KH}Y^S@;ilQiYJGb5X_&;^_6ajQc z?&_SlY{>IAwOw^~a)*YZy1fSrKRuje7o89Gw`Kh6#OfjG9xJ1wCkbanIQC9iy|6NB zIi&XrN33#3P}O6pHKL8gJ??u$ALzU6ruZJmzwX4d+r_`$jt9D~e0UzVH*fBqE+PPtBE9$R%@0V#tR2E90v^}z@6Wc+H|u?fFFaGcp4+iUptqg^y4R`M-q%B4 z`#w3P{FmUPUoqp)gg8}%S%i^S?N?{Yhy>SLrW{3McXVI2D}3Y64!}Nq^N%2VgwP|w z8ShkIA6Q=>Zl7;<82vu)TlP(t{9osgTQ8AnZCmcoz-e2O;-5{J0Z|cc$HR~|`J{ws zT)ijU_$`r9Jot|L!Ua)0AI6S<7@hXNyr>+Fmqo?1Isqzr$ACE(E_+;jHzddXR3&i& z9&CJUDg2c;w_MT>2Cm!Owd3L7fGFEnIdSWJ(kTf17uyojDL#OaG(P8hz;vuNCcc|3 zU~{5>ff$a5?*QJOj2(@2PlvBQ{HJ4A07&Cjj{DfTq#2!au>ee1L2*8%(_vV7F>#E7 zsP{d2Xnc+HJkqI6G4U+)e*=f(x}5>U)TxK>cDaJseurD9B>I^y4~wpGnMXp{85!oO zUJO-qrLzB>=C&q>gkP_8cql6*EM)k}$4#_&*c=nx?Gm8MP(YU3DjBv?+20csP0 zWdn@5pT1s2b4kv0RQ6xiiihunL^-^QNSYL5{Yf_Axf+T+tDk01EVU{B4+mZtyncieKN5**y{fjgJ zK+>ie!OV_`3weH0gDfHA-UxXdS)&@E42%OLk)(A#nI4SP?SYbH=e==)2w3-4wlw+5 ziCn!N0O;Lc0Yz?$dIaE=R#4KrKqan<2b&xj`MRqPO=|YaarJc3a1iZB90;fUD@wZ z0F;cyWWc`02#ddSpBV*6jlmpJKn4r~+>pF}40Zx6^0w3fdrlS9e=RTs#ghu6=(0kh zLbjip5DTKt9U~r70lS3hzq?sk4(XYaxGEqIM9$xA_~N)~=iJ^I0lS8`;xQoI9rpqG z5Sc@|1( zsRiJfrU~9M(OmfV{8D&br8$W*_ibjM@PWg?gSf7=lOA4Ge~)rtz9VstmUM`}nd6gF zdGUGUQUIeOq(wF&1kPts(ruax!{lBBQj;tQ-l0Pn6RP4j3XK(K zoC}g&r?Ty!0FKq6?6*9Y1F}W^L*J;Z+r>H`goNqiY4Q(@3c_eC87%rUxiq*pbSY52 z3k{M7wu*k2iXW&J_@fQL?(&@yQwaQZG*e$9E)d3ZQ^{{i7+wEf4&0FOHw<19jjeH6 z_l}i{KtSSGk7}vF0UzVv2s`ylx-oP9jgTOb=6YGxPrOMH7@6%eSk0caI75L%5`*Si zR2sY!>WA+PH+o119L=?63CK_*O#9=eO7}njf6S8bS3dh62$e~~SRz>XXA@~qZ)|fw zlsJGWS-0B?Alj`hx?OB?fl0{Ti)#MP0)aaj_CC;I=yUpnt=p#@s`7YXN`v6GQ)`y&Ic%lub& znF1(Q$DA)<%7ASE?g}e_ZPtZA`_X&9PJ@*|H>VDAt2A(~*@}T9Hh&46n@syQNx{3W zE&4VApvojc`Oet3$M{oOw>iBbA1Ac-1g3mux&L@k=A)dy4EoZQH~}fU0LgrSGC5&& zdxODzf(7x1?&u9S+@B%(R8G$#V&8TQ?R9+7p}U@x>|s-%_Q4%PJyTgQ1M9}?_2WOQ zZ2GNuTy)DgcY|s`LS5s#Lc46wxk0u*t@_FR+lTG4o!Acg;c+3r$i3}X4hr^+;0fWf zjd_Ov=M69NX|z(?@&z)($m@0ec(ZbYz93=o>;}Q_>iUjbw?%S}3cmR5aRlTHn{+{X zxjRKU?rrMZqu*Ewmr@MW%c|}uu^#q>VSuL&W6>+(WYMU^BJ^E@S7EoO6Iooq%j=^O zYHsV)VNBJ91Lr>NTLE5}Q*P=rT@Zipj$ZHe(YJSSI3MJ`u818*gXb}oTlQoS>^3ox_QzQ>z3=im+QU2>pjw)A>pq*QMJ>%&mo7M zp}Uxk<~- z2UhXFr#wM-eqIB7V}3+yT+_E+=Ogk5T^q^pXWj50BKmN?KgWI5Kn;@+jrr1n*1`kDI~Bj+B6i_<%Vd5X_Eov=rz83dd_6DrRk@#PA@X0v>UlkvihHvWZFxVlMSF4+ zbqxx4%JWm~b0c;Uc#ro+GrS-7MW?*v?+k69tpx0*D|n)Y*)#{g8_{$^53p;me=PfO z1Q9CVd?UhnBMA8*5Hj>e zmt^%ROc@j(UGFfzr<`)aJ>Ug>;??Y50d5J(%2$|DEIy)|cR)Mkgn7UV{N!J4cJz_fYduf&T!C-i*A;Y4O}?tV z3}JS#Jq@N4>bDI|k!_e>b;0t#UK?!_c1=#P-fe+?dJJQG|Gwh4<@b(1v*Y&;XB2NE z?3z#`@Un^Si@vg7SrTre%;15q$hN!9_|m=Jx(fWXd>N{`yIJ)5JPKpczT0AdzDj?8 zqW^sR{`KMX`G))c#Qt(+W4D6Y?D6F0Z~Z(}S5E(=L3ec>eFgYi;4OEJf4i1{zl(pj z%P0Knli}-w_wx-d ze;srI3spaC?IT%wR(*a^efS_cg1LTW`)&wVzW@#o+W#C1mU+Rn+LsXMj3IeqoP7T( z4**J~|55e;9&R}cNzUThp38F$+37{|H+e>{=6Q!vC-4TRL+pY=-B|)V_;O&9Wmr}9vu4Q)0mm7x%UbC9p&H%Wi!MM<4|Zp12++Jh z^7f()(5ni(K5MJEK63$d$w75>682UR*7sJ~B=0OWonN0dAbD@t0{nao{KpR{zz+a8 zI&k@{jeo6X$@EJH-{YaKYorEnsg3K?;^f&QhqRmf6YQM8!)vd@c^kJDlwg=kp!>3B ziG9lgvXlCs z03sg&M0$YJMRzm z4nAzdD!zW*Y|8;%#-L>jI-{!eOrt8opyjgx)$<3XkPZyM7^(YpDTyDsU~85{LpmBO zme0fitZD(44J3~bz`ysosEw*vxF1`gYL*bQMa~~?kUYGY`~L!-6(D(7`7|0;RlO@C zc{ou&zIJcLEW`FV=LBr)bW z^F6RbHXvaDF!ApKU`iXUnuh_v6efVFZ~#;P>e6!BnvWT|7+$+|v>h=qKST#apEmWh z`}v`EY>y=eMV~Hr^G=3zwClCB@9jgvRf!qgS3<%s9pngcyhsDY=ESlAd^nK}kv>VoF|Gu%1V1WMM2EuE@xkAPdBLRe{$s6tq0Pr{ z^R9lfC^SreM2zBW79Ch)c|jo6@gCa6=jQT5&tuoQikFnn2B;{3F_+1s zeLfjo$(42kkG}5ZzxJp2Ztgogo~ZCV!$|snI;X)V(-m>Mop^Wa`eJxMdQYIZE3a{my287R_{7EMD#Clyh-% zZ#)_omb`my?rh!6+Q7@!?P80%pcCen-kO|ks5_tDaN{1!%QR_O8j)P_c{2o7jCtDL zf4~-L<28i>b8px%z*)as8m~1s)o84%FJP%R;i+%h<4Zy>(Fl zvVV5-(`RLLy4+xMl&O&!t^&@BR(NTY$-+dvfw`GF{Rc}keMw@z#o=)}XVA~6npC6B z@fx#3_3sYlX`E@y7wLbCQ)L))Wb}7aS?ZQdq{MWCco}__CV<9oW5aUcmkrLQH=$KPFusXO)k})9lswg z@XKwk^y~FCHC9RU3f4wvH+zPW8fxo5K$%4==&VlS46ICkNhj}OVBJ}HjW;0;#E;~L zRP`oRPZC!hY(^48chSO3UdxyihINTaDNW)!K8;&C# zo3T;SDdx;JiybT&vqG|b%bY`1nfYs(T)9iS&^lR$+sU(2x^RFYRUqRL6K{^~O+-88Qs^zuB{loz3NoMW-c31+Xtm9Ht%?xIB+$IF8fwRoMUG>?zRPK&C2r?1h-cF+k5W) zl>+`f1h+%(eU0-N(-z^Z1q3(engO&4HWo#mrOEoSEYWX(_!&Gf2AlvvMnoC5ITz|Y z(uG|C#&Lr}HE3bFD!Pky)WC8^T$Q6_E)0&JE{x+0jhh>ZSplKW zDxxA0!nLzsJ4$HoHgB}dT&|nBSl4s2uV&(2M2WTK&s>_-bL0G7{XKK3Uq7Y^X1C68 zM%}GZLmahsPylMTUfIIortf>Ko|n?yx>h5D+#@s)Fw@*%&TRpBwYJGI9qF9L&yqUh z1&zY0IyeDSY~M*{piC2>X6O(j@KkJ=UU=bkELKiN2!jYKt4J%WhML+{bqy3fP4Xnw zswC$hVlK(5E|{u&uw^%a3xmDpzu_4vBEB!*8}^!IL^6^rzZ!yA;GTi>*EH5p0A-*9 z?>2icB8KcatBJLh%OMS2)G`a=HI0>m*)Ea+dNBdLdPwqe-vTH4m_%nlE-5))M!_>Y z4?yHP?SU!vT6{(0@vWJ=^G1NeDM0Dc;Hu5+gVN!ckrTnxS+VtJdVs=P#nka*XAw{MG@cs*)(aRE~91bMwryf<9LocrEo18C% z=|6}ID|jsA8~6&|Z23)#0BFE%Y%{Xi1)0GEbEg&{1_%vi$Qt30F=P$PQ2(x)kv97L zZLQ$|T3L_CS}#!H6g9cw)n>CP(tXq5cO)`uzJaX_w&cJVr#Sk#mB1LX5bCU<@H&5= zVwe{FySm}~|8D6N`UBD9o>%9PXn)7rsr5kqe##KMY2b8xgA>&vDqjsDrhZPijMTqw zh}!!|+?yxao0`9B} z)hS#RMb*b4bcc9#AO6x6j`Ej0)eZw_c!#h^o4;IaiVKt0LveE=V}ym{7em4R=%3UG z)@cF68)*e(g9F%VcY-0!dthGlkqukc^mo7mj9=>)#Umi-+ZBbt_ipxb5!PD#r z17X%Ujkem(D-63zv!>o5y0zj?61w$|oo52K-*+xcTE?}XzK(g=Jd#`^+O6z;e`pFI z(s8WJt(9TZHCN|H3l|kf!$<_vv}c(n$l>Syik*Wc4ceBvN=%nU^utNbx6Fn|?lUPj zQ=QGrXDDoB&+k#t^}2mJm}Ze+2oixOmSIGpptHDV;NC}^M>t;MY9 z2pX;3#L}1?#wQ?ZXOy*$er4k%^z!k5no%LW98fF%r&bab0}YcBtJOpqaU$7yheVk0 z*`EGaR4&T1e)$RC5pw(^hN2GnfR%Lry1RUWXQMri8s^}-} z;xj{$0B#6GPdZKq2Wp1h@>5Vf=;t>qfw9F}D7YLG3yt^0+?5@?zilIa-=qo?$S~|Q zd~Be4OM31IAhQ)s=hxWW6-DU32EtgrNi`BY=8St8P|3iu0g+Op)8_q(K7bV9IE}70 zLlOTVjd~@eOZ#sh;Z3S7K>6Ix3CQkp_vakybV=W&(U=KR0l&7aRGV;+O(*MiSp?Um zmXq(C+d4-vBZM@ zBc#_-4lPrS5s{G+qpE-SNrF$aLc+*6XE z|3_$1?0;w`@LC)zkSIKs=L&}k{BSp$!fEM{mY<<+3wKF{$B{9tobk#eIK5XdGQIZ( zjz3J6OQGwk?lpcf!K4_wVq?y>y>dJY%HTuOYYTG)+_!JbiK7dQYq znb6W}C}>KfV{iioi9su_|8fvXA+4#Af8!s@s>>8hu>0-K@#JixV$9JwalIb8tF_%e zFkV@$dxVg*S!)9?>xwYT6!8(QI<~ExyZ0_H7*5Y}y^96#)~!yPeadxC|9D@D_v8EB z(~A!4v}$NiM=yI4+GPT{yx!*1;eaOyT{+QSanNi`{G$@?RqH)BLohE>_wZx7JUlg$ zMTk!3>jFPUxb}?efMk1#=7QdWj5@y&VEHg%XGm0*-$aDas8Y`5V@pD*sFj1?cg!vS zBMK(TwdqZxi;kdRT?2Q>gD|nOjMO!d5R<!|$q}p5qAN zN%MNR*ZJ1WpR_1|8I{bT7LlLB*T>}tC*tvzzk0R!c#1ZPVr5(qGja61QvXH;d}{>(_NM`}Lp-+ZPo~sOW3G6?N5`$&ZW(p*9PpncfxVY{tvSxFmvj#9L>%ICpgo*v zQ2`FDyhs`OC;Qamb1jNdg)D`5vPX)XT;a?a(-R{nF9(TFVues;`V>y7_w=gdJoy3f z<+H7{H~ZMKt|!>C`a9UN>MFJoWl_c8D!adW5?wWO$g?mULn%QOc1QGOp2@0>AxS%@ z2&e<*CRMwCnbK|>$HH=G?1Mn8o^RhzdqjcYZv}I8B06~zo<8%<-*^@8y(tX+!a@R9 zDtrL?Bf}KK+#@gw21<EC9DtpKE^RFt zTD7P8V|V{aUkH19WQV3K8ku|W2m23KmEFDFfoBk>EjY@{off8oY1v^gg({JydhKA@7lNZR4V+uKihA;&JD}!>yi3&@h)E3P^ zjVeHhPQ@BhDl(#5nD8=_!Gcht#FEI=Cvx(ynV3 z#HWLmD;@p4r93tqhqEfyC=$=ySdX-9FJr(02OGNbi|D&ffuNf@4=5RW zMRoPca4&;61_FDnf=X<~1UG*8va!|UF-@B6B5P1(?uv0k6Whn6?r3AC=SKbzr1)En zL{L`yWueE}C#sr*;3;#m+U3&Vmw2K9S`w%GxJeX2KC^iA(}Co0x_G+dpX6!;eco;r zvX8@=&vbDb3KJF4*@)8ObVco|utV%0vHba`3BEIqBg%{-!Zv-(f$q3VwvhquxDv%p zegH9SZj-wSfueaY(Az;2b0UUDlBoinnbg-xrEcFl2wj_yMI?O!UHh{Dt`2ua_ch!* zb{1zLfOa5U4NPn(z0U|=6S-8F7VuqQ(PBPvB9&}Xq|zy5sD&e_fKvxBp$ATo+*dq5 z@!Tn7ti>WWxU)H&pod@ZeXsRF228zPRDiVnZ;4}8c*-HQr3b5RWI1{gm8(GJq0h%z z`qA9k3y*GHZhHrloCP+m?T#Yga3TpbAxMinh)^Lyl$!`eR*&LGXJtuL6&0<^?fs5p z?LcCX{?-uZ7jVb%3o_$Nf0hO><16&{9lxFJCndtK_; zoNL&nnXmryCOOJ_G1U>yr?AYN52)H4575$bUcGV3*-QH=PDU$4&r;u`eacE=|W3VwcT?Jn#Nw#^O0l3dM zYAB+3b6{p_a-#U?(h*0P98NR0gsI}cb#y79ra;il3jLi#3D?<6Uc`T-(hMY_t^|qW z+fUx%y!V1gmhmO9`<)VH@=K&NUC<485t9jPajAi)yt3L=9dPcgZtdMQa0(pgJJpO1 zUI@fQEc0MoiTwWPD+}$-pa6+)4 zId5{#`QQ8QTK9eHTWeQs>F#Qo?yl)y?b=(R6U^J7@WU#!Fv^Mn9}CnFVCcIw9$Wo+XChU4=?DyNkC-u#J3Lr#AkMh+c~9ZFDn#(x&f#oLDGkeT}$l{bhN-(VL^ zuEE}8eCa9Pd`yEj^7HE_z$^NyuwHEd}PHc83fxZYy~cDTgN1I1c;> z-l>N5qVh1lkS-I_J*BgvZF<4Jb(!2>4U#G!h%IAh^afxl1EHd#>L+HXRU9U7oW~Ovn_mREMl3;KbMu{ zUtR2rgq~9zOiZbFZMZX;c1PhgxZ&h`Kma(Hp)8CkT*Go)?o55OOp}f`k3hu|-|Npy z-+A{Z9yGc(*cnY-Iq{OpRfN-6_myfR=xT7GiXBp7yazugc#^G`@`F!HU`U950aegJ zj-(L!+_eo~$>F%2)MWbf$1J9NN`!Qwz$29yyoLzLeWx@=Q?=6wf2rb*=t{{{Oxo^N zH_|SrATWS$W&X?fd(Y*PkAO2mD0Z+I@ z+V;N_!pZRNZzknMj4yjUHP*7#QD^!Hc` z7i=k|HZiaOGictm$Zncz;T`cUY&-eh5B)5L2>N3@S9uf`@!vUhV>G0xd|;~29HUwt z8vw1V$?o{~^Ho3Oa7vlQ=@_U_Q{*4e+7PG>&IeJ=S7?G*^Dk^Q9`WMfun7~6+TkM@ zm0~e&H}=bnP!euq0kAPDC%`Ou3hfU;?dHp-fOLl7oyqxM+Du|B-;&S_u#J=t=MX!8?gb%?t$|Y{M=19|FUv$U;DWImyqEA{cwWQ}*eJ17Dg; z49!hgPCHHQEd`=R-tP`r`f4b@ANJ?@^y+;#17a<%yZf?yA2Ds2s@}0$W&7JGb*7WN zm~-;xnq#3=nEdF%>n6~PIb9jQfPKPiF|dVs65~j@xul|yq8#*f7oH174@pdi#%a5N zr4?X7#)+ylYPI)6Ov-iaTRd%eo<@xZD-@TV)6Vq`&(@c_UWikAPlM6pp_4&=xPg#@uX`HJa7Jo$^`(dk-6WJU<6uPr6bfGj_yek(2b-mFy% z@a67h*`d0%Qf3@oyOf@bK;;_B++6`#a|~ROoPjJp;{Y;_KxZPH$MiBIlNCR6ctxIWOt0 zwP5#;`OYP@kFy~YZY)x6e6~Xpp%&&aWjHJe>gv+j!9aMAC|a{q(qAn~*@dSNo}H2z z2*hfbS^i5gGOpA*D^{CQJY20-Apg=&2;md^Q{nR+ywWSBP1jpUDjaOL{g1^8_KNe0 zd;jY_rG{*2ie=Ul(42+J=X7767NsgoVn_tWHGM-ilKUIs53Fa$wiMyw1bQ7(h3G7= z*+KJ@i@mTA?2q_sC4`wSekp+NY+lNYj-RP6<~8sNhbEvR6~HR!{a7nddIFdoes*XK z(YPh}c<#t`SCJ09I5g6l6=~_NXZ_z^S(FCP-V`8{eQe}$?C)CHg1pz28u6?A8!AyUGH(w5}T zV!OFk*oN|tv`F+_uBya|t%qMD=7l%a<(hs%g)Upb!zWaFb`x-E3-oXd8N+|vIidnN@*a9lEYpy&FX2v32`~p~rP5+TZZ2P4fSZpt9$Yd!+vZXF{P_8z^+UUd4d}1#T=@5}`QG zhYFv;|4414RIrI2M#_KCp7)Af=l;c^9|^ydji2MSArq?EQI$^bpR@ff?v~0!G1|~Y z9(V)vDni&i@Bq1*l|@oz6PIEnXT%|=56z$q5ghvx*Pw0u`ZWymjLE&**Zz2q^~ApkAMIyb^1qPlAM|y^lr#$S!-X(d#_Z>65*_;z!5^he`KA3E z?7tgBVO;2z|6iIa0<5v%niWQZ$GT<2B#)orn?KN%i7T}I0MNyiJ{c6}+;RG21C$Q| z=>Gt;aK*x5le*KyPNmbuz&DU?5xqU(?VW3b7z}tUl^l& z&G#9UswBHoHmAt3m_)VA^s!42*7$JvI8tD~=7mhAo~)N(w{f9BEWLoP>2C}KDaK3~ z8*LP+16hXwHIO#z2`aTSB76V7ruPX(bRM9wjH74VfQ%c~Kv}SLQ6So*_xx~vd|vwg zOC7GpDyNFL*%|Xs<8LF=mw&Ooidl<5Hu${{9fk_+{=P!N&6XYKSrQSN@M; z!PtVWkYBnpP91@H3x}n`o^)!d{Cm84Ph}jJT5wX-b@_a$d3YX#o0E zQ#hk}7q+4!r3H3ftw1kP&B*VCRv~P;M){s_mhvetg3P@gqy|R%_$VbK58oYIHC+uI zj1GGQ94XB@yd0_=ekb~M9ql|uTO9` zm&qE4+?3E)3-ft3{Ywl|FgUCQGlm7CTUcTgUChFps%3k}%gS1EFl?L4flVdM-}tSz z6Zo?ft9u8^R<5^G6p@gK@-KcaZx)WhR!2U<+I0O;3s2^%1aZV9dR1iC+KJX6?}EOnKMoA4Mc~ePT}9wKmkN`?iA5v zq#?u8XckB{NT8@L(R?NxzZa$I8%lF6&WraQBa*3_s({BcR}tSoL}%zLn2#`d zqvGi$`Qnq40Sw>Dsf5im2~5e@cv<8pj#$`*@6ozZ%I=PHw~qcuT4 z-uE?q3eaadU+P!-g2S2Z7BLw~xxc_JC#>eZPT-uEzN>REuEF}#i)F+PAO_~{{dhRV zVCr}W$trt^sCu#W|A^?~kV=bxd>Q3SRB|%Lr?~jjA&J#Qfr_sy%EH^TiT8+7|NGX^ zL_x5jQObqYz#c90Y9_Vr>|yW8o$*9I&TRKiQTV4D*&HpfP=i|}c?<)lS-!TB)AKea-u%$m|Ta-u1C z*$*?(0So}}9OJ|2vf#IDXNVA4n6zx*q}}iL3e zuvkT(?wtbMh`_6zmM9Hs#}-XC*kI%r`@HI$s#1;?)&qapYkm^p1d^)mppK|bM}8{b z&F}p{($7pox;G1{1hwGhVW^Cb>SRyht{2+I<~LNQ2#(5O1=s-a$M^(oCG$BJ{G`wdDoi4uiHR;7UA-FmXnHS)6k+np4o(o>vDgp%$y zOMz_YY*EKh_-pm2YG<|1GRFqdS50?D$11T1{%q1E@+~h$%s9pdPpc@1Vk2GOZ1EW4 zQ0=j@RhPGJaa#tNOcLD1etpeCrg{|PxT``Pnl50{Hl@a0OCT>rs8(yU z6j`dqPI=*iG@Pz$7@=!SeX(#WNU`W|)|-RrF<~~Sk_n`1r;4vIj)=Y-=PQy$+`;IS zTEAd7T*oUthXE9ul7fg48;HM5wA&bHP)KsulB+9(@hK9pv5{ugRnyhEfBdu;f1xlu zA+14^(w>RY**6uqh){Ki##ShN9H!u13QaRrslBErX!~qD3?L!mHk7PD#D~`Ay*?qG zxo~e?eUd3#=Ou#Bb=SD)xEp|@&z1xwna2jOBa=Wf(Z4Xms()8{SvJJ;EH=MEp&}pQ zuEVk>UWw*GKN}*By0bzbdg6|<3MC$Dl1#8Nr22dDi-(Fbqbb!$fT@{so`>(DBY5;3 z2gYG^>m&i|7^LA%i?Qp;GqRT!9Uz!?4Brygi{i&LJiT%^*iWycu|+nMB6H>WOgfP( z7L<8FBxWpI1ncZT#YOn(%R3rk_WzwxVj8k1gb#y-|6yG{bz=Jgb+_L`;lp>XwBg%b zpcs32H}vgJ@x}5I8PQv@X&#T;|G!NE!j_lBqYE;fr-9^C6yKli)%cK?o zU!;z%YZ7BLZ7?}%%Hd-ib*UOAecO0ggrG>u93v9$21H7K6vfpGYEP2+C@9i0$EZlS z0U5)*e!@p>^k$7*C8-@cgQDMY0R~yQriz-3-OeSdmsx7uy|$!)Fg38YWjeQS49%wm za=mCenlTvW2BK3)o5X=Hwo(@+9LlORHOLkG-^#uyGQBC17P1G09AQKF7<9>co;x_- zEV6!_C72Z>rD@dD6|7H(W>RRlWE>J2oT)t3qR+a@m@ zi8@m`EHxTH#jK#3(LU;6OeJF zrdAWbT*ty7pqUEeUMd=Q(-a3UUiW=*h!yv$DbEfImtMKZV2Z?gNxLAw!#4)gGxZr+k3MZ93) zklA4#5&V7+Sin|mtO0BrM9xKKX20^{>a+puzMKI7hst{%ZnkX>Nhh;`Ow9s7r zMan&i6~<9dxDZVPv%N|KF^*M^?zSBYtdieOt3hk83UnxGM-nX$@?Y)o zdNxV0Ega%EDQLJI{N5x|t)kc1%kVKFJu@phpMV%L+6Sh6wimhR_aV7mLl`G|#KHZb zD>nPK?OKQr|8@LVXE^8r<;*zs()f;GX!$VzH5Flmb{dXt!8ZCv@5iEg*gFN(1g!vf=J`?mK%#81L8j3^3liI>==t7rJ5JuxjM*nJ9@fbyas{=&=LqPYfIKj8jdBHw zydR(Z2{2Exxb}KU%l?+#r|UJ&#$Z5Fo(4kK9@j&xfv|=%$N|O~xH3(lZJMZXKK^O0 zBjaB!&^ZklQm33m@}Huss@o&V%OS!28`CdW`tj5@R_pQfHt`xRrQscy#845i4*U(5 zq>Ic5@dR?W(0EzFCh38UgZV6IKe3#VxP3i8wNOHUMora zm1TPZxB$!+=0VTE^Lyrgm*1Bmu*?D}MNiC;i{r`^71xPgsG>E@KzLCjqz~l-%(SwK zzwiB1Wk-N7Uv5o5wag?fb4^l8yV*iY+ zMBhH8Y%QpZzfv|uYLLJL9`X}dhX$crn?h5tIqQ>6$rv?+SMt9dA>VHnyWFQmSyviPt)I&qEZvPY}XM4G)4*K6hXK|}C>gi;Uw4qTlgqUdi)pDsU`sEJ}gv6gA)9a7-r|lQ> zbdnj}16{%!#y&{LlQ(C&PmmY2{7X2sR)P64YRgJO0_)YNAfp7WUoFJI%6OjBiFlr% zpfthh5;XJxv77Py$@I(P^QK=!*-Ymyn-^3PMIx6k)4VrXyjaxUM|{p@oleqfm?c?w zAi~QBAHBXY@jH2;SYDu(*Yumg%J`d#W1r$GRG9rEe4eG_=%6@K%NzA$Hi zDGjVaO-ZfdRYrFLrt^dAG#9vBs%V>)$SJv0%2prd6@;lrO`8DI%5cSGcg&<2@}O^U zYT91EG!Q2mEw5RUha1UW%4QZBwZA!5HU4Z{?B%hYwN4$F*gc5Y_j2ZnQQWZLKv%_>s>OXv49Z?JcNVfmRdE2Nq`(kHQMdaI~^=McX zEjpc4rRFb?M_a=x0h%KZ&^_50BsWXX13reVl{h}v)eAZx zm(f1~R|z(j&Gp9Q_1cmeSm~*LE*)>w-Cz*v^;CM!oK<5?&Fd9AI4}>-X~vb7;>*gi zAnL~%{{nFi&2d{Np7!=!k(({Yl{OIg{MN4k8Gk7@uA5H`Ylmp(6CQvHfwHdo-_Ka| zdRF`gtR^qXy1(dUQjoEV3F<<Lh=J(B-Q2Zh%O|Rs{U&oY~D7su$Xe zZW5#QdIZlX%sw`q3=H6#oiCO+YkT|nI_EAY&LuE~SpD=#F}8k2?X7&|HR>6&Zgs|0 zZeJA;U>xW`k7?wfJ8+&%dC23uw%uYiTVx!tYE@a~&;9XNo1^4I!$Nzd3TE znEpwgrr1g>shJ65Qo|GO*^`}Wh}yT9Mo03W0*Q13m7ZT$BZmA5p_jAoXJ$OKt=uB_ zy1IGj0lE%APt1Ej-z7d#-&n2g>#FFezlrWitu{MZ?`zAB*a1kT)rEPxrL=8w#7)D% z#$|(`@j^VQfpg3@RD|oySavlY4&0vR#hgJX_(e%SgIqcgJthz4~QN9n%%#yYBzA^ zsd?kTOh(6>RlL(0?ot_}N#KJNMX0Br0`N zR^0Tf==C@epHVs({F3VJL7Mnwr+1PUEnfkm%){zFKRu%%*uB-O0BIjN>)P!eUv+%% z$F;b7|C%|(Y5^*KF#ap1F=+JnguCkl#=Jp$?fck<#q8s9o^CMwC;;t{g=Oqy( zhCXXte_M}k#ul!#c#wo$Z<9{B1WJ9bZiu2S)6Z%stmv;>nI;F>Vb&PPC%sw+H7C#{ zhhKb|_X{2n;OqJj8f9fZ>k$|NjlUDKvj^WQvEgDb&;m*gg}QA)4C#&ppr2jY0$zG_ z6$ZLVI>uw#a;>avLv?8vpgJFSfPRz?gUOGZvI!N7R-1}q;}&0KecNS&KP0cv0~Wk@ z^sNFy$V`xx>n4Q!91pFP2bMpaSSy=98K$`hqgeBe&P~k=dAB{&6o%18^VMo=r)8(5 zg#fe{GKu>7K+1F1%=&Y@F*t2L`Uo7EN|<%V$ze;@)PyylSb1H(F zERN+pA_x%&-~;?+skD}b4=qR@NWVeSWcuC+w{MblWqv?36^Xt%d*q*LJX+-bT%K?;%RyA6~Zr? zsbBXPwAy?F+tE30B33A%#q9`il__ehQZre>4SnHg`r-+0>=!{WPa)f+S3#9kXx zzwxCXX*HV+>Eb>3xvFPqW?En^ah{>n>4XDnGc=L4EDS|VzWovNIkGz+vGpGm-WN89 zy@hq++wHBg4S%?F%ip|kwEW^}+e4KZ~zm9*;(1s4Uz#zMpCrJi`C! z@dMub$%S~@&_8(h3a=L%dmhvuG*b14dU&;!DvxeXN0D1HM66?krV)QrdWf+8Jp5tW zbZ8BKA-fFikupGN>x|S+kf@9G9FD>|`h>XGV00~o3K&k41{-DnZaDB^?>c}gE^X9! zO202kBD7qZz$!CRc%u|~G@31_27YS}u?vDM)K;#@_>n$Z6=r_{9)8P#i1HNMZG5zv zDz7}(3S9kOcIJw^k?$HW=}B3blxSZGGXm&|lQbgFi1o*dC^>@c$ujqSwR=RegHV}u zxVPL~DJlK2)N$X%F@Vp=Zog-_K?JRr@%Sy5UVDew=ZpAxq&hKNG*?7op`|x&f)Q-(rJYfaqjuDoH_yNHq)e3;R)GpcAk}snnkG11|8h7`G4%TnIox<{5WA0yp0XJ#AFEBH>bYF}RcFDK|MR05L7~53) zJ?Uff@MI)^2uNEqaT8xEH>G!MCBY^6d zx9HpvN4LI%=-*edIdQsV_Ta*r)Z2Gc`Oztu$T5;V`1?}Lv*E1hb)Sd{U6yyl(LL8# z`!^859^ZfFQBy>luyg?5NDL!cEiry3G3-?SaoO&1Xs0TSOT6D7fV8&UjmA12&BQPwjS$Ut-yV8Eo2N5W} zPmGQ~rmptCWt^`k9baObVT2i*3%xcH}ZzR=h4+(L6V z1E4dUd*A2!*UK*B)rV!TL-_@u;%c^H$Ry2QUT+Df$#3j11BdEnXxwv_MIZwokH6v%5-TZB$aVG8MqzE6&QO0O3Own4#kW{4!A3w5; zwO|v{OG2N$h8=%UJl4fLrfcr}G#hl@B*)2SkHW9Iww5+q1%D8f9VK7tI6`Mqu6EBI zT+96)Ym=s+EH-K#kk}xPGbyG$az}gN6u zL?Cu_S^q<_tgu236gXNS1|nV0rSQJ2bFzW;pCaLcPWquRbx&^G`7Y#VL0(Ay^ZB(9 zUt@MQsd1)L$emo|FxcArbtUq%4Wfr+pymUK#V^e(1dCsD+%usg+1vc*SoAQGRg-eC zA1*?IU(RZ7a+sYaV#*HDE%xH3D&;Nszz$zf)YUO5L^RTej%tJUR<^FB(FZ*Rh`RFl zKFlFp!6xT!4$EojDC8{gIpKy*#B}3Q)xY5yNWK63jy$_D)%Wm|z%@8W4$VJP#N>U; z2QM%0gATn9q^IdTwO3W8aJSJlaJP!(a1p)@C!4moz|q;DX`(kPGJhBN?wC z-v_0I?3)xRnXnH;#vKZ@gIWGC1w8xx}knQ6MNcH>eCTSn}q}|w}Yf&CWD?nHi zm}-n)1FQ=k5Ho5y$6%4~$cfuYQL(8h6S`GMX4kv@-gG=|H4i@Qz^2g4V*)hmvR_r>=hO9Iv0z z&c!xk#cKlCg8ge+vRoES<32+ObS5*rK=j{^AE;xWpdmcdQj-Posccwylv{ao^}dF) zr>}dJ*mRIr>(P{GA&21O@y4N$4M!xs11pwd16?#!*QgnNu<;~geq)q*zCg$A7+i}pns94%5LC@?Q_dt??O876Esey0@_jCQUR!)$M~Xp z2ph6(;SN6MUi>0q2tA)1d^pkgMavLK3s>MMXi!I2zBH$bpk9mCkHt?J;(N=jB1edb z!QUg3V++%AEAWVP$ylO)^5Wh=BNY)zGY1L#=51{+Dkoq2T>kcY3Qh+p#l9AZC?$Xd zCw+5XCC32t26;MV6%c2yT1yQ+B;hH$)XJ?Ip=goO3wqA8d6r+y71xvn-`#l8XhGJW zQ%Z;xue*c^gmBe|6C-py>GpNElmcEVTv|w`{R7kqd>Lmy>ev>_&!%;LsVR$uRCv@n z&;$w*s0Te_vUJdcaUfl(RykZ=XK;y9|{u?6^U)}Pgp^+n<`S3%V zYfBNMTaLh{0@kN2aYJ>2Pyi)+RonmtVT;ml_KLJsH@$o({^+W4-Simup zsZhzvEw&?Fp3TP`uf_r$dG~8A$N*nr*;1NmxH{T}Cq#U zLg#}vL#`^L7yncLJzkczW56>6nomb)n#sVHw+B;lU4GR{#h;eKESlxNOFmTor}X1O z?+ge)<3zQ*`@>YtKXr?#kGDvsTBEl&fHU7^)Yi90cclnm8|qopGE5GW2sq)%Jt0t4?`KfE6E zybWyHCbQ>z@5WLxzUW05J`iE=WfpnHwq@ycqZ0gI6t5Ee54}KIzi_Z!Ky3F&hB)r6D{|bk#BIWnfG2`p4;<|Q$)peflr9Y0ZU;JcJRGV>2-rcwK z@(X@>)eHaDNlZ-sAt!SyJQn!&oPX+s;b0vC|4u=emD?CT8%DraAC^%H8W!IYH(V<{ zvJoT1c2C^yBNxUN?&&9wo+D3irz5gAk@B>Y%_tXYH00;E)*Ft@276|Ksxc2oLQ0(r zJF8ab(x&wmD*o>Zmzm?sr{@o5`7!a~rMD~tuDHt9J)Ob_-)=wh_~Y>diKB8#(5&hR^J1>; zaX&ccWSY0%$c}pw-7a^YoiES!yIrnGHZ0s7?I1koKEg*7Q!o}4URMN_IHL#3TOZXu zTr|*EFl%$03VS^+8|do6H4(4?r+@h{IpIuJoVlCJKePO-CL2%CQSMZ4~WuRgU+@?;#*YeYcrOU z+kZrl8(CXaH5&vvQ#oI@0$)SA|c;AIheOTmE z(^64`YW2VviLerNQAQ-{>U7KlsJR^Y9_Ewi$vZe0=|Z1wxQ`|3J=AbgnoMS1uzORc z?5!K8h0FSlAI^HzHbB|CpUr75mM7gq1w?}HuAj}-X3YEd)f#hJ4Y&%wZ5H&)9QuZ* zEvj%|A-utGVj!+|f;OF+TSD}k4tq&C3uKZ(-H0CvMSo!{&%Q0!ahp+XB1N7&tsO9{ zu8s<-TJ=bF#Gx+UH5cmT6s2R5K>jA1_pbubV;iIPAEUlG+k_sn9AdXDV(u;q2J|p_ z$0+_^L}G)mkjV(+gis2QBb9w@1J74buOoj}q52raFJZO}2fYGfHPV_){yO0$>K*K) z@V>Op%XGaX?puh|)p$nBMHh`JJiH&b0Fft;(xJDFFS8wh0k&{IL`6!9`$IyGF{g2_ zctV?Qd9)TEW|bC`)iJ$9)0Hv#MD{{r=@okVg8$T6m{Q7F>Z;?J|68F^8qZ9MBMymt z^ORVp68--mBm?#qR*L_SX$81VZy%LF-=tAiEjikFe4u0Dc|)bDb8ExKwL(k*Gr3bI z(vjy1v7Vblf9IN@^30k^26}aOEC?zmuSGT2>bmIMgCW0LSMPqeKOvk(e*NV`Q00DVzq>R$P-7CR)A>~S{Z?)Iq+jVw#MqbLb|W}fv^Y_F zCagCwH5ZLZsC1HJ~6I zv8%@X=xCjtqNz?bt89HDKwqe#Yn;=4YoOBkUczqxcCS*OBG%Btt1ma-bs>!BUFi7Z zw8KhIm(eFLo%$J+767OFxt6HKPF-e_nx;jS;Hpr+1eZ@jco2W4+4gN_pwX63W;3UI zP_XzJ*%H%iz1z9q$*1sbMv8gb>Ed90XUS0Ok#tKipLQ}sYRP!gw^JfHUFY{F7)Zt4an6Ap8D5pzXr ztTt;tb9*gbg{pj6Mx=5EugUsYTg8-;tBp>@M4a7Wo0Z1l()-kjFxMER&3&Ub)5_*d ztL2F~p?$APJ zp6im%kjs%Gjlkt@$oZp-_9c{b>Am zr8oeM>M`G{Yse$n3V8W>jfr?#5s^lc6gpvTL}_;yFYPwkZL76N>>JV=jGKgoorI#D zgvjV@c36Ih*bRyJS*gT(8BBt`QW`Mno3}dAsD|LCp08M&rE!KT>yhz3Z*2X4p`%P$ z-aj_};G@qZbKr1V8L;l0FiFe1Boq_@ZEf?}@`f`EH@vEnKz`#E5k|bb?JP9O&^y~* zJA(RAWs*IyX*{cIVC57n=by($vbv*y-?Cdl-{)0Ke5y02uJ`;(nVmsrRC3MGUT{%| zi_t!lE`G}>$|{@bSCiHlp%t{>YP`og>@xXqH~T%mz^H-OUrdt5;*dk_Mm<^HsK3yZ z651mK|B47H6Fnx+PsYx}qR2~T$-@%NOP0yQ(#T6T$-{EUOZLgb3d>7Q%)`o){8_~c zYbE*H=`G*+urs#;$+MC9^P|OcuGO=M_4B&TbD-TbiGvB^ed|i1Vh>NLI|U@#7pD3L zZW|@cf@&$qI>6ch(!i8+s75xI!cg~wrt&nT@6Ji;*=nA;MikE`)lCmWGm(KS4lD(u4ht% zC(d^bY^KxjnUebBnFB`SnUZGX9LqT$vWm{0j6Q(ASPX_A1f4uojmn=e{UwPIankZY zf!4zX!F>YGDk>^Q2e*0vcbqqGgib~zdbHekqRC!xRpQ1o$)QnAX0|z3C*~WV5v+0| z`GVO-d;UC%O)j<$_oWgE|5rGxuS`tSppC2#-u*H+)*Db=6C9D*#p_3+FN7H zP_{jjPz2E~6uX~IN%3GiZ<*k%J@1?NufP;8=ma=q;i_^YT(R)i59g;*veNLg*i$?t zpFsU_(tNbmU5R7F)pWd34>1YRZ(owie zl);R~^%BlV87hq+$7GQE_6e63tXrKs;je+e@M|VRrzX?Id z>Ghnco?-hU)u>1FJoFm@)#UCk2V6Fn#Aj6xg4xj ziZr8BY@#!yEMs8ZPKFmg^i^B+O$$ji++sFl+P&jYr?pC6HHZ0p7J(R3?<2IwAL!>R0eV*83mFJF$pXpp{6(_?Iu@A+}$2$!=A{dYN_Pz#JJAa{oJ zDR4SLS`-?b%Dp_+iMEH?hslat(l!I=tT0DZQ+iW3@xyz`q8jiFjLS?6T`gZxW1-Ar~DHD~& zdq-}k$;5<>N;+W2{a{MCHMgCZNh?K5`FcCt08-Qnw(Cc13+ntKT~BdYHRHAQ`hqBbx`ovRbtM@Lq*|% zFi^y|=N=W|fo-VJI~Wncvt`}Yr$G(=sh}NoqM+U596HwN>#Il{44h{F+aUwcXC`{j z)$1xU4w7lMu|CLXbpn~bGZO6I!H9DIa ziTTEsEe2*!>PjdOlGf>!F@xPX+`nqs+rDB}3z-Dql%SB(teDsNSck9yf}8y=7sz8Vpgn4g%&kxqzh0Y z`E=3!bkTy{`q=!XW4E7XlGke~`C%(Ev!eHX^!IZt*IdCf>L0bKK#{Bl7A$+nEsOHK z+^2&UCXxw0Pp{-$=dDCnty5CnGF=EMi|-gEiEkpiUX{#GrJ9>xW7YqbwTo(M30~Gc z^=&~C-$UAV>&f6IfY!N_R)-;rOb4^?Pf@K?+IMA36{B+N6EaBfgth=+XN5Eq5mX32 z@QZJ$urkdNE^^Zv%7%f9#|mZgGo$;-b1HZ6W-a!sVkcfK)Pze#q(Lv~P^1)6L#I?a zbAUz@HcTV~XHrZSLPOv5AfsgYGcsimYL+Jcqh!vnRRNHhmU{~_YvL1llT)>_`RRcA z8aB6juX4GsJGK4PynZCMx^zHvPn(RNNeL|7_0XqSS)8{La$Ji|h}A_!tMza?Q;wyF zH~*#A@EDb=6{DwdQ5G5%^R`c&>-4roz47$6M`iH|H1At;=UX!`XaGFZ2G+O#^Fp`V z;vqQcSsHt+o?lK(iqN+LG46D&P^{I)GKJ|uYoP{Tqz_*j)P98~Zg_5mPd@er^GmV`i{(cdKOV_wA z9cJ$WY;$l)F!c55W?o(6>VPK!swiAsJW#{ejQ<#NyD+x4p6&|OQ+b2}0#JOfB~=kw z$1*>xVBmQqH9UBV#tez{v{0>vd;8eF#Y8?jo_N27J%fWWHCP(qpe`1eHHceLF}hPU z&SJpi3C&EHfjWg-0oN*5Gsv#Rs;ZWOs)JVnUXrWf79eX?+YjYiBegb3Y%}}0Ldf^H zOlXVs&KaxF)H%LoxUZeb-dW?sVg=*sQQ|Ug>PHe%zD_|AYrN36!GlEcrZp5~_MO@{ z@tvoG2lb*&0U*qORpFhUO0q91<;|NEj^}=gq`ljoWli?vCr^W8iFNa9j>-Ifk96C| zfuUOpP0$`=>Ukt#dH5Z?V`>9n8X23;Y~FJq%EFqB?PKE>+Ko4k8QlPxe5{aS>>&hqh*y?5iv{51VrM0+7F}E#I*Mbs+j(5=yNqM9ug|9v4 zBvpx4a?9oO~*nv{p$9X?XENi8Fo~67`NZH2k_itN(wPd&}rJ zdZ*FT_Lv!BW@a8UGc&Ve95XXR%oIDu#2hon7&9|7Gc#lC+qE+C(}xLTnA;!%mXCK5^)*iAR{mBvaJwf;kG_ zK7#45=JP5aB)wZ_M(>k9Ker1WDzs=Nx+HeE{OxpU?&6{|SEoY{Ya!p?Jf6Vv&4Or> ztLEuP>Cqvn51t@U(Zl=g=U(_Lbo8y`LCB0~7+8O8iy+xhexX(?)O9|JKeyez|viu2So{c3odO-K9D%~Z4} z?)x>!o)XTh7P&}gtetkWU-mH2g*#IGyNfteH|RBx4Y@uY-k+Kua& z9{nC2#aF!7_s7tcQi;x6qA$Nm7E}e8rEpZ9Bqb%C-Wi|{_z8)5ACDqCJtRmbW>TF& z)RVk@_1iS!dn9rIJrw7k2ehEWKJ&I0<1W@Zy z?u+bOE@qQxiCcKo(<%f*CmoY(R`N-o)NMI&%JO9&q->3Uwo()g<^*_9i%K*Bp$@w` zX(dNcrS*&s+o9>!I?d%=`TVJl@^W&}LzB6d@L6f;Tt5P1L~x4B!^UBfkt%8HhNRmc zE#)vB!Kn35OuC>hv=c)Eo(|NZ&ksE5PKFyJH0es`%Qr8=a)^k*N(>awennBk!X6KQ z>z+K;;`drwYt$1>-t7!PJoc7g7=5$@KTw&&pbe?vD_`B z!-R%RqUh0l93+r9llsf1glsL3yU>UkMa$LW{DqaZT+WbO=vR|Mu&~OKPAfF%^WfQ0 zP0dkFx(TZZY8!D)n`Ml}Fb?M?isiFvSVueNyl;fidm&&4LGSUxm#ANSirL14!#Sw^$CPjy=nm2U{WT zR&xH(lHR|CJFOy%cB$}Z)xzd&(IOo`jX=Ey{0a`tWdfW1&_TTDr*1i^m9bJ&FG3wg z#_@IR-H(uuc%~-`7=uwO1zo0q*J9i7)5HFa)G`EAMy> zSM2t=TS|#yJVMeN_jnJ`c5t|{Id^=cgDsTe1gB>Mp{v1+L|^-Y%@y zcFY3N(0be?u8F2RjK*tdH@Z&D14U+L%MxGSfw2FI^gB>msD~5c--AhTa+BWi)uZv& zqxlAsIy?L$E>*GZ-&k(dk5TWtDT+gOu*Z3}UQM4Zww4B#FX!&x8{F z8|1r}=&oMyzX|yojP?<|zG7`iUGhA5LBl%8k%Uq%3kJEcn;g%b55R?u-dc1S)6e#`=V^eHyHy;I|BAVXI!hRR~Lj& zkMVwQgB?}xn@uiGXN}Ddt82c*_8q8A#&8BTVO9O)%+^S=HwO>5H!lxwV@OwoT*D_1 z?{~DURUNl958(ldL(NE}*gc~9odD4zNP&-08sl7J#WDBL;fMM7RXx}XrZCSmF@ZG~ zfwB7Ri^%y~HKY3b%$19nzV}FyCLju26ac~zl;aphJU5@!S{cYg1Q1(;7{Pl z;#pGm$-306Z`NhuDINhjoY}X0NEdI#wI|8cn2-o})}W(lX7d~-nOvr=T>C4*6=DBh zL;+CcaicuIZaD&khzMSrQvm}Vv!?2Pd!y);R?K>?zyFJ@aH<+`{$7l*iXT!;XwBD4 zm=YzP0L#w=XDgDU-s+bPZ2 zkCJ9<*&_+*q@4Ju0_(!UV;|+L6ZeAS2aepFX6!`7-#H0){vY0(X`T$%Ll1v^%rtp! z;D~$J)}LLd9YI!5Xo0XKe~<;A@x8A(ueYtYd#1X>azo%mQtr&a9qca47%}GnUl6xl z$SCt@y!4#T>Q=WXC@AxZf=b};qdt()E0X5HpGGW+o1BXe(k7DBb98)+oKSDr#TAWO zwZA2>B6-(W%L041KUSOyU)!UZQEu8k1fQ@?Fv9Bn)0uTWvTd?u#Y4Sb6mUcs)Zs!R z6=Pwfq20tqsk5QW$x$Z#9?{{$ePP!~A=-+WB&U_79&bIqD4D{9cHW8%ENMux1U2;q zquJ{iuQ=K!SHTi&d)~ywS5T}RSbB1Djdaekfa+CB<(in<2*xIJX+n12CTg8uzwlho zprT1C4c*w=x6*$LKrDMqzt$U+lNe%QQS1=C?Ao{Eh59eao(Sri_kHDWkWfM(vuvr(3OAn zhChiqq6{bsx0wGK!VMwHu{+i+gNKgK1tKr}Ao3;^peXz>9QI=ieRd|w+ieHUyCFeh z$Lp+KfTw3~L}b4KmTYGb*hf;Uu`jTtQ4W+9{1PM}R$KXwbD+^iaDxw!M0t!k!VjEIeDoUxI5*tV^ zfqX`f0?IkGDDPUy)ntgMsPFa%kl6GLO*ct#zKJSf5Dce@Ax}a2J+cNO$3z4rUKk|?+~zRTQ}l70 zsgw={Y9w}4lDt_6<-pzxqR7^FrT+O?yV-L;7>M4x8?$-auT;y5=!3K2xD?4ayb>+` z5e+x9KU>f}8k{AC12=Exj}8Kmjhn$6)ss8N-&F{>Ki( z0OzS?|M}bSl<5JNkgv$EPq}JQt+_na8)jOZd5DZz+L*RIf~%AO>n*tlt+@x~vfw(? zwFhw7g1qx9`0NTz$AM|F|NJ=lz)dI!Kn8H9;9i1vE;<3Xl>}tN3qFIpQxNhB?i*Ox zN0br3J&UsNFd1K^IJRh)|9Kw?K@LCIb|{UBUv)2Yl2Ud6bJhOE|ZXXcM}3AcA0wh)&&ZWd6ttpd1%h2E4yjXGue|<%ax-R|c zf@YglRZTa?hf;^%M$&#v{j3JC`Ey)pN|5RBplj) zJ-jfUpj;Cx1ZlGt50sqXiqiDY$>dw8&*TT#?c$?n{$CnOQs0)APCUw>8HZ|?=0t*o zL^P1ty9x0zx(!G%y8YPUOG}+d?W-WNg=j>Nra-|tXfE@%Ij)`<-~|W>rYmc>4D&d6 zzuSBnQs3)*L5-ExIBdJe9tPVSKh62`K%M#aYc;hy^l-yr_X4 z3ustwTBB>?yb$bz@28Z&+Jch|*PqS|;}K2yRv*KTgJgJ6gLQOLC@pZw}_|Dt`4Ms?Rrefr;uRo@JYwdGkf zD)JRI&B_WiZNunOS54)MxHHttP>Pqmq(X;ja{vu!Gazupup@|gShU;#)M?q?mp^X7 z(g4<~?iRFIHh75mc2?ol{nYOT@hx3OLXwWpmd_i6h zac%|5beZq>g@6-W{^4KD0@AXqQLYPsxdF#D7*_SdU{8#`Yu1vI!_*q(%ur$&3D zE*&hL-5-k&NzfQ2d?f>#m$RYo56D;&$JBpYIa8#NcEc41dXY=sjWDLm{HMyMv%v5?o1B&Li zrjVFfZdJ8aSO)nuQ9n{d1{3WTWX;6^nLNo75BQIYA9@qaNS$qw=e9y3#=ikfgoysRW z%lO}lPr-(pY58P`ND%6u7q{)H+yO7<*q7>FzHN)^Z&ztQHrIU>Eze?mz!Rv6GdT1K zJ2Z4+31E$nXoKP&hJG3ZIssot?3TapO^(%i0B2#g^$yMLnhkOHNm%{Wesn``#b!iINe!+qcBCKYb2!4|{Wa(!Mt=5k|%|%U(2iBxcJGA6VzUe-VWH zuO*O02Cq|=h#3tGUyNM5w3~J>8|)4$7*QEFeLHUg!SB*27t{0;PCcP~JY4Sk5A1Q^361R9X!F0unP zjo)8_@^2!7l%Rf>S3zT4)YN!EQ_UKG<=>=ou3dAlE;+g~X)|#m6<&=5kF)~fT7)39 zY;ydMgm%`|Y2+Z8O$P^1li=#3wM~;?bx!`uE!|~J(TbNUlZit8)i!fm46KDUGzT}7 z9#P16f`3cCmCzS%ZK};N)fDe552f8bEMK~;T^~X}Bc#q4QOi9y^(<)D%wAYw40BL~ z6d?BtJFu@H#I69;;2=4d;S^ydX_kjRoi&Ke4SZvAGn&b`h#8Dj$BtLYI#z>~jTjMB z29~Wd^)Ogj)2)OVEO>To*m=fFZ^k@Y%FZA|7CmBng_x zC7s%`IVy}j(6fktcMD+AS_*{!6TcNTBP`j54dav@N!OZN1*QpvfN(CrUS70N#g(d!ybDt z^B3OXB4k*@F6yw1OY&}>puh(aX?iiCxm24dQdqQ5-{t%bBL3`0B(`LsaTJeWlc%;6 zv+c!kjQ;$p98P0tV;QBbnjNRyJO#f9UcOrFE(%*!6_=Xd$WY>RX~+H(c=$42m51h5 z?X604)}UGMMBwr5WzKiLqpMWUQLZ_>>P_L`Uv07|EHrCmnBukRW0v?urUlD&!MSYP zo)W-AS2|axM%&xRj}4ASROU*jn9Xg{d*Oh}NSgOGys1f8MtzV)JNWXC(YM_QAoS`+ zou@(D#;dVIxpmBjn4QWO-)uZ%)0^-P{8ukma_hWT>?~S*dMl+PiXqzC-J>&4zd@7 z@fsp%IZ1}y5J{2eqgv$QL&P-tAEe-sH5*#&5kerb`ms6Sk!{y_QwZm^*EzzwLAuu? z2S53=S!0$?=_h+@z@cjYv|-Z-HSMHg4f6JEJYGmaRorZeGk}J*5AbxpY?m^~RF6(- zOEEE?l-o1PYiZ@A>(mbDc~rRSGcNl9yD&tuHubfDKFeLxHWTMfHOHsVR1KA%#aum8 zxNn;}p-0-PdZ*G=j5~ebKj82;@78GXc^*O^Fk~lvIhsGa!Oo~HggdHYUX|Y*L{%7c zZKUTj+=<&sDD<&xZ3)}tpYr|<^v8D^0j?*Pby3fKq$x%kaCkIZ__k1Mcrt1gD?#|H zKGBEVaRx=TR|@9@xMTGl&$Mh;qGh^ZbxRR{^E#zvWb;>FYJ%cQ#MGtO z&|EcxOdqYrrKdx!T0UyN^nLZ5xP+9f_k)-@|04!$lSe0B2=B^E-%?qsVNEApvwCg? z6p!7!x{Uf1=zx04)R=j>vV{1eHi4sF53Wx%nI=3NJG|zc2An0zKS$IQI%-=T~iRsKq(0H+W*lL#T0}S#nDd>GtB&0(MuFzsoquW zmuEyHPiz$qj2yYf2_h%oqRW=jLJYC$(`2@9gMwZ6nmwvGlXq|{Lu z^r%;tErgEh=NGu8AWLvNn@8;&WI@i`+NiL@LdN*EgHg^Q@b7~)Q*7|l|&L?#!y%w6AsuG8cO>@oyJt`na@-&m(~JG+cHgb2W+mDjC=P3NoulchsW-r6jr^J!}AVA$Z|t5JTn zK$nuX>*-JmrgTK?Vnt0!&3H!43gd^b?}jNe-xy6N_U3lY_ofHzeiW%iHbx+lhm4S3sCJIVV$m--cgS*Vj!r5`qw?v1Nhu zQXKS)+Tm)@uI&#ouh?n9?=o?lD%$+S{P3#VZc*g;A{CKt@rO0o=nJbY(tXr0$-rPl zJxFtNjk)8ID#MK&0%FtOy27WlGQ1oy*Ux#EFNlf-Si8jYFeS|v%)#37(=Hz(TOz`D z^!|OrN~hCF%wd@Ezx6R#rEzU1=8yy=*M5%AREccS%!2R~N;syYlqrf=jK@r%ZPQzz z;D)t!#C#nkeGL`lVQi=Bq3$B7pbu44;h{;=lQynrO0H)BX{0-s&}e6a^4|n8P|`Zr zR$Leu&&?7cAJI)CA^J2H)|Xgd-Q&NB;GRL*TYK~vN+X8PLd}kWx_=$@n0iB;Rb>y`Vxc0adiGYJX`r&<$RAKjL10eF zQUvuZScoe$(_raCb7xMw{u*gOdQr-hT_GSG&;#vcTRVVkxO&zYV9TRCi9fTa0&HRN zZ0bFN#pqBJgtAH+o_h;d27+;hGn$O$LYI!ZLzN->XnPo~r+k!kU6$eoepGPb5&&0I z==fsLdG5k11f;^h`}8gpQ*=)V`hMQpchRr@GNl-(NwVf7r7oI?i+=A7*_fyq^3@%R z@CUnT7$>Z~^I$N_BwlR~K7gCoJeaGZx}>wNa{|%vj4n^3ypaG%(;u#V7LLt@>J)NL zA=z^dE%4d34sw`6rT88??064rPC>!@Y90g{b@i_yB#ZX_n*zB02|G=am z_(;%T-9{+V2wjyj#1&hsPd$@m<;YDOh7A(}8&MgHt!=R3(<2efA2j1_by}sJPL20Z zG8J8jA1v~uA9_DtLw!BawZy*bo!Kuwgiq2~-(*i9JC##=M+$_nor?=#AWBfEu3cb< zZ-NGwqZ^U>*5`i#_5uD<_nzzMRPgVuxsr zr43e|Rp+(Mg*bm6P(5I9!?bpWO*0H|Pn`Z0sVT&a`oi)sXSr1BnPhjrbn|liCKp5^ z?L%-Nm}|@;LU7=mqiyg8yXk9O(>Z-Oe{j&i)A*E+Dq7?wNavj%&m6o<6U>GSfH&<` zBUa@8l+}YXw1j`UYtM~tXb#cC1)I=fb}NKOVA5P>Vn=duvdXQ%S#$9stgL}e*bmju zhL8RvY6RO!usqFF@vLroXN(mEYq{q)h=SstG%+YfJ8r;?B55y2&VA2_ya(4AvnXhb z{{_&L!H_7*B8^^WzTXy&GSRs=1?{qfM1dWTMn;%bg#_;n4DFVsG=VJ#5eW`Xp9?%Y zno87PY%A2BRYP}-brj3_De&ti+laSPG*7}(=4I&kvd03!@8Cd(3WetJ{=IdUsbs=N zL2my_Xa{Oy38K-&+(n8?{heEn2beDQ)31#KVjCzn-2|^y5>F zDq3lUK-mo^khl`{U_ZDaS9)i{((Mlt4VWaMG!Nw?2DyJ?l1tr-ba6NuT0 ziP>6-*(Ql+q5WCfvaII(&zRB^?fn}&@`;d(Vl>!X)@6hobyGmeEYV!K1?LOuSSry+ zL4izs+_N)jm3AmV*6)r=r)y4r+)_HY55=xoahLNaD3hY3pArOcPmuTgSg-0YWasor zF0(A$$+nO#+a+sO(OuPce=2akL$sS`RdUN;S}aGUn`~`u{kF@=Y4vqTNK={>c^yVK zwUuz`@y2I?Dyh;mmephYp~LRawDifeJ?ie`7HSE^a;Ekj!bxZ4L{S)fi_dxQRVF6V}~J0X&g^pqwlpLeesS25I$g) zgs&VD9wBZ`YEd^*{KZ4Dg+n*$Bz0!hQ@Zpqy6vUbD}*=r_Sp z;HPpJE~5P;7>r&1l$TA3mrJcC&2G0{i*&MQ>tp*AsE&HcPc~HHn*zmWl6wK zZm?MdrQV8VCKd@c6XXicsnnYITBxNQf9NN1I7bjZ;kOtGhTQdZrxh`N>yUYQwIm%k z5J1oHA|q#W!VovzEdJ76d4-PG0vPeSC9zx@feVZHFaj9(ns#?d|7p+57KFJK+eB|0 zm%IZul9~$Ed)C^@g~BFfg;6EP59m#ZW!&<_P3l#S6tmhArj)TITU(lQ@0wt3 zVxcn<)?+Xdrr2F2?tfCK7c7`&`GL*k%*J?Zlg}y+P+CQv!yiX{W&3exg1^g&*ZkS8 zZ73=+NEiYX3~P9Zrj9}2oGGF%1?oOXkT~(9kTVHs!$YNNGN(MxmjEqB3|G3iE0H3{ zVZqHj^mOySkF*q%;Jzjy1@wOr-W8`jwdsa=rTG*LiTk11J};`S4qSjh8Kep-kDWGE zynXr$4>qpMqoxIEbVvh7VWFiC6+zMCdKU^mW|>gGa4IFyX(?xy8DF_m&y1Z79X;H? ze!SENI*inYo;KPqi}kF1E6RF2rsL*>o5Vj&Dt1GdFG2c=udKV>5A#@_hFqyw8|j8= zapESm)hW_Grw(-`_T_#u{|Qwnb_ywW_%$_ZT|A~PYXGhw!pXp2Q1%jt4_mo0%>9Jp zr{cz47tPleZIsDE;-hq!EwZGEb{jJhEn!0JFB`OsbtY)*{1d=`X0GR>Ws2~IKd*r- z7ku~;Oc!{eUsB15QJUVvp!#D18_CV-VbqXQVQG>=nAuOyyVJbhKKF}J+>roYA&fZg z96@q~9jbk>csBwtP}`ka^sY9%RG3<$a3xC=Xh0DaYW@MWKn}&y@Jh8$CCAcYEuM^Qh{u@TmMBEKSa@}r zg>=7;c&St(|D4N_R*?3cD~wYax}3UDy3t~u4v!WJW=}HD^auQ>IINIR7mDejU#}*> z(NU|}n>W{K_#GdP@Et+?UT4xi3FJif_0kve2vW0W_J~{p!woL*^S>t)f6#WJlgzPO zb}UR&v@mLGhA>O2h3XGBMHhrDA4huHxRh(J*wIWAHQlDXQ4^a(411S5_@)-0?Z|{D zdc7|XNS2Inm16=^F$t_S>4{S{TPDWS$8tW4nRCT;Kq3*vj$5qLAYruEbZLGVxMRM$ z74PdwLI647GnY?rZI+;@ZpmN0B(uFI%>?;i+cv$zRma6r$B&p(y2sWzT4GW?uWrq) z6+4LKlkk9jL8@@*)o6hy?k&%;?LK|VXvo2LQVT|_#QRo zXxSBFSHd;m`_FB?--a|Lz$zz=0Dh3A$V`2dQq*TqWO66*y{eeFo4)xkrV)Z(d~M;r z`8Wd0AC+*kmv1b;VH1SYU=f6W8zDx=zh^i`?L|gRH@XR@(1lLkhZf@-JJ}P$EEJ{3 zG*8KHrBs0Yn|y72fyi6+moNgtu31Xa?Vx@rNG5}Ak7dfI!RfOPFjvR&APzYsRE=7d z%@G=LFkZB$1%nQc2MUFDv9k%C+P$f!vj;!;UdQ zJ2(-+cJ)tX%}?8~5?S#|XP2PqLuk@VEMM!5Bk<7riBH!G1tp~;%7nz1^zCn+ZhI=4 zaWb8#!cSFPUT_?VQ+9y5VawzK)TY4pT37unXq;E$!mWbm^KSP5dKdh0k zgY))Zf?Tqrt@aJ2dgq4jp z#cWq-=0#hU2o_o<(8@-z)ig$2{(&@@kTCtSJ#wyfs#C2f8wm7fi^PUOd-W)aXds0S z(C+TfmtSvA%pCWE^QmS1@t2FeJ^-E5wBAw}Os}9p!v172e`a**4X*gg-jlU!jL@+! z3~z6=qZoX#t-JL{6J+JU1GBXX%~d< z7GHU{4rzAmJqt(6@G*s%zKvxaZUBVp3>-wf0#1riaEuL5^os{%X_8JXs<<&EB3f{D z>53$!YkT{&4k_?<#9gOeH=w}BT0cKud@G4#cB$$Um52Z8K1QC| zIh1CcQLy;$kjc35fTn&75j*ghVS+q&qI^3Cq3~>1{chPh%XR^QCwEyuD(<*-ymj>P*C>L36Hm z^kv@>eE<3Wj)>pwNaJ{JENtKV3o_AcmwrJtYUqcoW><-JG^m9PFN~16dT>M;W+PMR z(1r~!&?|~oD~o-t&`>4*Wh-^&!Es!F?6FM9F_1ejCN3qQ@kdXP6>mLh8TG4v@p}6 zHo8Ikmj-NQ`UY+Z{KM{*PxGIZQX%WCs;{`YD)n5(37{w!$3Nmn84c?O;SYXv zM)&By3|hg|)#-_W?6t=^xuKimQ4Vh7P|U$W-3R(t;l9?5ka2M1OAgY6fqHo@-{WY$ z!^G!e6DCs=7|nnE+;ZBo*k+u~&98Fc9eKBsxtKE$Yp>*nT1}rkmG-r~o>zL*Lj3xArS*!;>4YV3Z2uEW@v%w6Lfrf80!PzQGRy~J9G%| z(B<-rxy8b#9z!?MMMAXBxvv_pG74O057doKseJbg#r><)XJ}r< z8NV}l4Lc*`@6wYXP_gKdCxnLBQ4{2x>Cq!pU*%UbeL8|6G1c~xz3T`I->AMhyW|sn zZ?`b;)J{E*agcpI)q(&g|9M%N$f1uL)xp;0n7Fu&*SoBmJ+LN~7?mDAs)_nj*7U5Z z@ZF(1YwU=%LEP@h9wVB)0>A1BWCye%|6IO-S%y)B)vbr9sp_yRZNqPD80RG@#9UM- zW+;lVOXE{-U3%E!9qZ^~>T6>nf86*orPj4#5|`i^IVO||&4J&-Lu{+R6NVbnSx8RM zXBJ@>2%;k0J||fs9~Wb=XUQ~`lfg*?B-cJAG?&Lh<5Kc^JuROG$6{;~iwIhvO#F(a zI5?Jfb0!uAwtk1wAjBNDJcr=aPYKQ=80+h~;X(+XZjP5pr&Fusd!TE9px7AsS-*0* zi)7=@y%zRNL)XVe`_V=of`b<*s23=hFC3ABGVn47ytI69RyRrmDL}6#!Nyn$wwA-M zd+rzpSim8w{+&!!C>Eg8PbCjAl%9V%#8Bce8q?vNXUbRbRK&%Yan7uM43q484S||4 z$lBqEbf|wppKvDG#EH6`-*Y}&c-`O_DPv8|FuQRKJ3|Wmlf=u*iWQ!>< zn`HqFX{>Fb*If^rJ>mMva1Qd25D+-;30oTNR+xfMAap$Zw?|1`JGlD~jFHGPpywh) z0fO}!a*6A~UUq(2-c!ZW0$>Ab67|RWY6-=*J&7V4!G0oLWNX~tTuC>&sVXgTf>M-h zo8yAPIZriic+#7$W;+Ywjg`q$i}Uv@rdj!bw*5g_e9}xqXw9+O*>+>4>K>Nt#+h72 zcFnVcBEOA8f7C>OXM9A$u94%$nz^IUDn7r@Irtq)h(KDvD>)0dutIgF$nKax%RQQ~ zHM9{x9LEx)pwn7DNR7LR8Bce;fRtus%L##TzKqQU{EjwG{2Yj^P`?gUKJ+_eX5P}& zuk5x?#RAk6c#chfMfE!?4U|Jd7g#vlVq|;&V!3{>%#N%Xf*P&nV}Kfdw+M(jgz<_x zEb{-44){hLqM4vikWP?gE$u@3ntDn)Zz@CXM3E9r35cTg#6G$3y#Gd$E3n;gHj37< zK_n$&OW6+2oNyi$>-abg9&t?ZT;Daz!fuP26UKY^t1&FEPW#pSkC(J2jxp|#ju4*w z!7h7|>rDm+UJUbZSK7>9VO~!6NvmSERf{^d7o3&-e}gVhuHVjT+J2XM$mj#hBfK?> zX3o=yx4^ELMpy{&gH|r=>jG!fw|*TjX`s+7%z4vjxslNb;Ul(tRK>*+<#rKBW$MQl zC{{_&NdKdM3^pYpq6DsD(!|`?4%cU3|7uKaHBLFb-@e&fpQ$&^V$-cHSx-{K&>!h{ zSq&gjE)je43G*NK_z&!G@@Z3?$o;2tC!0a<)xj;y+^f_uPI`3|?^h4XBFmH1rA z@<9~}t-VOOh3C(sTUUeVIw`fBXY~2|W4?(^PGL8r7k#dObMjw>)+8X00YV>J1c_Ug zGN)^zh4Z}`Gg8XwpY;{KLEWVVKraf_bhjR1>przZ+3{(aZd%85Z!~G~gdp9V-Srmb zJhuBLKX!HXG3My}Yy{M7{~bp$=?O-v`MW#f)5ytTMD$$I*|=jVRN$s`v@ClR6f{-p zpJdP1N>7nLsf0mq+~r#mE?;)Wt!#@BaQzkbhbJt{1;L&2BAv!27NG?}j^oI^w?c9I zU*PCBuV2!cw>it;8Y4o>(Kq)(MV}$3ZznhjXwA;;U0?RznTf8PcD#d-hTaT#H@A9k zK5!DA{!ddmC^qlh zY3CWdGx%u#B#F(={_S7(_LzxC!3Ew(Lqi6~L;5ckE81(lV%eZpHzuRCo?`)GA^K1sK zu$-rI&CQ|skT?zBIZNd9q4>B;wv$bHOXLuhGY_XoG{X3}^46272or_f+RtnQgnWzI zP@w*ZQyim-mBSD_*;^qjh>c>Fhkc{ggripg;T%uvch_*mDJPi=Pu_89Uy0mC%fo9x zMkXC-@SP#uxU!)29whZm0czv6e(etSU?{JhMU7pOSFWa2@ynQY>uzhfWa00>&= zc(E6`-V`trDNaS({j+*X8CV|05+`ht&lY0I$ES#qB??F<`^L9jCa_p8cJBQ9tV|r~4y=gO7YHrqo)L-?$J6F8?Bi5RJp2V}{O( z971YepqG)oK!VoMijq>U28jQ+;wl3W=@$7Rr zVc?&tuEnj7o=onYHq$-83*}}qjMujw6r0OUF3|xWKUrcjk8sVFkgDWw@$fvg1B3$Q z^o3$_FfeFCZQ{GQLv3oI*(ei6Y&WP`qO-?j5r648`+|VWozu%UpW+Dk%{~Q-84SO; zh#_jC2lu43uk^x&(UMXe(wHBKWMvxIRX7|`Eq^=OS23Vd`tZ-npR9RO5C=Ndhky)S z+0jN)ly#6k#M@+VtHKDgR#o#SH@~^dm1)>^@K|cW*3`^Z+&px484PMU>QbPj0ritN z)^&X>73;neUckzh5|Lv#qDHJm<@?mO@w*)@7%8;>ON5QSWiYv4=XapR3-5cQKtFu9 zvmw{-aj!f6eO?X4v|YGYguah@LyqFIptqn&dEwt|t;m335%ZtbZ`X65G~2G4`V!&k zL!bmgclWNxpHl1HlRU}1wC`{@P*_`nbQl@WgODhX`i8)DoAo*)cQx)fmilqA75Xw* z*~<1F#Y({;#Q`72DRzzjsXLGbzMd_ZJCGFK^YCd1iL5~xFIH5j zk}8bpP1P+6Fw0gu@?W!6g5Ja>XjdtK1maaG?lb7N+1s%ZVrToNz&|+@mh-&y`0b6> zN(Tjk>8R7EfKsWw$S@Y$96m6#<+I3jTrfz#>Rdf5n1nD#4=zw!437+(;atJIlobhj!IO@6pE)(F&e;Yw5 zRV5XswUI`IddQC!zZYq!I zTZsjZFNoddUkD4>)~Xjdq#aQrtr7BPPMtYM>w$g!q|Iv z?vYsO8sB~dj^Z|l2_7{wPuXIL<^WmNeKCL3;0?A2oNKq-cyF5TcgGJ!KHucuczf2f z|7dpl%!}72KssuN2C)jGdv9ob2BB+A3Av1C>O($c_9Bt+^En<-oEU-%r_V@%aOdv`L1@u>(lD9NA)GB$@ zDoFMQ*#7BCi3)6qSTqR*GlO_MgI$iMpCOaJe6P7pP<}~QD03emv|A<4i;+jNE%BK^ zH?-S$R;L+H_AQybi#w8i5h;Vm>~y7rS&pzOYZxft)CGCg5)61E_lYbER~)QrWTy=2 z`>(T${(pdbm*4R(1n<}!tg(os?~1)~W2pwb=K7@r<7cLKH@es_`BxY(eP_T-RhPhm z-i-tx2(+Egcd*f+>_y!^%aP zKX7i8Y%y2~!h$Qc?!6CX$`v+`-p5HBntqY<&e2@Y(?qkf^d*O+k@5^d72daO&PHDj zKsGmC9|4pErk7+S>JM(1u_HXE2}3%bABt%ntNhCp-s#%+k@j~7lnSH3g^ znQuWteeH9D7G;=4k%+Jw1N_Q%>@CwnyZp8j8)l0cxmqoEUpE5Zw2?nf-g#zE^jSYe zltm-Mt?J88q?=O2j!cY46==J&A|%rSRQz|Q(E`w-8~4~sNDyTu%$A6aA_+-)OxS|2 z9&C|;T=TW!@srYJNVsj@6)4XfD~bDokB02(`A$)ERlX(rXWy|eCcHs(yT;5SFYDjx zsf<3VicB0SlCi)b+_A%s!6xFrED^bDO-FBdjDEc_mNXlRYYIW;ljdMblswu_!@`Qq&5tVsI+pVpzpQnsmVv{r8; zRSMQ1-t*TPEQ|*~_o<|}ZpkjfGQU+Nf^ z!du>ktz&c4n!~<70pCZ^)7B-OrNRhrvMfB z=p)_nZ->Al0#wDi$f)w2?oWPrWniN$qK~H4nYUX*`dU!kGK|~Il>K`oIY4MxFdV;& zr*@v6$~zdAADAuYF|(L+QYz&SPz{!D+`Ty3gx_J_6~=Qe|BWF6a^Q#9?nrBvd^4RU z$Qk!0o1*t;Q>A1o6UGC6Ihcn7U{53;hCyN-TXjSHgI!G%&ZjNzL$MLy_@DCGBrL@4 zE_{&c>~v{;Y#^qPtX+>HnE%*zeCs9;S$Lk~wufFRC)z7VC(=3?)>>I9k6K_dN_D`l zEFZnQMQq}&aMV(^gYio|qM%f>^_Q&AFYt|Ouh_VDpk8#plGcro+ZsJvSos*1`lgz|M47qmVQeOQ368aa zy8(E9`+kvvQ=V{lHIz=o#x?p4P%NG4+C5LFfx1gzIl5z7sK|G3`;A^EH=e_?S>19K zx*N_T7n4`yu6c0mbZ zK-K8wAIL}VP#3IVDN?+*_T^Dnn@GP73@bm}Uu10nqO6`E3RYgiK%S{&{N)HiVys=c z)g~q^B2C%X9&n23dk=fx?j&obLp2KZoo#`BuIL>$-;O|O6mzrY|DSSL57O<$4hLhE zO;yAGzI-1)DQSw04PafB#`Q@;#{RzC_Yi6*c9b+Mr)y#}@SiaNSd<21>|qps7i%Li z*n!C`B@X13?s)QubURqpiXx!_JZQSpolsk1&B8Dbw{%1oGoju86NSYaNr!T~k6t8} zLsS3+-}Zci{r?S%mHYq3Vr2oWbQX--J`P;>m0pLdRhP22Xc+k(_T&&K`=rhmmgaP< z6bH_+gM>O9Ytw!lU@OQd4W*BzuDVDN^DpP$UpL8UAw40^-KB*f>6R}A3aEazyHUVx z-*=uC`@g}layD~%bjGlMO2COW^miI7(OS9&j>#<9Svh#PuvN<+RN}r=Nq^~Yi$;F^6X0|Ce$Sn|)hU*r zgr7FJb15{jC3^)xi|=l>JkO?oH4gUFwa@{&a82g5Nr7@ED5bAx8M7aQ;OMUT`jg^v z7y5on-z_0V**X{&?j%FW5r}@N46dsyv$@~bu69(3N3AtvB7I1qB*K^S2D6L)Ff=m= zH>jtfa|XX~L>Z}%dH?2Kk>WFHS(D;OSAt;dvjSmL zn_X3^;S4^uj7XKUTlRYu09o8d_jE?EXaJXFf(5ogbgS;s>Cmw1_jKM&j^v11cuOWYQXD;SACnP2!v@v%l4C;q7uDV=|O) zdvM+mQfa_X#JHbW%iD2~|2vpfsplc|5dYwy?Q9?enXO*6kjG9=)1XgVS?c1AWPwYL zXhpk>kP?d`isQpkM2jbxsEy1(M5q`vkQZ!f%ejOk6cuJxhYZwt%?Z}=uZ}Ojr$KP7N5)7R)rAU!Va=wgYhdUk@cYVdczH_)|;^x7Q`twE4PHvwyLvfgp zBQuG|g5$(TM(ZxP&`j5mt)0I<9YBUrp=I%@=!RZ{@AQ+#|4#5dIl=HCh!9Gi24VhU{LN zb5Oa`XqbGQX&(<(t=jCtl)Pd^qH)r53h)vp`rRDxOu<%VcW>q3Y0GS=a$5mksow;& zGV+4i$VLrEVvJzW$xPu5_7Wm$0ywbd+_Vc|b5^?}_!a*+WBpGJ=%s#1n16On5i_ zl@Ed1#M{ZFfookK;!OyMYG!x##!i_sq~sM`sYM_&lu}vh(aZ9=5U*d5$Y&AN#cEy0 znpQM|ZN(g)WvV!C4=#2wMB6a@9zRL0OK?o~Jzhd1qgkH-em5D)o!_K9a_XYV8@cb{a5-P%zaR+d#B_prQl3}&Yo(8x0W_MaMA(>(82a8g^p z577UoMwZWCjjZy28d;wy{<}t&6KN=(L5UL>M+_>FPNp>zECO(Z7EZoMcALo|VL4+d zzhH4i9`uhW$Z)Mp?-p2zZrGt-*wl7Q!~W?BU*E--+j^(~$T(biRxyHfQRZwuvq#YM znbtFI=2;`vs-IwtwRPzu!HkKoDC zj7aYLKHp-l4$R6``Q+34ql7I`uClg6yDy0)R4$n^Taks<< zz$yX%(r6n5U-1^-#JfPPt;tky!Y*?-)ls5CPaf}`c_c*S3e>G_Sv|BhJ zoo4X_?lsJ=ZJ)e0T93Z;|4Sh&h8KJ?njd_FR){Gb-S;Hv{-=_acMV~ocLQ?%B}F-) zl*K)uOn0MekP!iF$!rio&2%-x6)Hy$!EhDww$?VpJRK@R`;8e!qG`qXC-S-ZTbFdC zn>osMGbkl*MlI$9D%ZWHfX)j8zSbG=4`?zGi5f?p`@JX*wMI`z68gC|ygT{bTAZv* zmcPI2El)&hJ1fb%kdU#PERIa9X#5U*%Vc!STN;;byh=hHE%8TwR3v;Yi!~`r2rAyj zc}~p%4nd7rR{paa)GTx~h4*nR)0ty`b2E@(|K^rACqTZ85u$lcGN%a!ULzOnk>~_j zMYa~xp!Mla0*|tX-{HrJqYTu-P8W6dvAVk5{$)=K-Sq)a{%Xj94E zEH2~HF9tJ-t+?vPTyJ!QVtKCIgYpaZ`U?uwzP}{g1b!%5I+x`qW;zWh7zN?0^e)L? z##f;Hg1{xGR3hAqI&=;uD=I}lzxY-VelQL@IpLgt19Dk6!3K7kg9pD^Vb!XyxI>L$HkJvK?QB6 zvvvC$84ypOOSuI^Fxu`n!rV?IPjE%WXOc8}Q-@iJ%EBqD)2jcVanJIsDd*`e5S`6* z72z?OWg?jKu)!4eQV*IC&UsW=BJ1u7@H0@XJI@p1`r}AUwP9@?%GD%NDQxvmhC=!IsHn@(@X~ksd7)1}<0Dt-qyfJZ)FYCf52m5ZVB=(bV{d5{aeuF4@ zRH8I#F+Np4iJlQFJ`CPOM{=}A!dPdc%ElcjdTh-D?;)j5^?`~M{_&X$| zMN$qy$nL5{FKJK<2ogTAT#&1`o=9CK(GzlhO^nC_t}lF0dp7rAseI_n;zi;ZMP5Y^ z$V>Rv*+(5oDG7Rrxf^2JNvia#ZYkUAP*HN;P`=rbfgF&Y8d4R7?-@pknKVhUzSfp* zH>dqqbGvo$6wCS;GR)ccZ*93kb8ha46~&JgHd5WcTbaKdoZhCRKSuVN2Ax6DbK)E2 z!`*C?YqzBn#o^mo{8ZNahEaxi*(h}w@?N(E{^j|GA#@}a7uHBP){}9{a-IBUU+zr8`48x zz)m2MzCC_}52h2$VYb)lPlI)A1NC)$9S_uq_85lwwBS%tiLvDEZxMtVi(ZcNNOyr2 ztfNIYtz9@`qK=%6K#y23~juN#jB7(WKNC7oMj4TWXcZ;GU>orT(cDKkHOuXkE$ZC54)G4}YENQMoD zKDum`jcZp95l;84Zs6{zX-iuXbX~;b`sT5qW!|Nw?83@tI|^o#lT*Xmu6``t7~!dt z2wpY1O{E$VPBl6>l4_LK|+bg?YL zx1deTi~Z=y+@9DqN-kh!u>6pB2&k6ZTO4DCX(5HASS=g(#Lfe zFf-!ym^tMe7>->BbWIb_klc-pkpEEmHgH29bV6QqA z>9obvyS!Q3+i)SnaU@<{uBcH7j2)UK2(V4)^5)cLb%1J8DWcb!RibkDg$@?kBtgOK ztQ-gRan#t|K-}W5+(YC{Gap2lD$eHc%PRSC<9d4~e^n+Xrw+;tALsc%dDh$Ct2peV z<%BA!8ss9`{s*jBbREJog@_ssJ=pNnN|kw7m*gDB)(CMn*3^0Sgl%t722D^7VNz-M z6$nH@D^!IwpTf((vybCP@mN}?E^QU!9q>}=f&?l#V7C_(RS)%Lzc&x1DdDjk==QUZ zena(=Os{~2MOJBY%gHXltLjtmu6vO$ZJnc5&)1v251W3jNYncQ(@z5RD3c8IhuwGDPJGB6uV@>IaQmc_Sn8q1>{o0L`=3*o zXz+5Tw2XVWC6eUic}X+~BsOM|?s<*q00Yy96}#qOEpeoz`BW746arSO zm3Jh| zU~jLEX_XT-)6jx_XjG3>vr3jA4kT9a(#=RKtsq93lfErt>crfimK~7#5mAhaowreH zJsAD7)mC71%wSZM>k*Z?(AT7~hQPb$ zmy3;F-s6TPWX#((@B>rS0Qm1GL$vY`1UsO5~ zJ{JtLSKJY$s~z2ZW=&pkPFGQzUs(p!sUFlnT31Dqe;@{H4pm#8U3t{~>OF;ouAaB< z#FVhQ`K0E+5s5w)wD~G7)DZ9mtxz>Yy-}Ja%9#vymvB zeA*B%bn~VXyHDoSYOf@-CHnHz#a<}XJDTR?wp;lm_sC_~tE2-;)`6u^DGB{hF)Q-b zjCx^Y*I!8o)_&l9R39nYZ3LhB(A2vFY-ec|R<HaQ-}2p^M)wFY`Rs)4-~(CBu1=L&j8mwF&S-v0oz--gZH)vK5pN1c zA*gEsFW5VY9pXnrHkSn%vy#TPJXe8XmfV+nE3fYpn{4&HOVfhvM(;JCXMr6_&@)+j zJ9Lx5o*8iR%IHdDN>VdAou>`iqJl=L24F?i4S2Nio$npHhNB7X?`Pg81PnmJ@aKZ^ zY=P&>xhtB!#B~uG_#o3fV!X#KlW81@Vp#X=%au#J3V^>eT899QE6P=B)mRyH^Nxc2?W0t=xK^{V zRm&o|)|)XW**YYy=0B+hcn(Kcym2d&ZnAZ2DkX2J21kxZDTBDx&nd>GOK$q&or{WG zyWU-&rWizB+QP*nbbZc%yo3%%&APiDfwFaHjz`U}40bDAN{y_imPU8P^jqQtczxtIHiD~W{ zml*%AKGylv@E`#{v7*xj?uIz6%kLA>yEA%IzVYeFMAW(`?GBGrr&GBTml@;_o129u zPr4GufzeS%j>;^r478=a;)6GzvRdT0Fz43`O*(Ydpii@SP>j>$_UxDj#zkD=^28Lr8}LWyrBf3r3#RSukIcxd6A+jAfy0ck z<(Wo%Qbyzat~oG`_I1!YWz&8se|qHp^u<*1h`?9oU|E$HxLm7U`5JJvJ}jnQC^0z4 zD)n(o9#W9IU(8P?#x*`^|Ncd0$bY(sS{k<9Q(`wPAs&CfpFy0KvHS9yz-0#80ns10eefwQPAU|L~jOx8Uz8|{$N)qNqlmDGW1fDtn)~C)p;)zM8w!0v)4!W zwKwX`tt~Kir3@W+8IgP(bs7{mDJuj{j#SEi4&D4JSW@c{7WeoJxg0Sw0g+XfBW6@8HVvu|7NW8u4fLPYlj$sE zm^sbvzUBAuX&$uNiQcCMYYo5U9MSqO!oMxbGLLSC`W4bGDO5Z(?sAskbuih`RTL+=;&l^jW%>X3(WC zD*doxVcp@zS`EC>0&F@TJ8M7w7Kkf=rxl=MIY9lpU5}A?!_yMKR_LU?QXwb=v?T9X)h+DWcChKe}PU&H<1a27p}& z5VOuam{?$c-PX{6+wBc{#(S8v*i`U>(OxRz{4D3`Ug6bu!x8f6qPuvHfH$CSZlj5d zaQ4!-`!11J+-*Z0;cwANm_W#{3w}IaVrLQHEInVjKg@WXDRJt+DPpw5b^UJ3^Wm|Z zXgSm>dSu+y5yr!*$|mFG zbh|zgjz_bR^I1w=IeUNpB9@5ylZ=%h(H4_{RB`y^_Q36hq{mL+Zi0TiFdGi+o9irMf5hB8(Wbyo@d=eBw*RKd$Vy3UpP|Xn zPE5=&rpfq~k(lv|CPUI%W}jtZw4ruEYsseCmSeH`_oL6}FJ zZHLaJp7N}9dE(C&s29DilzU~~i?CKB{iFr|MUxc@M#}0}@`EAP>dVMQ3c)#8!ZsaG zKq!T4JpAV`b-UA80!f|ZL$E26E8h1f&#PSq33`^^YDeZINUZWUrex%jNBQu+^0*wv z(_sb}yzd9mC z^2$zDul=6*<$uvyJW13pGiBy1*Ufeei#PKyWDXv!admP8?!QQkWN*T?|Imau8p^yV zheyqfH#Z|Y^ojpd3$HD~8B$C0>q}<7?aEPR;#mcJN2z!wS4gdO!hQ@825+qZ_35Vq z3JdAHE@ud?dSxlTNNad@LuNj{{VTiDlzI*z^y!P#$I`vgu*C$&Y4i zeD-(Wz6R4Ru6o&wem5yjoYt6%F8D5wQ`t04y{c9ugBa5!uiEk^GY3~7jZuKn?2Y;U zGfC9%gGi(zDcq$r)F;8OBm-3FZm*t(M6DkQ#x^6a?i*hGX`9c&D3cj<5SZS@H{A*y zd1ttC4zo%e_IkJEs5Vxk@9z?mes>qERdOP@k69;pqMhRn_)1h94f;x4o>H%HMct)b zwrFF`G=Ghc7?KzfGbOX~)pa|Pn7(T{oQ=spv!5x!2c>)K&lSpYg`mawKk}vaiaoBT z_3A%%7AZ;{z3<)s6!6xfS@`DSTdebq`n7qLActc(oS}l!J#}6;@o-$^=AOh{d>{Q) z0M45zj2|_N|2%B%PZ??~P-^y}tW+54*R(YprmQ}063o8v9ueb|_}_!-zL z(oHAkuZh`L>vr~^hM~LY<)?lpn|^0i2dDbQz4g;=s0m5pyB_+1RIhO=!_-#M=s#A=q0A zi1o=KpQj<{DQM7}z+|oEpcwjGJU~!9?@~}__p+{e*&4_<~k8h$f4rV*S(S$cG~255rNs0YrzC zeizrB@`KDUG9rb8p$z$tM}M0?E8QfWy{g>F#K5N&h}^vPuBv;=5HCm4$p3hxi{7t# z1`SpT2zaVjI+^jxyYG3pH&!LhstY!V6bw;-%x4eWueYdqa5e*YDcNlFe;2c^{rGz3J&v#JQQLXxx%j z{SCIF{0qmZ++2#Zrbf&bMQOAx6eL+(c@ciNVzQMLrSlLDP8?DHM_5$G91H#jfbHol z&LmlEg`%{dl_yr>Ocnz$zu%d1h5_=@igAtwxHFoG$E#np9+whVZFP210vUZ>&0K79s%?7?a~-r+?R+>C>vPhi&1>$ASF7IL?9*|Rn>ll@&P(|=+W7o!-o1bWDw8WB%Y5(F) zO{p>c`v{;7Kz!YNNzJ*?^%!(4_`?_|JM+u&7gn#i=1Z#n<=?>n@L>Cd$CDOFMnNjP zmzZJus}VRo8Qv_BYjjgK9CLhCuamC!kvCbe{ZM6)q;;Da_9yp$GXs(715z0SaG3)m znFEno15()oa5-kH(2A6xD(MTS4_!IM9Tj>eG8TDX8y4v3E}FdMlC@skGLCnP#=K2u z^-3xuaJGNa)t%@HDKH6;_Gg>4S!yk)~fz zFsbnPAlsazRhctJ#G@lq2LWqIjH>mH z+6s3Hr^5g4B=>{=qqt4yr1OA~jokxL7GBq9{h!{@w7LF$B_Jjlvj>7AM zN#$?AT~wlZtU+=7TN+j(Y4eTYgyx|$OWc9Q{HPtRDr+w}xcIXm7Z(LH@8g^F*PP!BBbwee{ml&n!aYtW3xK z)-ll?PCE19bA^oA*si1s(L6J}LsvFmiPAC>haF0HFLP+j(RbUMc&cJ*sgr8U(`%`7 zYs-sjsVi#BYip^SV(0Y|K#fK!O{A}Bjr6I017!=pnWqCY%0>d5`40mV{AVBc0<{V0 zISVmbLV;l{O7US3W+w1*!|wZGuNPB|l$~K^3A&#Ao(z2{D@LM7^MIWX16de)ob(bkR*3_6^Y^pTWR@R-X3^0ebm#=zC=yGH%(KC~y`99K? zn36|}gU6GCgQqG(&&n$D_YUm@>AFD&6^ihLlHyDe1W0*l;~tud2i@w0OpTW_%)k;y zkoBP9QI?a=rREc7w#F;dk}@^Jk~VkEG1ZdwKj{4re*FhC0GLMQo1+z0^lpBdBF^`e zms4ei9*!y|z5S!UyE>^qq5|7QMdg7x8B-96;y=Ox&SBO=-u?kF8*{csKE}~`yO5T*JGGUvq@1bqm}+QXwWyjgcb-Cx~GU>*doJK z=N*SAdknHmne^{1J-K?Iw@axV-i5x=psx>`$S+R-mqs)~~ckW=VegJF|L+143Rky0u`CYRSpf04S0}KW%uJ{&S|H zsoGHozu>Ae5d2UaDRmv3Nc%TRwbD(WD5opI`cLOyf4AoBQSBOS&E>IwcV!^2pc;@| z?R5@54~Q%~o|3#iansNJXyXTESh}FJLw4`p!KjGLA*jPNXH#CnS61~e_NaCTNIrFd z4R{6f)T(FQz5UFTiyx~^{x$9E-=?9eer@`g*Zu!&jsy=2W4Sx!G@=yD_Zvu(QVMqx zNRk$!B?k|cS$x#Km2L_C#R*q{Rw6EHrpV-`{J%RF8w}D$qui?(%o|Mz$Ni)mN5;+6 zF%i4uG{hU)(#SnS0EaWq2oUBeEY13E-bfqO&XlCCJn@`pwg@Cmw&xM7yznz#MNwzfQ8N|}|w-gs4Zlppvy8F-{M2mn(0Li?>GBg+kBG{_u+`Y$2 z4(h{0azqtNi$$-u^lutmzJ)_5jCPplsioA?6;ienbjkEoDJFW5(u^y^_CG9TEXsI=>s0ba0g3KS_%bY?6? zGphgXWi*=a?y9EvRI5B@36%ZYw`la=Q){Wq9=qe{?vXKWzu29}OS~7(VEwNxh?wMw zfBO^M8RDU;+U(pZ|A)uyNtGHA{}EEP%zjjvnS+MRzC@Xsg|v*tml87zOBoA`GBctQ znv7v)Dr=k=xy9x}0rtPUC?3-zX8Lq1LS#v(s~V}k`Erz&+QxTg?R#o5=6JG%S|yEk z!2SY5S)-gQ?KofAmDZLT1BU)TV-8ko#(-gd@egj5nK4uh<`)0mONdr!tW|F?r2$B= z+?QN!>yDaiebgoZH0dG*0Q?4?;qe3|p_Hc_#`9q!7(Vic?8chMgJCw3TKbL(ntWq6 z8crQ62_EPm`o$aI-M_g)lvdxvdL7by1Jb-UtY$8ZosVZAQpxcboQYaRrUTSEw#$%B zh=U%y+IMuf9hv&9nEcKHsEJ>29r%BOSzE2g77eXGeqy&KP1er?5C9C_C)60=-S$jC zXs=PY++gV)llt0b2P}#+YHkTgwhGLt=&V~r)T$Vb(cK@eCQRfl`Fcvf z=jhG-Le-l4r3lzKz{1oVo%TCosT@_eMIBl)s7q-B%NZ+NS3ggHZQyl+N~$SBu#I>; zrJ(dx|Kmk%6VjX(*jvabunUVYi3d1Ivs7w{4&BXB`kvu0#9{smxmW=Rv|9rT)CXw$ z0VWaqyMltHe|_oT7)04WJCQxN@Ue!Ut#@p`)~%lZM*wQ_=n>G7z{^0A2rx4qQ-$_B z=`5TRQM*3>TjK-m0$vyDq^|!h%8h|JKV_z=6nI^*(wmc6$vrkBI;P3M5|Ods0QSVb z7Vm0N$({p*2h8jyfWgZ>t3T^}R+3y7~ zy#Z=dEg_DQrNO}isI=7dyY5DSyXJw;A4j3;E$xIn8))VU{XfY55Ap-B6LxI3_`(9WBp=p^`NjcfUl=J#~?lL`BHWh<91#XoI?dk8um!9*fRkn z{_>H(ZKNogAGo3-1^cKA#`!@3_VVMvCsD!y+vy*5-O&VkyEE3)p_?SJp2s8xsyt44 zp+m#&c&Z zQHPz}(c%OMP!~n`@0=#IVK0}zd;a<#hU$)__`K^QeWYqAw6je;i2}09ni{OLSB}Yd z;Kslc%=ZP6uGBjR;KL+=*gj?7u2L5y2H4B=KJdW5SPCP5)HGvr&kLkKWDPImwK}C` zUZyr2y{XP17}(w@1kbTlLDPVBF8%}^kI9`ITw#Z?(M?b{ zECK%(2$D#kZ>M*2YL~?)lB$bfWnLsZR~xO&frhg&l)I#)Z6Ol6C(3g{ft8}1nNtYY z$tNg=04CeOLNy9pGSM&e?05?EeN}|OJ;@ES608aa>cN5J#N=jVi{%@kBoepc1>A7Z zoUUSk7=gAnrJMnVXYMiliz^XkIRrmyU(no0=x|*qmC@Tvm>9{9B!ABZ$rqXVB>`W} z{^bTx&<~v4W|E*+TBr*<81T~~o#*TLTt*N zU{_x4l75i*)Gc_+%}dgtK|p|>B5~Q&JfKdIfL%-q8=Kn4y5RYt#EnrN3f#5LQImTj2Uq#7-qY>;hk8U(IeKKGR7-ti zvv4>DUmtz^dUC0Fp^7iL$VrKXHi5-Lb>_nZe?Y*ymQL|_$J^!GY9UQ;_n;y|puQNN z+98C#5R;*h!h6`x!E5W%IO`o_aT^4CKnw6i;^*PE*-oZt@41U-M`EUfd%a7I*xC%> zNJiXD9tm4#L0#;FO8Qv!N{FWQw*db)Ejz*wpp(n%WTNl=Z*M2B+^b`LZ5qmvwj{TpzU% zMQUln>NUtsB1h4X5l^ilxgo6xRZhYS%oB_^N@O%(T|VGkpPV{cnOeFZd^x9yDgdt? z-(nuyy1D)w^4Y@-FCne!tj#gcMSrvKDmtk4L}mD7_}uk_D)A|4eO%ush+()j$*oTi z#H1H25P9_PP#9pI&M75YX|2|<&P zKB!}LdwXXpF|^D_fRiWn zgTQq?7-$K;nFK@Vzt9|DfQkxYB_#wxM+iqqT*z7BmRqG)g-DU=IZcC_@m7CT^jR9H zO)L6Gl?bgvt6we?L6k_mPTbWX)+n34|!Vl_RCR|Rx-O&D1B zvFuth_8K0{W!)$1wo{e0u}k0OLeLe!qm3VOfNAJrfTdPz{0X8tj3S8Vm#Ymkx3j#p z?6)#wa;*XRlIgS>CPYYN1e}MOcVRhiN{ol=5T~}5#7j84>(C!|Y2G_X-U7y`#z=e( zwDHVw(FvP=shDW;5lyKpFR+JLNN`JazydW0(nCKiB84yT^-1stFFhO~4Cse9birw{ z`s-oCWCcZy%IB%G>1pX!D7|-K^$f+brTa}17rDGL0;nGAu~KLuapJYLvV5rcIB>sy z#X!*6t50T+p%CNJ_3HTuiWz~|HAFZkc~PG%8ui63Gy;$0cr3yD*l@m%9!og}+_O)+ z0+qp3lgz>E)g_HqNe*|0@we?yU!HOQnBZQYTs6Y|q|#D(ec5>)cK7+@+$fq(@-p2| zp;Oo_aDRDi>P>ws9MtbK+Z0m79Xy8S@#Q!serFspSmR14AbYb1M#x3j~vdtTOh z1V!)@0z&e8P~Qwa$$T*WvLp#`{R>)SvYMz##U8S8EzuG6mo%7-BeG6^_!?G<`_a3H z@FmPSD`X{V2E1??d;PqY*+-wQfFf!oMkr*75DzP_ZlWniYcE0*KsQ;51rwL{3xwF9 zl2JJ>0+RgW<5}x98=f@c-Z>2sR7Uze3D_rXA@%~$YrtC@eL$%8Jmv1|t9wRdS77%0 zIcud3&ld}nixT-gFvAlylr_OgXCDze<8K6Ht71s@Nq7g+A$^E}t3;4Udy4EA{=GA6 ze?nofKe`~*y+YZDQF~l0GVv+UeSpK`bHrH1>_w#^G@4kletxtkHZnS+H8qmRW7*O& zqpw7akH*^h+GMd3#UKDqFA5$kPEAq|2R(3GP~scM1^=T<8h2m5pV{%lm*cCeS$#!A z?GMd9RNufarWw7ghJ%cypOE@L-9n&gok0`|j*%P}A^m;)9)}5m7ak&lJpI(yrz1La zx5%>5g5RAT5TUU8B`uVy+*ll!LY} z_wni0=jlMF*8kx8z7C$PBN@Czz8Z&h~uW#|KN?Gje^0ab97Yo^vt?cMJGD@wOpmhqb?6f zZ#qsEUobQK^lgC~)y4xui^oIs;^SxmpJCtRB+mEm*WqWUehGYWDE>~spYrDfyberR zpxJ|{puJfvaJnQq2n|Y2GdJ}5wgMhLW7nZCYnLLgU3#!|M2ir9G0M^q@4n{o+ z1xAqpmQRiWmTU$Ql&GhB2;MiY4R*|!D{qr#x_)@;EfDzZ(V-LC@H@8Xd!bit^S5o{ z^lujV)^St@dcfRy=|U;oD|8m2n|9JfO&*W-T-(T5jxVRBt&XI`oLg&7Gp8}6`@V)Y zy>K#s2+0rs8H^4N39Ldo=-Oq`5Era5JE+^f(20j#45vYdyX6dj?yzO>!n9jVrs#a6 z#^+$2!27k&Ly$%#)sier(;z9#Y^gek}$$cMlWi*PXrB z+txZR0hBMlzA#;%C|)(%UBbV6@w}m|69n)vee|ZnutGPk%5A1y^%fVk2fy|kiesRB zer~-#XCihgD&YPTv~~M{oI}$XW$vG8mw#6Fcybh%xAsAxcsygleL`0Q`dJmX*koH3 z|Ngh9Xql3O)Aw&%sf;jpgZ{U7pgC`ks*G@)cCS$t>u3w^uQ5dPNE#f#rNg^gbQa!M zI^NoST%i;Oj8nE>-{ZPl#~lbXfmNc47@CMo3$eMZhYjJXinNQyzUpKkb{aaE2#JZ~ zw7-Jqrn}>Hfkw#=q!jZ74j7Hy`M@O6@NAL~R8WJVdMPn>;WS?P}3$-Pa<;Qt!f!GBtJ4S)&1wBb< z*edqW?{@mNuxS=Mv!|b%sv6xm69}5nruO8pc@_q7PeaFknJiwJIh4R+WSlIMo@j%H zOxBAIkeAXLdg4{Hs`o$^E}BO*pj2^1dXCiEN%CR;6`guinuDMWxk)1#x-uxdP=5=7 zp*95*{FvM@JNh@)MRym<@aE-})Fj4qxO6Kq`g5R3cXQ6l~D?93Q zW%NGo`c?79WjB~G+;wDD_0(2C@u<$@zL6o-?hy|N6I}s+X^a+!^hjU?%Y5#VF>x1c*Gx#KJT|6mMs54*MQ3png_Vqq~Ic^rhQ}qo&$?k8+f&uJIc4AA1Vv=jRhfZgMey$pBpHFxC!drG5Sndj|mS~>vM~- z4QLVN(^0L7wu;<3WQ!gw0V!PqF1#BD)044JIf(tcLc^oT2AXysfs{#@0iU_vR;`ZSlSk1v7}R2)Pq`mf3DIEx%TM{$&C@R7uQCnYLzRC z8{5DI#?sQ+OD&2xqZ`tFzCF>a|MIt7%J5_T>|NF0D*aYDfnvOZqexXc=>?w$!2SLA>Po5RJUccz3Jkk!%)naLdu{}Ue;8h ziA2uGPY~^(F@pufk)BqCKH$C>T>T=nOr>$**3qv=(Pa}S;!7K~JzZ0c!@<2wFA z1uc%{Waj(ok9JisS){aXSG|>>#e|UI-~o#)K{sp6_+|#d%$mQk_!SxIp)vDUm7p)6 zN{|GY_lSBZawrgl&Z*>LTmg6>D)m}y>d{3%?g42WzuVORY!b5tGTHY6HR*CJ`<@7ck1LOe+%lQQkwb}< zMHBHey8!wbn?T2DHKY^U&k8h*?RWJ?mknmo7y_}0gE?Ua4b7%45<$8CG}LZWpE7IE z*A5Lm$#B>r?J55>T^uL>v)b(cgDNV%K@6 zqY#=3A(rW+!aVN!Wc#XM<#s$hbW$KZhSXiyAnSkBezXfZ)kNl$5lL23LOa31^Z~k| z2>01`!RXp(!N@dX7f68uPyt1R3UC3$p1|SaiZ|8#i@;CR^D3BC;{_wzHB@4!E}MFW zlI4fyp8$pt3{0|np)V=Y#KI3X|oP(b{(%u*6yFr#pN zBzWMsWazYn+UyZt(V*`i6_4`kWDxN7xwNCPDO z4vf}RzW~ltcW%O2*5+7_BKI%@3X7@&vW=SHj{-!Y0{m;a6O|yK_1e*jrjGj%jsi!k zI@MDE0keh#BckyDvZwd&F9S6Igs%iq#u{)e_f&wefdt|}Z6EG{CS-ls4+B~R?>hCb zB$`4|s`nn4Rd$d(hK`_SO1lCDl@aJs_=Ef6X-%o3(Z^QO4S=JdFbx?N^B-&)ULhA*vuQy_6Pq>Aqs7?g< z2(H}a7a)Uh*2zGR^#H8EIfqiC1!RA%1eF4OX2ki zDp87LsS;#y1)$gz4p70>IaP1EK^Im>Iaost%oqCW^dylNyw`1z^~-a_$@vfi$X4kcFf#N5m66r=>u@Yv zfNgYt{%8N2m_ZrE%PEe4MY?PNIX?qkfft_zh`=wTDqleU&m~zQ<$)o<6)5YcM+Z>Y z3aC)*%qXGIqrP03PYbGokUn!B1)}UhZ&}Q_2mx{>1_X#%x*aK4fd-V3sZ7t*dupW4 z0S-361=QD-AdTHg;x=?i0RJcMze4tN{3-%KexIGs^{z{Z)C~|O7+vh*b)$Khu9q-A z26tctOT=~b5h(&1V>m?a{6qs}YXtI-)&nDGn)6b#d+T2*@kimJ2M9Q6@_J0!4j`*z zSCC@{Fuum%jDZm_7}6y!Cjf=f)dHglMYKIR(4pBtZLYqo|GL(KK``cj&e(t$*!`P_ z^*EMIfN2$|0Vo@gC~ltxqvui>-M`ZXK-1)UNkCMSnW+GLWYT^AF|s^57O(cKQC4Y# z^~zXT87r64Yvj9dXbjrL>h#eLu0|IilERk@Cdg5B*tSY9#lVYXnj3UuHYkX<4wVJzMxn=>pGn96`MPX*@C8R~xku>cJd5^~>we9bI3 zK)D)}kG;hp7>NPV(3*R5`7-H2i!tM+kOJte`~Tx?7Lrl@SH7srTSHLfJ8olK0m_zi z2e~35Wb01bC;iF1DUc@4x*0`nEJ?-#WDAKh=0hkTYX11Ggp0KZ(@qE-!9~A;K_N6Y zxDPB6j1aw!{3{uRmvXd)%hkrKMgKzba@QE0+W_k1iYD{<(0 z&3L%8)8MoqP1N+E^V23;=+kdiyB07;5kRki{Q}yV970`?PqN5FeX|CXz;Xx3>prkF z7(y8ZQV;+=ND!g`^f5rIbS4J}lHq?dTNd#KAXh(tnZAu!aLWrIolL`}Z5F`%6o>y8 zdv^g8N7v{L9v$3maCdii4Z$UXO9CN4kl^mF0RjYf3+~PkEV#S7dvM4$d7fA9-S^gh zwY9bNZPixwoYURRoavsKo*sVvKiyxkeSAJn8F3r=uY3R&?4u#?EEUiI2MqIfU{eLH zF1>_%z8S?tK%suY@{#2Fd&hPefa=4r1H28=!26Q|-FOS^EQTtYfG^5BFB0mHF%0ltw zZ{9!Hgcdq_8CQO+@Z|zlTK4$Cm)Ncg_y&ju_A~EiTUfl4fQ3`3<~AR>r|c7dB6PvSi^dHU@?Ff zYkGZRHl?vcSM{zA|DYP!M)NC2)=YYU4LiR=(CHuFu%S7?Lx5#x7y8||@bhBF@R3ge z)%J_3@+WVT@#B%+l$JT+0fIL3oJkyUqn72d74Ae!l)4;my>Wsn1jVcq*x6H8k^d97Z$iow!U{m+yij$CA(C`;IQJ zcAPv+pO!~0xiE?(WYQ(pyL)&C-?l3oCFb18tLs2;+dc=HUmsxhD@QEGOyw|fR}5h7 z@bhLUXnrh~jNP|U%&){A?IC$TO_6nutNsqH_U%+tM<%%EqZ+CyFU6x2f+d{8_a2`` zJ^6i$uj$2t88>ge0>Q}-=S5=0bu}1W%Q)^7PU0GJ_xU$B%@yLt7u0;>Mi=NQ^|Occ z;9IQEA{ZRrfDg>uXG*vqphU6QH?_e}=DT+s!5e&7bTnSFdS_~HnJVEmx zLi2aSj!$bHU%#2=P3j2=sMR?cHCh1qvr2mNtylNi(65)~OL+93tEb=g8@nDx_%GmF zEmuE$9fX`W^CL9lvqZN?bJXQw<;e^%_Q(QGI6{9bGd7t=w_TY#*grT2l)WO}3_gKG&y{33)N>@*3Brsz^~xR+Y?Pf^5F_Q8vW5K9!ALx+ z3{s@h4?LcpbL}%3n)3H6!CC}+2*;=e87XrJDPF5$wv(T61z=QCM}sIm#TLg+5S5Y* zNAuX$X1*ZZOqs)YwSI#_l%P7bT!(vL67r=o`wne8Ga&GtwD;)Rc_AOD4AdknsEL27uN>YYF@!sz9;TQSmcXY)A zR?DWi8)Vgl?n=MLWxYOYwik`PZmos14E$A1IjmrHt+0c`J#)6&1Dp-fJ$Jazms@?q zGh$!kzwW$=!!xaX?Ucdfzw*5S;}s;4Vb>DxetNXs)@fx8;{0Jcc$m1!Mh6I!`d!F* zKx|m9`iF_n<(A(bCX88rc7K0@_BbSI;hPbV;to+A7;5dH-#=ztC1|_qKW6N>?k=nC zP|Z9_SgKDy`+QJ^(nRjxNN(-3l9U{EEVgk*9@81EWKDlIGiJC64sYeUBbzgr^BhKO zyW)vPYXkE}ABh(_c_MmzI$7?;I4*r!U0y$6M>)hyubp`)=AjmUtK9CAfaV_bfGl7* znv*&}Kuvuwsj^}0_FGhX&*2(g{uh$u6MB`(8mg>*?+CA6*sw>m>2LJylcrgn!hOy| z)2Z7ddcwPSp{(D6p37V98^0WXbM-_JM{Y8ko>9rwy&$p{xt;a1KB=?Je_Mj>&;Ma1cc_l8BmlHj`+)(S zt#m@+B#vgQGMA>?OsoQ`fFX*u8!626g`MB}8;#UVfv>pqvuw2Buh^F_kvcViU!js` zRq*t?VW#h1gl!SAs+iC-)`c@Vg)@@jYl^VtyXYul;fvzqFW9`f+_Ps#3Kv8Bufq|6 z=REsejp3z5`|gC-SixhqYOriHTDSrE*ORI@NzYFgVgby3U>RF5 z`DSzTmg;9WPm`0GgVv!pu1j+Y`-c4vK}Xf5w3bC!XmbJQE~IN|HnEr3x?y%K*^;D%OC>O!*SsQzu@w#Bph`f_M_g5PuMKMi=_+K`nE1M|zJCSGUlmDttAV#qMv9~iVT zR8PCUe%ZETxN7X{jN&n|&GnK0b_2%y&1y4zoBk@wQyf;yPl5;f9GAF*M6y)z+A~vP z@tU);$a-s$8oQOAtjK1*<(szChx;o3X#^Y6d$b0>mhIDe&#%$gH{1ze5zSBtP$d?| zl~YD&8(2?&Jd;)r&|{7u@UtmJ;>lIM~U98sY$ zU_pFGnHghISW&(!$+j;fco2xSJ^2tWCy{h;&Q1hvTo$)s2?k1kCa^KcLD6$-5M2#tKSn5jTR1^R`zlJmIb}qJdA4+>S6VNk zJB^Ul{%iM$ius%$`DA2Wi0&Gsd|A!6qXNHei7~GVJ(323O`)X9_{gK65$#gRe)J&P zMW-KwmZ-Uz?NsT55xJyvMm)q2D7uh*hhfeLPC^FF-Jm)zV(xd7MIty7`_;u~^W1{I zJ}Goko-u$(VSRnmeSLjdVTD0tV3&73r~+rZqVclBOtd~XGGgH8^0gcoGh??25q2F% zAJ16A1A9h>A)GCggF1aOaW^Rhx-s6#6*a`2*P+9wfgiYRL^#Qq z0+e03$2Vo5>*^L;NxEe~igSrt9}3 zhWGLuQUpvUvmJ6!Boqw@p(?!R^N@S}_GGK6-2hY9gd{@e88^1 z350?+%|HA6>urIu{ZZf;qqiiihp$SkYh)iSf|H*?l~WHNqrUy5zMI2Lf%B4312r)S*T0;y22Prj1FV4>7KAI^ zNZE~PsldrXEagc-o{ITge*04`%ygIJT+<6ajGcfyCRcBd&AWqX=@X`}o{4Pq! zTRyElXLv<@$EN6_z6Sb}1?=KDs9~vxUF#!(zE^6Gx+prk*RG=9CDy{A-$bgKlFjY7 z5FK3nk<*Id$YbigLb?O+YLmxlJaO_9C;vFBTaw$-yBQ|VqznY2X$vP zr)o2~qsqxw%HO=|jEfjpcIMwI#(Kq%Da3lsJ{Kh83Y<4|bZ8YHOo04>UWU!a;cm%0 z8E>}x+>g5cJ%@ZliFcphXkwmhWjhIr2QA7{-a8(O)svGM=yHzKB7U`!>=!7p+!*aa zkt8XM#I{)g%L!%d-0xkqd3zCbAK(XJ@R{BiasR8@2t>%q>FMdUaVBoRb+UC8bojov zV;o2cww1;82u~HmYG_$};MVibYg8NVkZd%#9+{ay+2_yj>P#Myp@CD1yC4j&Tb&43 zWo=+xfz!O5eGkz~M@&-hxr>qxZ=Lh}Qr;e=eqbXwY@KDup#0_V}aY z(+oN6Bi%#+K3weiubG?jgFU)0Q1QMBg4~$K=5=SkL^%cFO@CK(0DFn%j$rs@_S1@v zW_a`h@a@d3AZ_;PhFw=d%DSmg_Yl2{m^T>@U+s}wSFq)wh1kx;$$h1rIix$y8il1N z2s4_MxhPfF2{dNz2(k}%+WZ#X^(1j9Y_ftayxaXNbOa6g&c$=s!QZbkPi(14a<1AY zB<;42-ic>&TM~{%+Z<3qYm{t8+8=H3DS5Iu(m|QBZA$|uxT37$c2)Wxgx1)!;|;Ay zyvg4ye#tv-Fam2*Tp#%EIkiPkA-;;i@rut z?y$$UBQ+mGQFa5ngo5b6&E$gyZk_3EbEF>);+e7bp0KL8`F;4?(Mlhe1(qh8QRNps zp>GQH90wH4IGn^$K{E%GRE-1czT+(|D&09TsRywR$7l>SlrANfkE}AB1FWJKQ9$+R z()YbgJBeNE%^Az2_>*l^3wY%QM|n^k0XOVIc=8z+NN&^F8Mx$tTc3>o=bt|Qp${6- znCD$?^y`f8X;`#-1|Ue+@JH_FFU560m{7RXbicA5#9}b#kzothnz3t~QxF?;XbQLJ zU_@dOPYq~37z$#!@z!{!Ar`jBl0=gylDDx1EJm|$)EvqGj!iQ#+$c{Y!j!?}hO6vF zwR<7Y(97*_b<(~uw!o31#nrY-)}HYqU?+=B?!+8BOFMLVBv)giDvMDJi(`&Pinyr- zfhu5U?KWU}R+*lX8o z?{jD+Y~E{<=@jgGTK7v^>UNSzeRza(Bfm5d!1}Aa%iV3Y1sd6GtspOZYD-O5y-z7j znB_PSYrUyxrlxKnGi)oXzxZ`|JE0m4j}T`rc~6I?jQo`e9v-J@bVpa@CY=kj@Le4w z-KDKHDz-y?g>=Q|JSyvf9trpRsG>km&tUTuBN_~^#%NvorNMw~*@ zU@axgAZna|5q9=-n5+6p86#IA5MLpB&)RCn#*<-UdHBk8h~dRz`OZlFz}f?b%aQBv zUzP1`MTIdoR^;~+=2lj~uc#ln=sNWhQ7iN$b&?No#sX)9RdR+;ZUMi;lB^Cnm`?8n0;FSL`QUm=PZuL`;+ps&L?#|m6`6FbM?Y9L5~$fsg{P;3z6x};}4tc&_90k zbV-;FqVn_%0+rP%Ufi26*t9%*G4rwNOLT4UyE7Y~>s@;iZXbSq6FDaC99R?TY=6O$ zM=}#cwd^COVCibIfeBsx(S|ZHvejcF=cn|mK^nNj&$L`>FizFjNbwHwKCT>IzVHWT zPXf$Ae#+=UrD62&YMO;FqK1-wV{H-G^5U+lk|(}!%))-7-tdJS!?|upEI$zm?nXRz zHJrhwUzT_hJ?kU8cenPl&`OMSNFd9K40*fCxd>zv9jH`O28TY5D=vR4`W07zo0UL zQiOB(CetC2*f)JW9NT3x>DlYmywVf69nHcww@J~Jc;eCbN@{<3wGjupji@)4;PG&n zbulni+)&lVaOUyR0hEHtUT#xK(@Hwm(^5aNvvAKDrJi#Ox4p?ZHM3RG?q8aA35op# zZb%lBTwj$rnVDEf35dTcdkZ^h?%C{}UOv>sDDXX{ot=sJV1Q)I!8C(&C5{r)6p2Wm zLq+}jf)D4mSFUTdJF*0H~x#PKoSyTmu5&dx2BEh zwZVg>)>qU2gW@1qHE!G~+~kQSBedt*cAnUAmd2l~8{EjsImNfhjHVYUb0;7`R1SEHjs})H2BsUZ`j(qis zy>On3o~F0=jP0tYSquHQjs>cxUbimZk2AvVFTU5`6lH+t5|sM~u6*Qgs867Ow1{gM z2&XbwHJW~CHhp8i!?#_3QL}E?j&W3CbITox)C13c7TjsJoI&uF|1nd`i#8hhRa*im ztyTqU{`PWJbI-?=$dH2JJ#b6Cx~53|w68092ZA(9dnTMWmJXD(1t6fgx>aI?ae8Ay zu_LUL+^Sz)4(`6%TYx?Jd7cArTi(>{*Q`RW(XjHyaBL24!Y1$384ezPZNBzqIwuxK zXvbs2ljD((+01$kU6kfh(W^io=Y(d^JpZ07cXgj}@PIzkE8Z8KNN)TJUQjo0e; ze*QrTS1bwf$1kXKp{Xg;%0pVLZpapC3MwqMUsqZ3JM53YH@c2zWuWPM#!9Mi@erIj z^NrB-&d$@MxzdR?)Tz+WPwRv;s+^p#6->|bTwU2?m)FjIi@oqHDTv{=iiEsMAi=l# zazlK(ej7XwKG`KKaikKAp3)iojBf9j#~9rjA*O8sjkmUt%ZF=PIg)2x(9+1aId2q3 zzjH_E4`jZ2%k7m%b6%DRBM5F!>O@%jk)(fQ%`fvK`T3Ex2S*a!trmX+i7~r6i3r_| z$vRCTs;(9|QZC3b102ZP0vlLX27axUF73v@8{;Jt6dBX8GW{BdI+SGc5rOBEAnKyd zV9vGuWI^q$3X6P*PPlcWJx@{XY;X*<>H%4ix#x7~rk9@);vB1=}4_{{2 z`(mT$LOtyT>Bn`fU?=1Y-GRetGtgThx+z^Q4x?u3X5)NsiIqlXfC$r}r=BN<&dPhb zqgS<%2+1r=jNfubdc-`0Pn-{2t6LbdZAu{$Z7F*baYT-DKrEcGj@P0E7IVPIxJ6+Pjx8PwsS1=)Ns0^R%XXaGQDM#y^HC z2L9|g-^_Ga+q3Uw)vJT16xqGlAB^lDO}>?S+QcRyLsJkjLonV~OvZ2|Lmix~8(!ob zYJ1eWDdPC~{XH&`+1D4FwoWNsb!11@Q)!DH6bNarK}UPFkS+(RIwRD4D-n&Kz2l~ByUiLGcdP|&y~Ww)hDv8U)02EU#>(mjBCb+x zq38qVe9pq$q}rsEl91%uq-i4F(S4aul*Q{Gr%mJt@Pezq4lfR3gfdx~vtF5fQqY4asPyjVe#-ahs_nYs;Yodg@*RNP%@x4~RDv73*(^%$Eqi&!LUG#|Gq z=h16;s?zSJK{OZjyebu%FF4aC;k#c;nYt}l>q0)+NC1u1i8Lvj03{pL>n$zI$}PJT z=;ARQ;XVY+;$CysI@VpY_)1*Ulr|-%-s2Appym&@Z^J5uiNa#vE~{BuTx@>>rw^_~ zZ=WDsTn|6A@_J)zpDaI+4z9?0Fq}+VL)V&Wd(XgY6=*$|iGNCr=Q)!ct#`y8*6QAR zGnsX~&0B%{5^ut-13&GJHs(oRnhFjTQd|0mqAjl1e>5C3GJ&lh*G`5#@VUFM1HqTi zT+~}nOe7fHRSlW-Uw3Anrne=Odf>4JmS;mQWseAIA(!1Be-Wd-H;lM0MbUVzQU~;YHwM$G1*@5rW}`T;MPwQ0SI+j@+J)eby*)I&>659Y zF6|Zz3Rlu2hr2?UGl91T;Jwh+nxKAgbk#?47JuTnJp89vymygIoIQtz)UN)CTpp`)F#fOw0-G?P>dHb~l#n-ywtXU`xT0V0+=@A2AWXZ6Yp-#@- zsvi{-==AkDG#=!-Vtl`>BRB-%iZ^lR3Y_*mbL4F^^1TT0mls!IpUbuusauXzJ&*HTo>+BQ4We0H!A=XiOeEJFB2%6^dbS5 zGV6SY^fh(9#&%O{7vg7_1g;r0R=GDtjY(-?8&bV?u@@?V$B4#kd7ZnYcycEV973

z@b!&7jW9Rh(CxH)K%Di~7yv3XovwVS!i;uD(5Thg9b5xXYVV6tJUj$iZqNwW zD|Pz`td(Xij9LCU(mVw=6@f{)#I@4MojB^BrhJGSTv$64zTmX}3|4|0}3~PLAR#Tg`F=deqv_ zn!kar4xp*v;mY85SmI6=+c}MQyG3#zq4w;)R$b$|715h`8M5K&j5!shb@hdZhkU2u zFPH*MXE3gp&OCO+H`~azfvJDMyg(~nsmO+j?wg#u(l46!p{f^+)FsH}wAy(N?3{Es zN=q7zz~D54OgzD^;x13t97{=mwjZstvEf1^XtXp0zg>;*(c-L)W@zL-K=t^H|gxm~R>u(mvPrk9@ z4f5`xaL4w7p&JD5OMNtTHAe-UxwFu96o?vhE3bdoMWIpHnm{C`8F79Ym3mzAwNfbq}*ciT0OY)OoY<&Y0)7t zD^i@kM2OygX~YJYr&v^(in=(oEvvW^3G+_pOTrTH{oorcA-K}@Ee}ACZ#>C}`SzxB zCIvspteMAW)0L*@#o%i@>Ug6NGKCY`I`a=!WggT_Kd|CZNw&DO7f~g4%6iH=MwsGN zMgInVvewjobD^-1Ji0#`sVoqMRN%0UviRJ5NuidH!C1nkZS#%kE0ldd zjY7{)R(V-^gCH~%fx|3~e4QsyJLTGm>sf1qXi?B9mJ}jxI8Z}hkdQPeOYk3}z@}mq zJ=NJx^5a=v;Tkr|wjIDp%^i(YK|}1$_AK#R&0;gUGwsBvf}SIP_ONRE(az(`Q&?I1%ji(Q2 zU#1|oprxZb*wV&|<)PF?Q6i80w)PmiOYw888bYeb$Z|ZKEA>rr zN>wff_ulXrH>o6US1<`No(SLRf|lkAH^T5~z52|X!&vsJj-ma1M)Kg56ybY1-aSot+hvo3q;$eup;fg`+04ttyq%O?lo@w$Jo~uvcV9G%3YI1aYn@#!B8J=BR#l zjTVn8&;AQ*o?NNL2s-uK+^0P(9_t$f|HEdUr7Bh2!o-X1C6~a|Ig?|jb|rF3CokH#EBvjVuZN89hY+AgGXlOkgKsezYcaZo}>Jj zhk+pyM2C}k`6DiT4uEBu<5E|c877as<5B#`Uxjmm?u#`nxpJGfzs6U4K9}r z6w7?w_P&IALdN7f)QU&Lo;V6w^usHt-ECu_pYw9T+3fz!{_9l`s738xj?eyI97jE9 zK4S1-fHBx7lc3kQRpN2fa(;(Kihe*7BOvkf-U3mK;wz^!<0_~aRmGPSW+9pDK4;$Y z*H9Z7?pckY0FcwYt)`#?JRqPGgiz>YglVgMnnYo1_ zw`Up`@F+jB3pE_sI>YXjG7K6SYE08cKm- zvT-2IwN;}AuAfpYzOOCb!^wh~dfx0$OuRd5um;BMxg&#i|CR{O$byyw2<{sV;ZE)u zV6c!aQ@m9FcQ8F=j?Ur}j zr4+vkV=Y92j^$aieB*v&nx+wBWe>Rg-THuyBqc+HvqP(bPd%#t;sd31T93N&rbb=h zT}|QpUh{A=kDxppLMfReQL2J1)0k)l$7^t0g-Um4$a5t&v8*l1JadaVgWJ4M9Zp#@ z6&wDV7Ci=Kn&ZM;Lb#>_D2F0Hr`&^2Cw>K!$3+GcS*9F2vb@zJhbMCu>t}hGV8dm>7-q%@N z!%$4A6P!(~Pb~T!-zp;mVNaDLU%`JA77=gaF0?XFhMP+P!NS{nVyJNv6k&{g@Loa_ zq3N+W_H|!sTNbj^F!Vg+sKV!FpMEUjb!;bBKl}m%5CmN6UFW@l^V_8cm)pgF+mp$; z_<5M%>MSxcd>4_-m`|*fRY$-Ku?tNnsc6xJE zz?_Q7=g0Ty@{^BT46rl&Tc84Pe5aXlyV0{h=FECt9qZdwlAy<4fmU8GM|pzmvYnz3(dAQmtmtWM}p9;WNjOCwma}rULb8pQLhrLvrhnH7q4Y3s@%t^|AG5OkK!Kiw!wzBb!m7d) zw+GB=yE`4lpc@_`cynQulcU7=Or>7Ed(&XgPv9GkLQfoMdsmyJ4sU6owAQiPlWp&N z1JA~e!XQUc=u!5SD!Gxmwe=aI8!$m!mU+4Qi}mGn?jB60vp(f+yXT@IOQ}iw$n}5Q zcpz6>zD{>R9ss0;&7OM+Ca2ZE@eNA`0IdB0n0*^F4z z?eO#4;+5vawJM)Cx;77Tj;j_O5U}Hqi{W)bRcHltmpxH!$5b>g*JLu5cBMIhYOrg7 zt>wayBON5SOaHNta717mUgj0S|HT*P_m%P(*R<}gKxpmSh?SWK?pp=v$exQGbbYrc zrKV=+)i+^zJq>PNJtO=!4>L@UF0JcHbMD2o8b0W!U`qBqYurlnJ>`=Ttqk$4>&}-{ z!ARu)=yOB6Gvl}nrb)N~Ft;ltJnsJ|C#sR5m60HL0v-tBIBp8Z6h6}oM^jOXjv&6v z-A}xgkF!$sX@B-~E7XA^SIVJN18AyUkv}CNxmw}3MC0zVAEnElKN&JN%qF}xi+Dtl zRSz6+VD#w|O8mPzOI)bx*T5SiB&eO%t|*3hV8#)%?&nTD~b)?tW5l5 zp;GdV^YL#P8GePT&cjgixsCsTEDsyEJdmvlQ6bZhOS^IgUSN_zNZIGb~Z6(>fW)h-3F?bhE#F%9qjiGEvlym~X1 z=zoNRXFGkDj@}^KG1H%!B)bdqcw6SsKbMJkkS;qcsvY;+7TubM;}<;p#1;7mkT_NQ zm9PSro2?i|V+V3Nrdz7tMhXSdBJzGfj%r@V;*QxADZHUq|f_vUY*&+#blc#Qa=$_UNA2Dr)Gd{j1;*@e>!$D&kMG5d4uJ89> zSy7dKk|7xvps=AQ!6pgl98TXe|NK2 zVI6$(Mzc}=g^hK%3%7e0sNv>xsvmO4{+A!?t50P~edbu8%eAJ#TGd)S1v7rBFT$to zQ8_iyy=TzMeiP(}@jy&SfFStW6JqJqd=W%@<{A=}z{cbi0ZoZ``|7qEJLfm*;}2QU zRL>aG*IkjdaAi%yu~O0}byobEYQNOIR(ehKfnJtm_YeQuE?d(H!iX&iCIAE)@>5F2 z0~Bgi+|{%DbgTYcSV3G-A*5tdLPcUrtjgZ-Y4W4Z_>w6TRXQ?`9T+jvs66Adq(3FP zmsyQj)OZJ4T40r!mA%Q=Y^PkBK3XactIiR;&bs0=OzjOn%c`TTFS#8KD1dkuZzNE;+={Q z7>(NDPnAq@JzwLs`U!*lwOWr}pRvIus>KZ9-|za}AY{pLD*I(%1{&~qmNw$Ij&G*y zHnTE1K90Nag{m7pYh8&$%}W$rf$2}~F9kySn)P0p>pBmH{umY$!C*tSnkqN;b_T32 zk-@`5SO5%9JT&s-BTKSWi>B}iFFvKhPsAUu!-AftcZ6aaA-R{4C0{jjbt`M7>8`9L zI(C-H*Z!i%IHD9Knk-q_&?dGG*6czdQ`M?$%+KLP{jBn1bdqi@yNz%QKlkMo6SAiLH zsXuE8+0d(hSD3CZw+q7*21J6Ln)jkN>6u;Iv=4)bn)=X3g?}oIr8#bk^wCM!bA9-| zh;dMKrhe5G7FG<6T#PAxVJ>>TADkzs@0L9n_}2#uz;SlJEU$pdJN{th>X%3Pp(U*P zaTa%+k#ZGg7(CKMW|qq z<0zuzynrE|-02GHCpN^db47y&oNDvOI7RI3>vGQJ%WVv+EvSVxiA_jG0=i;tv^aG3 z5QA!^%kngO*Gsvqx1I`Od|b7{K+b#`iD*UcIoy3{W|&o;iq;PbAwVffd7C{fXJ#e# zvToFE{ID6#Nws?+<;eW+A-Rr{ZZxQUJK0~pNvz6KE&As$+TNrQTZ;5bk&l@D%V>d6 zQlsi6yjC*}e`yr4J_Xy$COQ?QN^Sq@_P#d}`i({{2(gEY7*S)F+jS%M% znV;{g$BzQzJbP6q6MxiKluwbYg}xMia)nx+CzivS6A2@5@M6?UdfpIp9E^adTu71T ze7<19hx#v42k40y-hn)q*YTzTe^T+0Nw(tFv2Ka)$!1~AoFGSXcb3+AYd&N)8%+zL zDCssjuS*SG9u?iRnCJG7LLL_BrUH45GU>U|DaCL`)t|O^V`2HivSg=XzsEHuu{R5ToBU0=$w+b4ZdVytIiRfoejF$#F zU1Y{UGzrf6rW@A7l2kXe&lj0|_sW;8WF7q8Ii|)q*hJT@KUU%&i{9(%^6-;&X2CN5 zIx&=7x|p^$kWCvcxMdyNZYy3QuFety>`Z z|JeEps4BPSeJKfrBhpeLCEXndgYGT?LAtv+2ndHpq#FTg1W6^8Lw9#~cjx~-aPR$n z-~Ik;o#ncCGW&(a!z^runr?8%)?=E~#L;Z>35tI91 zjZZ_Yd*(=s*!ygIB3y&3Fv$`G{<*A%n2D{B^xHW-hdu4K+vl=1K^v#ZwR!q#+k_=tKolgMF=3&o@j+8NVN06tevcJQ>JkvmhO0vX*FZ# zZ35x_F*s-TIukRs_a#s$C)7kdvgBF>n;{)SuQ~qg?Ys2z!^~OQ=eH-Tlpho^zLL0Z zE2R#{gs9ca(A-qx&}{>pHUDuq0MY354FiXybyRzji@H;MiHtvdg+WuiH&ao1ZGeihL~ z=Hbb)+iRbAi5&l|BY-}M&Lmv&i_Mv@dRmyyxWtTq8Iw?M>JpQ>*4GEqbh6ChwMW!* zzvT;aVU2$5MEQ%~zDud*GE_>+Kv5=G#*8kwi2ZF5!<3sJ2i6BBEA!iopKN4gcpVh9 zRgQ0bUaK;Q>-9#MN${TICgs|N)hJo`H2Rh>?^UOy@Cx>;{>Ra z@As7Z28Dx> zUc)t$#X}A`)Biv!X+JQ~6qc@UFV|kFQZoDgm6KM>x%TWuY$OaaZ7oG2YB{hbgQZL( zyALZm|Fymjhg9$h3GvJZ^C#dp_bBWw9lhgxC;%@&q$eOJEb%ES%pI5?tgpY18r1}x_~Oycj#7T&lWcr$@9oR&~8y{Gye|crNQ3<-4L(M)vJ?1`A z%ke2i1NE8wnfx=O1LX>;r&XLOVoYz>Mp~dQO;qR+wxOcOFqm*z*tU^7k6Eb5d&Bt-t)9S0UXX zBgsRY6==3lBKeVfjS0_r#F+AG?<%yUj$;D7YUq+r9lJ_`LS7zVfDyFr=LVn%+XQeZ z!pj$ZZ^1Gb;t4+K@fcEmM-usDk56PH*W1{@e>P}-y25s;b)PCkvg7PmUFOFHb}A{x zl8^W@w1bovf2G8PDQY(_K2;5!8jY%!#lvDH#Ft>{{k_4Drl;NuC3(zoUOwISTMA_6 ztD5w-FFGDGr)JKM?N2jtk4AFr2Pd!mAiPHpjV>^_@fbN(y8;|q5)ZZvu~##lJY_{} zDbaG8u=lGE2LnlKD$}3(@GjbmEp2VXCK(D$Ixh5sbF{qLiaMFi0lKVIY4a}2X}u4W z%>iIO<*|`>=UTdQy9P?5_GN|1&qkD$tgc&a9?&ru+b~6%HWnh66XH@DsLJYP$BxhG zkNiF!6IEt>GZ?KmW<^sg%7eE*)22^L?G$=|y-%@DnQ@{;w4qb0UGQioocG;PsmPL$ zd#+o0RBFBvSCc%g2Pz7!v$;Pu!+s4WMKxS$4-iB@j)=@zU`07)Q9HB~@&raGX4CP- z0r424Y8|lC;*{9|-YAHe42rX1$zDSg$Dv|~QVe-E>Z1XM2~6j^tExBp&>(0xJ15&} z+)53qKqevs!mTp>MKC-PQZ8rwwoC%M+NT%G%EIQSIgm}LM{Eq&i2 z(B;uzbWZ)&w@mpU-K)57VNrpDDH}m?Hy1F9$%Kgg9#pa?-pFaID-mtcXGm-{ zyuXKzst;lO33B0)g!PeLUAl?fVQK0}GJ?zj4`-i0)2>|V%nJO@VU)l&YBTxxEp%C2 zDvnC6%X>W?_4wnjdW_;Y=WUai!w{`dMM?(Yih=oZ@QZQmiU1-RN>|w*iv|@U%QfrR zpATNhBFs3yU8z+T|2lfxU^{=r0KR}Jn>5s%?ukol8YDVRZBA9&G+0B%rc#1dJ%Z%< z55GK%ycAw}ugavoj=tIH`879{$9hs%wP$h#^5sNppm&p}pogDBQh-=&BT=R+fbx|r z-|QSwV)oVR0yk*aJ?E)){5`Nt)=?Em;QjS)M{n}HdzAL}x#8NE$;|1g#(B}#> zGb;}B93$l(270yilmI#8pzw2(L2NhyvRK%IG(H&%N|jPw>olU+fs${&*FMqty7Xha zA#tH|7f)YSx0Sq%`-C_$#5W>cx~T7!+^5g=BO}(c_qy0555fw+ ze@wYNw@n&%OLBbYN?<-YcCfY7L)I_Rb_h{^`1Dhn5}RaOu@AM^PE6UlWGz{aRMntkcBBv?rS>bPE zbbT^9-#2u5gaxEFZ=HquQ7k(6HOf2D<;b5~aDe;hg^s;*jLJHT<7eo&UO;N}Z{6RJ zd~{}&-5mo!HG~F;<zj{*u%2KmvT<7yUl zDY22`F<^%WvMz^u3ZpPHq_N4EHmg|R6wu-^SP>k&2a=ya&Z3VfDNhDQA^PL4Rzt z6`jhfCwpE~|H1v{UAGo|@Onf&8#l+NKtp$jUxJ%Vz_91{cDaG=R&F1*Vt2rR!brSM zg#W0hhr$MJ5?KMLsb`o}aqq}EUgh9qY+ujP{fZni?{h11u0Ooe;cxY*RXEll2p&a# z;dGynki9C=`2DIF)55yX)Nfs&qVxeniB*yjK<}!4k?S?Ha>e?HaYSH9?G~v_Ba}qRA~|aIsiNvL(Ny$S<6NvZnxzna!XT z@q2>P9t@1zkVK-Od+l%d1(p&5#K*YKH2d&_krFbwClHm zl-Uak;^KO)YJ{M-ia)4z-(7Txu(J%Cg>Wjyx0od(kIm2a|VN~d!DsrMb(>0jFW#a!s` zG<2FYzH*$0n$3}D{qhrS!2C=fldBarl_pdkPTq((4VBVhG*G9XPHeNTLAK~c4-Bh#Zwep_;*>DZxRJY>q?CODG^I~Aj_c)W#O<=)tq?WPEmbJyvVK6p{u?FO z^`^~cIPFc{m96l%smXzifrDJ#XvQ{LUmE1qPApsxL5qvrNt+Q$n?IP?@?)D(Es2niHf*4jAb)S?J``>=pqgX<+P>rk%Mp$WOrB5v zvCw@FhAQ$JA1P@q|7Klu02AKUs&c-2-us5)%7;I#KF(&-nWd9k2j#&AzfuP!Jn$tq zW-S3weyoB(DRpy~%{*S;vd;Hec5pPH@Q^ zwdAwvI;#Nk>|Z(V+%(hXc$(sT(!*2X{bC~g14nY)*Fzez*0(YgHuN(#sk*;;%%cb` z2g_}^$TT@9;`K{DCvFSk%ow;p;s;7vz9|~sPM~&faF@FmBe5ENtd-5FenBq5vIAAl z1u9MN5$Q3n0B-m9Z_)aEpSI`^wAAd@YY+c+D_fsTIZmAsjwtljv#C3dmDFHjm|xv` zxnMO}dCvCdOZNFZ6`u@EE-F#GI7 zUhoox1I&zy4S8QgH$==MZuZg&L_cg#RKJbP8ZFkq@nfQ|{N5+4L%2DC>)7zF%DW+!TVHt^D~H6!b!Dy!itD9Fo0m#3ID+GSWt%IB z0c6N_Tny_4Dr7AEH=KNh72ZpfQFkzV{_-AKPu+TYEU99P?<6C(T%1ZG}qF$}kwe8exH0dx6X^t;UlO$Et809TR zm6qt0%`{Zw_5!ujv4s><8BE+$*-eieDV!EX3D9tNtL$?v&E_RE!CiPO6&-PlVe|DuT*M<@Z{h#w%+zhFJRahScnxh4Dp+lOdmRk`nH z&Zf*z;qF7pXLS^NjQst{zn>GoNPGk+3@h@_9j){OpGq84AM$K!s3ewrPfMr%7vViv z5vQKSGk_>K0uYF1C4wFBbdhvX3=yl23<-mE|>dNh6Bu<(IF9x7V z@8vC-8vwXXB;|My9tYYC9Kj?Ivgs|Vs1}MCL@>z1~-h_n4)8bj}%&{NU7l zw@=(A)PxoZg{OvEy9oMO5HQFm04(#ntav&F{NEDt`h*a$Tpg(2(urZ6a(kZ*%Cn?u zyDblmL*ahRg~xZz3F%JAi91l}7~vg=~=~TynO9c6;qsJQgPdAImCUAI|cmSnUOJQE1Gev;| z_@38N2>$SINUuZ2XrCtplY9suRrEAox9KS&$u@fVFa#U&(`lA1lJ##8t4Iy}DK(`3 z^^`yS80B~EDizo-`$5HC?$+y9-?#W+;Y8dnV67`GRq{EGEnsrVF$&K3KLz&kaKL;j zfeKsCieDKZFD|%Ry1V{T3!{(H6>Gx0e9vv{NC>N!P4VO@Gvl?Vk<)hwBVd7-;X$9^ z>%RL;hyrs`Cbxlg9sbb-j>JTGSH>=N-(8aiK$M&Y`KiKT35M-Nk@uBtkBsz;2B7?Z zh4Zi1ns7vZ2EXCaahU;3jg|fzV*w5x$x%uL#hAYihdeb z`N1*yBI)qq^IU;woInR3(5mvh&ySpjqxNU*_0ob@c3Y8S(!>I&qyV4r%C@Hd{fqla zcJOZazy7vpzyZz@TQqm$yT#RvMGWiD@#-)v3^tKmR{d zN}R?@gg3(YKmg70v`jt6hTDB^tOy18DrvReeSZN(%G7EbkqOIlV+&N##^mMK#VT|d zKEm_8@>b08Y~I=H&)Z7)*WP`K;Q024+*fxyEjIyxb5lHqpXCn_b!eWfLvIf}ZY^gS zBC=k+)3|=GzrMQsolCKS2>;rb+EF3Vb6_i4 zK0~sEm#{^k=t~##`%JfkLFc0jXz#Le+EO$xlE@g@cwio8FHg8JiV+p=m!@2EjTW3y%a zL^sxOd;#(1x)9aftZT7h_{mU%Ul2`&GnYg$Umme4dS?o5ST6{#XT4gkthk$a=AqjiMlIXHmYAyYF#GPa|mb z02}G9*Afsi0Ptb~UTo_J6xh%lXJx?3$&m~d7=XVUXhuVXZ+oDvfERLBOm;(#)o^@h zoUP>qK!qwGLn0sG-*WeXiKjCG%-hcH1_qWK-eIybGQG^7TIlNyU;4V$u0vdR##S&V zox2j~Tns&|&7)->j}%8nV((JT2b}3gJ~R?wpNT{WToaoilnvXM&&1r_6D=PoeBq!> z$=PBwW9Luz2c&LA@dSwLfMfdg?;{xKdQZ>O*3sq7(*iIi&?DqU@|ydf#iUU7VF0}4 zd~Dp@Y9dD94=1T z{R1=jGemOG(ZE+c-j|osowNee{Z~DIKygQW5B2}jc{&}k@LX}W;h;EK%DTkiHEUG; zcQj+lIN?;`7h=4QwGsO^GQ+>Dd&rrW$cQ-z_h5g%0--<#o7`Ka#;bYHh>uUy>Zw z==g@liJ0^3y86S5WifUK_Dk#5-l-4Lbes65d7JxmJVr>7a&K)k7@iX6X|;}qJvl*7 zNSrj}|FD@cSu)SzebZM~rVh;bc3dBrn3&ku*y!l!_~oz~8yD9LKvOP`*3Zw+0cQW6 zuCBo1VJ|NZaijZ4Wdi-mdDOe8t~-Dm4V-+V@R9N_^XoH5OzF=D)MRmOTYD77GT!LH zV12{dzBDNoab8_@l!+N}-DdllDvBqf;T0-R1ew6Mp>+UYXBP9JjPOx27JsuTJBPr6 zMef*-*N0Gt!o<&&`7G?9??^h`ZE1n6YC>xMtJ(1zhk^tVt(;3mt_qWA%K1VUk{!~> z6`q_HV56sg*UPjjJGGhnLQmfrnvM(op%_YL;gaOJro??46T0Ey{Ddr{3X0V8W0otF zumMBiV7Bh{OP&5uQB9}DGa|W|ie>c9%>aw`!3A^AT_JA2vy0=+G6AxS$C3+^5CEX0 zkm6H*ZW7Ir`B1)0<^vT&tcT) zXrJJR7!b!%A)M)NWigUE6GzL>alu7sG zC|1h+neb%9t%==WoGtuMMf?8hZD4MZLwT{r{)^cEonE%8vh0s#BvWJ7?O-OSch`m! zHn7}LA$~E1t$g&?Fhl1|HY$7Y=ChX&l$a8F6#k;9(Du$WDUvyiPsR#%&i}W?L?v zhT%Sw_-i5rE^v5{Kp$`2d#;Znm*|dS18ja97TgB%F`qh}cW6 zVRU~&kq%)#C@(&p;g!@@YTAd&ORDW%wT+m{`9Cf&j#*kRr>T@XH%6yLv80yJC($X8 z4)%5Dfl$^MBnG5lThL-scu}>}>gXkR=0^?TYp)khJ#B%A&Asq4F&Z{BuS^Mjn=3Ac zm5}852b=pgsm>I!!li>V_Z4 zfvpG*5Hf~DJUzRg2>Jk-|4llFRs{#CSqyo&9^;F8!_M8J7wjVmOj}O1azs(#i_qh; z?E&H)zrC)Pc9TZG#A~>$aVzx7d)aPdkENn*#jG1iCwwwbN*OM+IK3#i=C?}47CoY~ z*3hGp9Vd~Zt~cl!nZ&;RTfGB8Q8=c07wZ7Tf&ve0ArLNk)gL{&IL1Sq^#3xwy*&C@Tt}8;6x^holrQZh^!*oW0+1hC7jteG0E7qHsyEaLXq z1R2kWFIw6DGT|3hQpA}e7qzNVZL#}>tV}pXWY{lpdNAgDg%@(sHt-q8qO)=RxC5x=yzWLZxV&O+ox&NU4xCco?t zXoWQ0hSW{d0=*0EbU#-1-sR#S0=)~LAXqv|kwK;wKMaZzqu31!(o3BS4!x{~YfV!8 zG}XfJs54jPh)$&H-)CBzQPXY*3ybz;Tr#(JY@g%FDlKTA_XJ8O%+O8SE0Qc6vbpc67yw49)vn_ zjP0fM3;jayyOanK!~2s4KAvMF4Ij=Uau;e%Kkp+d(sB|P<}bVQNV`0_bw9j5It@}z zQ{lM)dZ*`ey1M1q&5}O{u_O$&&zjeg>zZ)*v`^6sIGOK^h4)(}@d&Qi)fU!BcWs7S zW=b~B^f+vKgkB4|Z$*dm9bW-)niBrSScJw89;_ZZ0--8L+hnQI>Y2HH)HfYH#1w=1 z`>Ww$1*wF`LL>1*vuzJg3`_Y`A=cLB$>II4wEsAKuoP?zWl@)&`7%t-^Ng;X&Tpfo z-SY60=Xl8P+SSain2B}jZn4ugG{WGRx69hgVc7l*eJ&$r+4IYUKN7d_-p*yE%-|uI z24Ws;DsSb2Y2-gjbxJHeo9Ad9rYOLisff&dM0qHcoo>&0J70Gyfvwj7xt`eCDg#ZQ zBw!L-*B+}|Shx!7aNs^Hg$MD#oe7{lC^?=-6!_b&A&9CL!xLz#(~;2BGp2U^{nZ<$ zUbWHUmdkmwM1fkqz0zx2$MzSTNxRTI9@N%^C-}WVHCBd`5iRZG0Rnu-f-y4fx|+J( z7`psz)MbIc+^F_@<=)YJI*AiX``1|ElLpcbb`Nv4i?_9d`D2=u+saB&pO;FRV8#Q!#*acj?<#aQlN+!V?sNrJC*BQUe)8go?5c? zS|gh-^5Dc^t3eKF{<@x*5*1S=xHIh>LoTU>c6@3*C)^W7kGD!lLUd`Oz^ zh?{P`=P~H!h+-QGK0HV5>i_zMoCKWt==4{=BY4W>^y2#r2KZfJoM%u_-@u;^5W%CM z0YD$G5W5gUhFN_@F;Z+t<9;8mCmOPT26BJ!s2C6V*$$LNujZtwzUrnoNH5?9pnOzb zOY^|}E9v5Mf&Q+>ImI|P{CZEFi&N*jTDQbmipxwwLc-VGej=j_K2=}}YIpLPy51Sq zwcFnQ?B_EfuajCnHw}#ikJhxWc(f9fgL$*Ji@O6EIK2&5*5LEa>OI&qf0s-LNPIC1 z+jq53630Zq2n=LYcs{@qQpPs@D@~ykmlKZqjl(t}TZ=csz3HZVuJKhWQ^R-?%6M^Fx6I zIwB|0G1%sYPqJ<2d>-I0A>*~3)8c9U^EOBrYslkeWB%AGaeHei&}w!XRuw^u zG`^=`6yzC=y_nf^ra`Ve;%4-$@-c2AAxQ{CC_J$#%3+h zlj~klXX5^N^4SulpkML)@#B-nzEjlAFTfH_cm+NB03%N;x3#6>UEtt}+{3K%bGbo~ z=I8@(f1+CeW})fSCo@jZ!TLIXlwR!}oQH&;$tLOVV&{uGc}PxwM*>?OuAPwybusTv z@sHb`&4=qDtm)PvuQ4dB;~36C3s^ycfAIwvB6c6a$_?Lkb?GZVQ$DZtr}-r4C@c(T z=s;jD;QG4D=FJ;|-2>#1fz%U|(cU>mzJDx30 z0r4}B8K49x0a&^D(D?NCbn_5CfC_zcWCU9d;*?)E`t=BoW__1{YyfL z>(H`M5@5*qFWHJx;UBKYwrc*tJ*qsdc!$t}58D0BA4>qUC8aIdokQ&@eOTUsT%`Ww zFo&Fz+I)D6)AU-O9d=OxOp61kj*Qjm94ni`Yn_%1Yw<^@r8VFARzC*6Go4Z-gzNhu zdxE&aR+moCwcLT%43Or3W3wVTqJ-7pzTMufOd?TNI=?C_b zARi^>yw(<9GXePH$lY@vAwm!r%IbvtILlYBP+xnCkc3gOA}{$t&boK6c6~y&^!C)F z8qO$r?v5dZCvDGx^<72mLNwaYll*}NI$+)}5Xep$KK~4q3`Ee8$RQ^a1D$FbaiqvGFqPc<)TR%o)o?&K6`L965?ojpZc0Xe^>7rla;X!y!(!j-|_KHMgF3P$C%e}B1jRucWB%*;ilRT?=Z72JUSFA3Jz z^eo#+4d>hOYhzz>_@Wdr5T1tWUA4%Q;*C!N z4)ds3)#FLyI(>27$wUl;`ol@=!}*UZBA#Z0v+g(^fX|I@?y|BuZ}a=V{CgtM9RF|2 zzdBF5nz+-S^&XD@tdbNhUyKTlc=;;suuKZWa2E5Qg6vF_PEC&Y1C=(?E&5WLNqY3F z?Y?nCW^R+TWhvi67t55o1tewZcCC-8FrjJApv}|`;Sz&VYOBccAEoYp4DM%6Q$PK= z*&t-}tQk^y*`7}Ui4WcX`Ud)NGP3W9AnQJ8rpdI_E;qQ!bLkb3D_77yW2kxDb7z!R zKr-;Zo#`61ARgQ$Ed7&P$+P}RcK=C63!7p)zg2RDaK>2cx8ZX0gM~jhYOEi`n<|cS zd6R;hq%o@Kdo?p+%)jO5I{VV9Agtz0Ypxo)j}q&Wa4J1M9%5PxYk7v5Dq-^JE}@`+ zj^K{=Yz4F#)Wqq1cCg%e{c%Un5o&1De-3N7ZJpiDq1=OwAI(9_&gU3`9oP-5@xNRv zU@)qMz#0{Q#wvPt?1jjgX*DNeRW8Qlbmi3^90ohJOhlqIfg+)#L-cc7dlFm9rNT(`N>(k2^tJ;iU4y>uPScKEC?A&+*o%h0bP2pO`*YQ^K9f9^V3o zRy-`7NY*5o9kH$ZwZ9Q5L3xdQvmo@X5#;sh%gp0YWp>P~yKJsIn#|R7YIzi+V^*5r zWtwxr>VwnDSLjDk4c{ld#oxYE`r`uLD~H{Jr-b$C7Y0pwb7a|>#AO=wRtFCsUfFW< z|JT~-yO0dv0swf25=4-$_kx!3l+W=evaA1qK#ip4oi986le1ou9>EUiT&{jNjOf{4 zPd`>nu;v5 zL%u8cBPrYkzYP0bR)cjrvs%B++kQ3;IRFlTlWhOI5r&;sr z+`=%^SBy6|^0I%T#nuCoM&auqUomDu(XZ)H@VjYoT~9~BY{KAK3s%xmN*!zA@Xb)QNGX5{49u&Q| z9khxtB_78IlLXBHp=CehteHUXosLdeA)#jBTkzF&ns5#fbS%#7o4io&W_}1x?nX6% z$A#&N|MnL^XtBQdXKP*F+I?%65|1q=>wu4({>p%7n`)bq42K@$Gl^5GA`cH2KmYfL z_TZpl!*xaMU&cRLeIFjEtBMsqRHfp;V#y;`p*})L{e6Ze{hNP8Ud{P=FefwGnSLiq zR5J#l@k+*KM$Y%88W=5=$F_UeEBNYM2KGzSIIe?v#I!<&REXO%gJGv_+#gAxcXG5uTp~2{*DeiDIfB{L zpRZJG-8K#~^a4?5*JV!m0aN*Yas3|YA(3<4$%nfCtwL1Smaqb~01D}FSs6K0>3|n= zymy-xV6ggcBOx2v)5L%ar*d0x(s;0rO zbZ*K&{oHC#X^Yb%a?(gST$C(dw5;4)yHinM%(R+MX8>)9qry3TrgTBJtDnRO32`_5 z^H0c_isTb#8rDwFWoybFl#aDlX1(F@<6kr5AbUMKJpz(F5Q!?1FoSxr`){y>8Lpp6XptnegomagN$|?;`1|Mg zA+!%Y{KuOpsb7%zSXpNR=4{bVzmlBebgTu5sP2kQ&*x|rD%6gvwEpx1b(jhWuN)}k zTd>z)1jEmlPoBcpK@X6nz4Lbyk+}=XeQ4md_p3z!!WO*+^?zgx>iocR?a1dYrPC0U zA$+f)H)!`M-t8lpr=0CbMq?M5%}R05dOew3m4CxC`^)D591Q_pH!S@lVts!XQHB3_ zwVGysy>~&<{hX{{E1m``iq6>tmnRkd>Amx9djaI3CKAHS`#^)q@7>?)bS2OEkFU3* z)AQGTc2YD>^&{_T@{0l<=mcNoe;ONbwYxS$+WtFyZ~iM`S9w0~%2&v|d^18GK(0oz zj{`VS?0-8^08p`yjVuW_KDdb8{`y@tfSB5d`bwQX%|>t=9Or@y;f;9G15}ZR;8R8a z^Ogk&a8n$U^FHi&fH;h^7OJ)_BR;VR^@xT!DwZYU0JNU7Go}c@x5Ftu|116m{+D;X z$lq)k3Jiah%%~x*u_M?tt&@}djq$+qWy4zlW#t2O(f?M~K@^I?U+vkyfr80h@*UU@ zXZc!Ac>yL4a?)x8=30zYV)c&jmr ze2-QYg8H8iI9Xr5QRAB@U}-d$-2LMscq#n9ZO3}M6`$%K!qPr0#IREsC!MRM!`$+P zet@UGbD}H{K35A3P4?j`Y1N0U&(v_KKLKjP{~5Uf`BzWmJDmN!RZ5`)i&39&L%!pr z{vTKK8&tJDh;ab-Lw6rjBH($kn1lns-vE%Y|B*QCdK|UxpQ3y&oWE~5u>Vf~(c=HB z+?iFhxBs^o1Q4(~5H86b9wZwe_4~J#Z{$2(x=$nn;_Sl)zMhmw!Vx|Pju+Uj>iT3) z05gOi=k0(cLKiu(-0#yC@aq{X@UO?${#Dff*M=WGVMBs5Yu+2|V_M){x9s4x_WuLV z{A+7m=_7Uj-!H%^bhoho>lgm(6$k^#ZwUY9>jVT!_zb=%YhZkC5(s{8xdWj5xBUxp z&dT;H{v~|?B?D~s9ynRSf5ce-GJ3!Bj6k*fWEUWd0D%ASe-J?b{Su&V7iCA>JHY|6 zd(R5U&ca#X9;I;shxPrZmuGzfp6UgU02zsUlLr1A#^!a}7+P3(;k8!nyge~2tgDjZ ztMC#77*#v%O2H17W;8%H`tOZ>(Ta|I@0|cin8I-lC*h>*cV?*czrHx`02}cYT(@_h z>Q}(?h!+EO;hGZZ{de562NUwP6cPPLYCG@~)KLa}z7z$-t^lv%jo_}RQ7%)G z;d26CPeUB4-?KeC?ePRoI$tgIe$EEC*{t^>@gn~HvaIFsuOfE;QYSBbP8Bq7lIpf{ zUP}=3^@|Ew@Xu=OSMWLj{8fA`FsSCJ(<{chPf2RmlTdHE_2xjpQbW-DbYxCT>x=7L zyi!o-p>^cww)e9e;iBgSDrD+BJ3v>mjl?Urqp?bS!Le-xNP!P@mNK*&lG`v9AYr=_I?EW&8W5_4|vU`u(OKHiCAza8XaZnIoM3<#;;)h=zT--vHk( zZ5MQ{4kaf$h)`U+KNZPuY%Pp+$@Lp@jn z5Yk6E?{LTDXsPg28A2aDF&gB|uKG&W`OFLQ>Xh#?T0klh797-O6ei`Ed~n1HaGfV2 zVAE5GK;m!S@OSf36_s!PUdWSYW_hOp_OSD^!-KC+>&?d<&1#4Bn{PGoJ4V%+>-E_+ zHD6r9Uc8!zW`ga~pa11B0NN__rptMogVEvNn-dilM?sc$c9DoT{dK4*;AMM3$F;uJ zlLA}Mm1d=Ip597{gqGlM8`Rv>?*sxfz&-Pl-}v- z@2mM2VhBc?lp+sV*YCG2Elxm6lpVGry~ul?Zs@Mf1uOCA zpmh;X5^GGrlrKVQwdh}q00laS_!|fQZg3MoL7hH~yvCgEk+5S5kda4Fe)-4cuTl9B zf-O7dKfkb!Bgeut3h>HOwvVN{vPILQYbC8cu{NL_x2tn5-=-bzs4izkDN8etvyjnp z;Mb%Z)zE8_ONot>yQ2O)QYqUK?#yg7`fgDKieBR~Mdb0Xh zcz>eQY_JY!lZJWhoS*+0j){o@Mx!yYu%rojoE)tW9v&WwN06l?Bw*h%RRwOm(R}h! z=J`HMo+R@&BOKr$7Ab&hXcGzpRk=Tj1kkbf2aJe195Gi;azei#cQ#)_#@)+WWvEHL zTQT27uB)Z>BJZhtza}>FCMc?>LM6A(;_GS-qJ1dlmpoz3X31=cFem#2uOWJNqPNTs z*3JCeu?JkT5W8(xe#Kkea(y_JZP6F~)hy#qgZ0begZOIu5bI{0u7x(&tL;;P6M^W`tB z8^~9JTYu1)4<#7&yN3%9)a3;K1e1cVMzn4E{Z?PI@H1?{KmsHvmaFL#sx*q*n`woy z%iS815g59w+!KyjSM+`IOhX7}Fif-5&gqo|dhr~oOJ*tnXZvlKT=+PydM!X^wH+z@ z(E*I}ayUGnJ>+IxezZ_d_{%#F{@$)1l;74lPK~E>t-Rg0av56Ae{j;6H*@SvUGT37 z%HjpLU&8!w*94YhYgxVpDsb+yaGiCtnqi^G)Y@#$aNHirj~43zlXeD@$lzp(yAo^9sjIgssAxSnjL z|Fem11Ip$-7Q%h{z7oMfOib(plA(vZa}!^dmN8NqE>@hwDp$dUB6wR&1#h3cu>FSo0bk z-}RSvyty@m(qU>L>$}J5+9M!&C0e~*P4JJX8qD$U4wiQ-rSWA#-oT)DbBXh(EO~>O z_4TlHhbUGmJ=bQZvP#|B1*2uj4R6cC*sdx9L1KedPj8{VNW02v@(`;|&dYJR1KC;aK&#r$XF9)+f&W0*|or{yQ=?^Z65 z9El9giM$fZ$3UCHk3A^~obo>wth*A_q8hDgAg{BU4Hh&VzfvuEN#K1sWUC-GKskIS zEvV9yAVZvzBOkLl6&AkvL6oPoI^8;2K?aN)tNK~$W%Q}5*ln(vvbOjk?zV!Je1O~x z%7=qC(aRnAx3p%*{UL3F61_Q>IUFWyc@Bn97x4Ayk!Q7dsyogh)T1&nR|6j~v_s)$ zZnW|gV2;6+?PRh366mjhhLq;>32fY+H!E;*9hwli-=1ptyZw);(3+(ym7K!AC__CK;7yaU17JUaE26#1@ML(`t z)rx8!@fQus5PpM1_eH;(F&8%J+i$>l1-!Ii6K?EWV%{w?!CeL3rzt;nrn>}{yGsUE zOal?W2eaTSH=&s%OZk$v(K9Equs=#V*LS#{k8l>U->s7;0{~J+W^zsIkpM{G^rZFG z6H_|i?SLDJZnebUu%ZB;kBiU`OSTf&gQE@2&7v3*qHg*&cvX{TiCtw7s5_mVF=|PQr!-IyR0)P=5ayn}EJ2+#{MSbE-nP|&r`!-XL8rM~ zibYhw-Jt#wueDn2QQhL`$ZecyNsu>X<;@)sm4v2CpU{YctPb;-hBS~BRuu6xhDZa@{UZgY~lSkLDH z+@wMRg7_KO6De)yLHZiaJK9)V;BE)@1ubgVR*1?F;2My(hez7fN>YGV%c&TjTspAa;T7 z2)Tj|6mfqpS$>rv<9?cP*y=!VG}OqS0LYFneV}Ck{fZX(PLhItg1&zkM1H6PX0M8r_LlzVj2anQoBE%c_Xt1pd3MzB>y#0QZX6U7b((N^5^>XK7~0rlyT%bgEp zTdghJRu`KM5cktba-FX(Q~+k4krwe7NICJYq+l6MNX&ID40N(IB(wkdgH&_6^)0B0 zfcc&22NS2BJ=h-X!T{cB_gCmPh~|22TlwT9)hdddtdFqv_U5u2pKW|@RL?tQ>QNEz zD+{SU3xM`cgvcpN)BYV~vGtPR$cJ=Ys9G`b0Zs;4jMhsrf=9%M(Bgi9s~Cni^U%?e z%GqjtSO77(r-xOMx_6NKFpvP*S-6xnOj~IYU%Mem31*zhH{f*8=$wz!opG7#n|#I6 zC0~2fLZSE(*RH?>8_tYhwIL^3`qxfieFqrDCdux%Z{JMPykY_PNb_Ws%`M>YHe}L0 ztbS<55IK+C^8VP-7l~LvThd{+Q{>mkM}oLoZEvI6P$fBTo_yRg;*Q6A&#%ENdp2)A zZB5jFxd&6u>|zcN?RDAK7b2xyUsn5?=LAj!pFRHzmdTyN^@NZYPhUv$TWkM>o{!cSTL)f>Q|eN z2Gkbc%-N&O`;jxlj9!SrXX?B;-FiZ@TL{oS38{0(5!SEx%#W;9iS;$udRiW;aWv^m$q^phso3%Vd zi!@!~t8A{2_?5?-{W;Whx?ZYkS*kQg`%ih+++OVWW8jVG!(G&I^T)f?Ej)to$%f}6 zyx#&uV)}9|>R@|mODfPKj)egk#T<u}6 za0nj)IsGVBviBz6S@us(P|pW9*Mf|X&}xWnrf(|OrNc~S>zGpQbN(M=Ul|lr*B~}qY(PimbU_*^d}SF=>eaG#}M1@As7PoH=RLyVLA1v zi!l;3BqXT%w{g^A4V^_P?>srPW^#$#6l&{FBIQbroG+{M{6{pE#?`r7tUXh-bAoo^ zY}9qsYq#NqZ^z8ZEs5?}fgk$`T)-SOUA?Rd$N(uI7|q+jCD(YIj}{%GpZL34Pa{s`C9501@9o|93FVHOC2hU$u9TJ7VT~|9*DRvY6zdCIQS@-mPE*4sLKT&8X zrpPDG=g^vz@1F?leq+r&2v2&;_EG*;nKM0YqeYVu9jP3=aiTXS*BNCag&O86#}RBb{oiHYAMf*#fffzEBT%FKK+;|F!-pz)3oK7wqdNu`je;TTx(v z3;e{Q$PT+_6+j7l6KyPSNO`>&VNRbB9R&_CqvSF0_Z%d!o#VkZrEzTE(Sv36J_lb* zrN%kr{LpA}X0F72*OixkjSr2@p~3Y2X7%IaNtSqQ@6H%VMK*nh&;Jal@39kvAxM`| zazn)Wduw|I!4K43<*r0CYK<4by(u$C-4CuprZGr9JvgB&zS!KvZrVS{|GLUFhHR%h9~eds zXRZakZHWzhD&tNcZ!~a$V|odvdw*XaNO-)lhF`9=x}U0 zc2s1Xg3V0;ou>XZ?*caO!(g@9^RD#sMNtR13vY}QsPZF~9!AHR+8Y2blP**{i5@5B zt1?(?HjdaGhLDw?lR^Hu&C!{@ETd+fzyDZ}T0(uO0$s672wV8!l$?sNRxiELY>B1q z7LQQ$AN{J%QNUdyKb9gxB^B?HLtMnOKciycH#yd7ngwOy+>Vfg_x7PQ#cj|z$A?9; zuk~J$qEL$2I)jUsNzyYo!=Rj5b@|iE~C`cAb_BL(gLxJhGsH&!x`Qu`> z=T??1YoQ$sk8?NUzNzr}uPm1*kTcU3R=j((>|cOU6%)Tm7(h4irO*-MP0ANHOqLB@ zwEH&JaO%!R(Zujj>PUm*Qki_NqqQJ9Tu(@km36Ou3&r|`!n9v;v|wupj70^ij9dKTamBR`bJbf_RbAKh4C z&bGTd5?!7x^}A^>71p1d#~}TT^#Kjz`N;k~cMwU!SHXQBMn*m3d-5OtXSjDFVDBj{#g>(@fHv`tpR3nwuQTFu<2*sq{g}n5A6*>e-}<|8OJ_` zW!49aW+&+Ne<0V&h#%7k;}y_=MU}(ZhG=;kAmz8pdECe^YriXqRe68Za2!F94Iw9? zs&lh?v%1`_dAcd{S1O;FZo)k-`#BqThlGeC8Igf;1zcq;ncYi4nW*A1!|D;|MQR`O ze!SqDp2);^UhG(nh|goN#_EIIQ*Vjh!+jZoxk4KdY2L~E2;gAX66Ph=LBv*%s$cXS z4{}`y(#SfsOJGIqI^psdt))-`@^t;-CbG5P6SUOGk zlK)kQwNn5QvW4^Gt#EE@HCk+>DpmP-ZdC6}pQ;yZ2Tl3bUG zH|bgX#~u{L8FxO*R_jv@wD!x0n~LhmhCrhFQtgo$NWWz-3fW(VEr{v z@1pR_Vu(aUy2&t#PISz>wvkddVOq_)yYAOU&Z0=5=fyro!v|}n8Le-fMBq#B!(1A{ z+@g8?A#5~gz#d{0!Eitu6YoZQ*$mb7{1UaB{_oB6JL~Hngz@(F%62+Q1T^ax8d*|G zN_JSuMLJxWB*HLaU|gV#b{QJ|7DA6E;3aWd_!WqgG)M@XvyL@-(*qgPzMzpsc@jpe z(*B_(ij>vvRO%eiwt*xCJiCUGmU5!$s`{x`{rl*>=xjL@m_blB!mW!6My9mC|`KC}e4zSuNrDlboYtGTy1DKzg1pu~CUUpdE z@JNg#5+Wouf3e=AlP8}v|%ZUBMgW+e(P62v0++N$TM$0K9;prC7Ab*voC0` zWs~*Q^_+i1-y>-l`J8RWZe?KAC)b$`2X7|pls_2*?|kk9Djr6FWv9Yr-zHnbV3$~z z_XXjiQw9%c_V#(ina$?AeNbQp6HD!_b;2yVxCpfNvYcIHd ztwVvV05&eIS72E(!TRUipZ4Z0Hm}6Frzkv#(?0ltPE_mEdZRQ>3Xmz!!$8fZpuX&Y ztr$l})=j)MG1bv=wr}H18_y3WBOeHp9Cj8L#`Xb){_r6HHik>29e`Lc6)ed^`A9e3 z(X}VzLXvv+U}EFxlbluHl`EtgOtH#$|8a`Q|}{; z0ruTQUCNjKcR7@ISbzoA*|o9lAK?H$FaY9?r1E+IV$#m=IMmD%Dr|?q-_CBydxe_O zE|(SJ?r3kV1LUW_v9G=><2{t=+yIlh_P8{Q08YC?;6R{FFT^OC0mCaqVP2tPaD8&g zm;tZE3pdTiHQg+ExO%uc-!XR2Kte?{4wvQntXa{)1j*E5)QUq~e^1S(mg7&Ww4J-L zxs8T_>#n2$n+E)N8v0cS;qX91c!Lcy^tef&a?Y!HRI%ys#xVpeVLfVck4WTnz4GJK zuM$;3hfJkTYlL&ycg$VOk?uFi@^ zbQE8x;~^i!qXAduqa}GCTwr=s0{*8bX#ytQI!NAPc`}F9vk2n9w1is-x|%@C3|#{w7kOez7%MVP;)Lg=P#p@brzSe(%9#32(hd=IZyi7}r!9Z$5xU&5&Q62Gx$70hYZmB9!M2swag zdq<=Lpd@FmkBNEBkUN`Qq7%GNvQLg>DtOPdU_?%)sRB536r6Y1{??;hGi8^Ue7^yn z{jzoEU?_pWC_V^}0l~3;IKbZJ5SeeSQ5t$|E>f11P@1&h^(iOf%goLHk4_x(SrM>v3DiCV=~Cb<1K;lc*tY3Z3|9M6NLlLcp}AUQ1^fhXS!5k%~f zE`(nMX}e!LpA(Un>fMq5WzuL=bh2@9vEk#)uqaS&YQ;(eaRC8PJ!%1}2N+JD*svEN zNLhk)sU9aRYk&`^I9lS2)RpOn4Hm&eeDQ@f=9S?8snAJp9Y+D*j&OfiKHt+0N4} z-HdeXm$yH70U`Vfl`LTxNT+f6U%#n@hLC^3!GMkS23ioC7M$0Q2V@N2kq#g=0F?;O z^`AZ^Cy3KXQS?8PhRS^9vKt<&s}Gln>`I%OF(nXvrTX{Tc9b3n zvHg9)O}d7+c>bLBglU|3bc6pk6LS6)fEDbZ(x^dnq>jtpHjQ>i1};tkuqksMm|hEWEQYvU&ryEPc!)zt+6yZ|AgsIaiGxVX5e=qG2B^=AKMfg+G8v46a?JC!yZ zya`8}^3drQj&V9D6BgZTW>as!MuPeBi%d&kJU}$M!Xy$jw|mD$jc|CQ%@H$*VSwxt ze}oFRqtt!ZVUuZ+wXo?swA(4_C`%pm^p)GpSGjs4u84H)QuuTzaUE2@;_rB z2+;vpwFCT2{EKxkK)6FMA-RACPDjzeIP6;?ea|BE>_m9J@%Du+YOD(flz)DZ@Hw2a zx<9;rD1{u`?zR~@)cXchNl3fd=BIxBi%T{mjs3^9>Ms%^vnT!)KubPovl&0jvw0`{ zle@Bb`kntO7`9!=3cy#5fysq_8AGOt@Ol;eymB(FitOK?{ zV;(X&@)Dv|gX+ntmw&e$W(`k-BnY|exulR{dVelXbA_uVglqbmzu4>BlU3S#27 ze<@u6;q;x{^8+te@-1*y2Ng}(0XxX%rheH+bhqP-ta`FE4IOcdC|K~^wk<&JeZ}%8O@QppN$m8(}rJ4Ob>tA_Z0oa@QGe-LRmq{`DqBfVV@cNG$6+m}; zAw!mg!RP)*r;8ehn?+3N3& zm$1YGRQW7r3VxjZ_4Z4m5Bn17weQP&e_nooLHLPfFymib*w4U!GONMv{d3CVOCtlG zY^4Vx>95u5rI9?q2Rc}{cIaOgZ6#pJ2C4ibv-O=507mvRUj3uC0<2_!7y5~17_ajm zLc#w3{-?EFU;PhuFR+~{W&D*y`$Mh$?;dev<2_HC{+@uT-L-2dJ4|L-r*7^8j#+|OSClf##th6iH>?hi2+9vIf@nGYMg#HFt8m)^HF79e%^?U}O=Prx?(lk|xRh6!zn9&@D) z*f$=J99mqCo32B#*%<&7$YWie^mSX(%{bYp(uWf<5mq?f16PdJe>JpP>45OW-TE!O z{L=3~fW$*i)9cVm|5rwR;1r&_XLpaSVd`Udeafc~KmPewk`2}(;!hVjyiH*(EM{{= zEGG_TZ<%Z`-(dy`spFn>+(5nk8@~g_893^e3VHrXmSIQ%Q4sPCO(dJ_bwZt(_1QBn z?>(r+W-X@W;g!c8Dc?o_ZwN$);P2IfdafZgV)S~a^Q~j|>xSj$r|X`c9#D4y4TL~q z9J14zbOxWU%%vrIAObH}QmWIa$v;!|HLa)i)!)~oi=WXNy2!}m6|fotTWvsnL}vfY zhOIkX1xvL!aVZ@)eYc;5si}4KL@N}UxR$oPjw!)DO)ExMI<{q0ck?`s0-2P2g4R1}z-DIH@fFnT1SGR-$@ zK_J(Y*N4L^^60PAB?kkzPIF|^7E^l40s~Jv-aMUnZv7kP2?8%X*aM=zt^m@^k_myW#L=N1Y+8|<0Ec=-b-Y>v(8i@&+hbT?ZSNAA`fwK>`^L(kI@&! zF1Kf!%#C{le3b$0e+Q8~oQ<>dF#Dc0G9ec&Pu#n z9=6AyA4#*h`u}ax55C8@e&YyWANdtWF|jFLDgKFcMyL{ZelElvUm&n`P4<_~nnBs`97JD5tPw6=Lu=R} z;mvg>^&&q5HMP7C9f{wI0BQn>8}4VCe;30ygmg*nY(DeH4LfAn@Zj)h_l2LQS2S37 zz#Y^+W?r}X?!9JE95vCQbl_B?L4j}qYL1cJ&#!%#b~e9^^1lqo4)`UF3hHpkdpE!m z?D!}tdlwn4sb2Zi7sL9WJ9C@qE}~3|)Hw}HIV(J_fP?dv>UZNCMZ>1o@$KekSmOTL;KD++X}7fYGlN#%77>$1<*B|?_A&SX zW04?-G!EU{zhA(oNb^xvanFo!u{N}WlT)r|yB8|8qVG1-e6Mzp z+_pZ=&Zi;^mh5)Y$Eip`m}k<6lG3jF>oV-kDtFkj)Ap31ApnuY#^)+?0K3GUZ|OL} zgQa?Vl|CTu8srgqxznXZh`ric-a7+V6hX>b{B+Y^*@0-9v)pw-M=aK4l)b$=z zcea#hPVJfEzQ){rhuaHf+3}*13LVeiVnNI;@)h%0O<(9`tAcRSi1^QKcTpaP+dVeV zgz^VufAk-UqUuJ?=h7guC)77q{3IBe`X)s~e7{$A4e8;FvggtW;^De&O}^$83=#!+ z7`qY3uU%fWe`n_I99F@qTW0%WHLLD7(&jp_h`LXu=d14<{+%!#fIM`Z0UJXZ@;6h7 z@{>tJWJ;o9lxmjG<*z>fEXdh)k9*E>q?hG*tRbdY7e`ywjk1eMwDL1Mvl>Xo-lHYv zzr|ePACAFHtAgCgLU*Q9p~W80O>8ovRV=t|P)z+0k@KoxK=yHssDTx*anS%e-~Ts? zuWs*rV^@lCKaV2LZ5)@r)NeQ1^5)Kzo)+uvsbrugB~e1I5V!^ZS;+v+Uz*jqa(h5E z=l1NQBTw=jo_Feho?lXH=aEj4Easw;7V4K$FuV8N1rs-P5$WdzTi!w!wi7tjy1L!B z!x5vb@BPG#2KE+DLZ~0dv^?upl}~MHuWR0OciVEV991y;bP=>~*>%;=X5bc+N&l?r zm^}=hG3-msz!zs1rW}f7j%QD><%wy0+<$8lcFFW9C(s!sF{g9TW8+diDkPN`7y`v3 zk3GQKmx72?=L$%y&y-bN9s`2PgY#3-<{gi8s%|#}Cmma0$Ui!;fA)Y6v@gkmgZ663%aP`*>X<48BQ?^?y7rZ{7Lpy!QTF8*!irAm;o6izrtC!&{t{L@NAPB~-p6EM&Zr(_;EdrubDsC=4+8Sn z`8d24@m`xAoz?@!vN!L73$V1KtyrozrDSFG(`*aK5Sx?1v2V3)FptZ9yl|a<(w&2w zhn>gVhWgU`^Bx+*PJFikX=Fyiie;EM@#%xC9pxZnAMtFtaK$U9eXmNF)r(3mT-091 zU1Q^cBNuCqDTEQO^HxP%$3K0F4fH8+9r)k+^d!|afI2Hx1yE@S11yU6HJ|UgL$Exq z_CDHa>+9>=+1Z(y9gby*zldQvU+%p~*l4!UJnc$6k;7T6-r8!j{9AH}H;MwmzXvwz z(|&4cgA0qJCm0;84Gn!8?m|WgA$9o$Z=+m*>RGw?q)>b4n_Z>9k1|WziqCxo%(r#? z-Gk2HgW4=yd7LS_q5vdga(RZ5V=E!d(Qyj46$ham%b#*+WZMmB)i2yy_Nng`aw;_;% zP)q+)^~!*#LuO3@-k{Z&42$HbD4hlmZg6!%>CwN8q~HavwTM<^^= zElp54=T^q%iOpjn<Tnf8~9NgdHWQK z`qor78!6ieHtB+o7ZqOg4C&jZ-Ju3dP8we|p>(7-wNlrGcfU@^=X}|C+>jK|z%O%? zo}*d;x18M!>ph*s=3BY}@<=b&G|uYkCv||0>@;6LX7&rTBsf6!6ZACaJs(Cz(+;!? z<*#|1Q`_fsoN+DYoc+NP(Uw zG^dO&H$N4I4n#eL>Qn5;-#w{b>gI-;gBb+!XP2A5V72!K9#;=V8v{ z+V#*8_p1sI$8kwPZrpxvKnDx}dC=RXf&e=P=;@fMaSmSBlNOJA^Q*gpLq7jDMeIwPz7uBKzR=PpYEnYjW@n}l z{0^TqMr%heFxF9yEi{9Hia`X;%1iIf-}yrXFKTdS$Dgk9R#KpoS}s$m-}j56Vy)8H zirS)x9H?$aNikJg0v3oO-P*K2;_e^dJRNzt0D&TueQ~*8Y)BOdz&a6HROEr~UaP-U zU2HqQ1@^e#iEWXvzv-b(;;?~qubm#@Yd5GPsCBoRFnQva4U-hx=#G3A9R8_c%$w_k z!{PUUnAjG`o|9aAd4$;nb*n8yw1lpAPKwUy{{T5OjCS%YResW8onwx3SSYYoY>ZCmCiPHlGCz7A$C z{H8htN0LzVTXY`#?98O!F_3F+1Kh@bJlD^=992L|JFcUfj9yfI4yb4U65@Z^nMWmn zFmbCDmBZok^t;#Iaxt8`XyWzo8?-EMaNn9xzg8+|-2huGSqJXxhp`sVLgpj_D)ibe zjy>I~=Cz;wwX=O^#SfRtFD++fZN%j|PdeHWS^(y7PZ%giKWYVhc`uKEWp=x@#6MmC zO)#OSmc0E_@|IO){J^K1r14Kfa<2VHzWZRoIhlR2s02f0U6j2g5UnT90 zmD7u_hfBU@3cN+Nq=8`i%Hm~{W>5r%4Yez((`N?6P6TrjY*cRw5@!5iUXG={z>0;S zVMXB01I09pKsnpc>~7$6W@J)*GNc;X)V>8hPA-mAKoRqP%K9cchdMq#7U!78^Df7t%YE%f`hZ z`Z4L&lQBF{w$I-HMgP!IxKxBPuX&Ua7JK zI}<5=TJmS7a(af}YP3SdHi@Qbs4v|1y?e@%Vvx>1rM^GP;1})Faq|J$_fD0<@~XYj zd$A|@17o=!|L|x2_`MwD?&!DdU;TOtCRVPLjIM=LrpJia^_;s$V^uqW9Gu6=e*`wV z)t~{hvbf>|j7I4Wf{toIQq3}9!Dq1y*1OLQ#m!_Dc1E*7v2sVku&{T-{nGtxd#cR$ z1_gV)ca@<?cBJ-6u2leHHl`BsEU!?7)9Yqa1W7DPz|&Wa)7?~T%N z^^B}qWxpj!r=kVyh=-_4+MBsCW1?rs3hDpSk@;XHnER$X%lJKO1p^I%lT3Mexzl+j zBtI6rWa1=2q-gp%OtWhw!Ej8k-wp@49J}m$`}icPP~!&&i*Cv(#y2pa5 z6~jl}<5;5ZB@JLX-IWfD6X9orM|}v8<#{E=djsWIza!(g1T=aR z`UmBM@=)GL2Fab)5aCfZh%&s9L?j0xk2orU2V2dF98#l`6BEX5CcB($9FUL>1S_K);)+m@-_bQWZqfFAxM4dkhXh~g_|hxQEh_mcxXdSi*joT<@zVBX&}G* zaP!CA<^n=z+c9-y;e%7z3@x=R0;e(6I~e?r<;B`f5RSnePo45)$z&y~F?QF=_~+@D z8)lUUbxYQ7APKU;->`r02DNS}1rc_%&2l;pYl&VQse+qxzW`B>5RY-zejRlUlQTeh zNo(h9x+zE!i0Qp#0$K$V|HkwHq(h*vB!Tqx25?iR(NreT33-dT4?dpjnEEt)Sr1Tl zh<&o??T1;~AaiUmjCrX@5G)pu$US#I**_rJ8yl@nM4)6BZ3@bZHror~gQ8lKR$LmT53 zl~L389#W&rO1c5vhl13@Pl~f=Q-4EaMT(rXdTF!kK!Z%W*TZG4mq|U$;%$P05K|e` z&pwRbZPC*mcO}Rc&N;S0^2jHDH06XyV{e!5o7$;X8U1&&ZAC&!8aw6^R#KlBW#35V&`#It$$dM> z$z3%N0^dsZm~&ZeXNl`AC1NM{m^ukD@)~+vKT7t}Ba0knZjz5MYbBT2OUU6XD10*M zNpxguwj)6gVy!h!0hc$VVtv&NW5LIzV2)y#*50Oa+Af9r5S?0x`Q(16;%CfHK<6W|BmkY?`=a*KTrSXw3C%Q)P+-)m93(D+ z-?%x!wU})jq9bp0h)N7ThM|?Fw`8RHh@rwukJ?m+?6A#gl^N8#i zE&wM?qG%PI@rQiO>u9D1c1C(*j>{^=%s0G+Y$$iTt<-XgC|3v?S}XDo7e?#W>EeB3 zo#~|yhu+)Z46%!1<6x@Lvc_y=HMFzq_6w`EdRR#UDF+cqDb3>BKB9n%BCYT0RMCyU z1x}VENMo1`mf9Opf#f>JTI2QghbNK=Y6-&KO2WI9F2DD8H4g3%p7EMuy=GdLsPrY;~#$E=E!v zH9fPKEaTmNfd*i&L5cDgznA}on;;Y$kljx@D#J^)9BLh#^WBR8*O_-=y1(hm!(Kq! z9Kt@~7iP+!L=oLvH7?yFpp2XUUD7&*wPurL0$M{HFO~Uv+Yo5@iR8Qc{vb`?9lqG8 zgZ21ERzU#ak>a=M)9n2|2d<|MiD3B5=Bxa2DRw&y-U_IGD_T80q$_CjTg)q{uIbp@6k#5nY*l<9{af(2`EtTZ0ih&b>ApltL%}81+s?> zaM>J59=mM_h$U#Leqs-DHV<4PQNm-#;#>iDMK&jWHfXR;V~iMN)4vuxy!{xvyP5Ti z-;q|uehOV}qJI!MscUBcUWH-bvm)2%0Y_&ag!n8&m^AmnSAUz)`-aj$0m*{=P6IYZ za?$N6;wd9vm&)Tt+Z&SQ&*cFFIP1lhP$88GLExNr7$ZS*dpMpM@sv2aJx1psFnxwF z+@QN~XS1r-kle%0G-~3sHwEh7DjR(?Se52iKB$VDD94?<+r(B|_U|+4AsbUz3kB7S zSzw)XtPyslJ(fP{7AwZU{g1v@3r`UYqb}k9KM*;1% z=QOjOGIODgE0Zg_SZCZ_{^^QDLMeGhK3^#kW%Q{0E}FGh#dsU!f`*8Sk=mM%;hOP@ z^`=oBx1Du#L%F=PtxT)=!jdeKtWzfZ*FL=WsJ@REn^bdh>sey=38NWI{i&7u*W~$+ zqlqvklgsJ-J?G?q+;&&P+v4f^T!rmaMG#xB!w;O0D$e6U8m&_;CnywT(285V3hputY`fLIwPU5OA*0_`O`o}p*=Rb!gq9{IExeQ4 zRJfjy6O=_-sL$70K(|uI#0vcJDqp{l1N$;^+O8@sm%QD1B&(ZgF@}ubP+PzWw=F*^ zG%u`nv%Tr0@}OtaZ^A5Ktr~KYbXKS|TUm_7xTN71>2If ziozw6wo1W;kq1VOmA>cVRBoLA_bUeZY4#Pf-!7whJM*ZQ5oPdQuMI!bcJ}m4 zaiI;&7Dmohd(XJkm$Ionc!?EzE_HKcs9RCg-S7*JUwe_oXOg&lf=R<~MKD2m@hE-e zx%!g%|98oZtY|VB!EDOfph0W{>BdTYl-feD&>r^_L_Dpn_jFnL3ej-@?%G3SLS+?7 z4QwYe=(=l74|H&YF1a}zLI~O(I|Yx=dX&8YGmRe4Pe;YP632XJ;<{&t5gwzzjHF$C zrOyj=b2o0-T)s=)ab_iO=+jap(DY!TwCN_m5*tiy;>#je35-ig>&rZpLiNg|qhcpL zk4a{2W$&DNyADp~l8Oj&k9vLq13Et z9U`TzZ9zNsT6Q795if^^wBm?}4gNlLUPH9v;sfH&3teo^UuNL08mR3h9~b?^u|tHG z-czt09GY(ePrm;pA9`DaLst36T|}DxPbKtkZw?>C_|=sZXq`5LTe!$e$0Fl<^5LX$>2c&b=A?$x$M+%zb+NEfpvAg=>IxnQt}VAEu@-C1 zG`dcp#u>9dBBTTEADP!0P$ZFyJ$5(RcHp%?B(4uYJ^d=CI!OXWDjxv!46U`<>??=m zHr%G@mXxxlWCpK;;RKVSpq=JE;{ay|3F#zVX&2a$^~ohRruEoD+MxsL`evWNn5s(O z-AFskUARFIpyYuTmZ=jKEUqDjN>(xOblt3^C0lY$L7etSv*z zad)Zc^{!rCm_x$m@Vz9*$b3Bwo#uM3SG>Pkhzl(5ejK5*aJ}uN&xggYbB(jpqct2v z{pLA?D@a+1`3BcpGl&a2==*fUyG_(QhO&O5vI#rNbNSh?15ZBIUiXxJ?*5TN$Y-@o z6mxx$3E5-An+cJ@AC8~#_hJ0brWE*Q@$#OrO9u3p5~XxjadT^eAAVc0*Gc-jRYXZl zVjCqlxqFKtRAL%WxnxB8;61``80LlLZ#iN-LPneO(FPZd$1;R7c;l-CQXfMzwP-*D72q4Qw(x!LEw zxAsw$5NFh3^W9toTpPl`ePJ!9iKHh07-f*Xa%xrp+>gDe8$`cP5s-- zGWR&sqByKZ9-D(=z;qUh64i8wUg3R_FS%Y4V@{(LWR|a812BC=Yhc<6VogL~m8?1o zv@a6{%B zs5VZVvvtwQgCap?ldk}~)A5L-Z4|=yJh8*kafYwKJUk>*G^%3tu zFW@q6Y$n2osRRy-e0gAVzq^t!U+sW0f}MB$i#W{eZq}FE5?&s+shwyXt_F1bFnNN5 z9JUO7$+X=l(6CguX=@9$rApH(f9A264E5TQ?NJ65{B6eiHgJKzT7VV8mK5L|;Jr$q zch6~iFCP+kYp~t(9p|#~^Xa*h(T46XI&(`)gJ*+W52*ZgDvPgfb({EJmyg#JC7yZt)5V&d!XZ2n>Mksvbw)IJA($Wl#1Op!y7jTgIn!p4Ile_R*T;` zRQvmv9eXQx&V;C^;rH5yjuy50ghjI}7!w_wJEFF#M#S&c2fw!US$B4S<~f{Z#h;Bw zplYNU;NgIV7y#7Wt&_kKh)6NYzT>$c;`W6BEUZ9i%2rS}x=W={#J4y*HT zSjvX&D-GJyucUsj*m}P13B?x&Ge20GO%qCDxkt3X<8nFfj%5KgAL{;V*UKI))!3uq zv90Z1*L3h+t)&3{0Q{B#+eFq4VW2SsB0+sV{l^YJ z-$?ooIubu4q@z1GO}*aM=&LHxFzj}Ydpv1BxajPhF?hmEjEhT=RBfN_qgUobVSG+W z3TZO$gFK$A3NodmrYzH{74hO_XBX|!bl%MZn33In{B`9}gHrjwQ>DV(ltb{~p-_nF zIJw!iTh4=LEm+6*LV{>k=(p8=cRSwx4{FC9LzA)GkMA&Vy>p zR^7(S4hQSVFl>N#3spvERKn7s12No{fB`lwrc>6gPAPT#NoXJ&c&odj7mp*c+F#+S zQ5N3abgLcc6r;k7sh{H5qg^4GKxJysC0Ty?gKH4f{jj=`46TZ{LLC068Qmn0=~#-@ zv;#*~qiC%RHn&FPmV4e^dQ`TA3tYnEtZJQoJ+`_CA96W*x2jB{MM4sdtbuq>E-8ZB zjmEfEZm=}tuwe6>mXAu_vI8>}Y?bz! z#&db1H$Xd_4VT}p6VRhth?o(BP4$q-GRCEP--|)28gdVA#nX}1c9l?cYr^pvxGB`< z?Dqty3?dvaI0J;hukvf10NK4AfwgT-A@(H1++-3{>Z<`Htv|7sQ zBTrlEijGf)PV<>5Mc;+gDOs(Oo_V@uc_srNx+M3iGre~Amb_D@oZ#X4IJU??4KjM= ze8zK+d=2Gw;Ujw8E=#ud6)=pPlf7Pczel*a&>jTg{(u#XGy=fn$$;hFUV!;G)yRDf z@2>O!`+*nxRP?PNaM0{0;bSxw7TP5SS+M$(SL!=Jp8~(lCkBWhGlX7jTccKn9|(eQ z*sXmEX>YZ?4g^GwUjzd$MmZSzGNZ-~tuh%PU{7V+n%GCX!qKATzQswY6R(^S&=TVa zS+John*{J*CNYt`1?#X?+0r+!+V-%Kzc!e-x=zk1yr>K8$+&^956;sX)09bkg8kv* zpL|kt6xsF^vCB346DlQN+Si@o)w``XhF@tX4xI6M8*TQJYo1q>tLxuR#fl_~VSjoH z>`o#I4 zaN5hljS%F=Hg+8@lnEXIZC}wk6C05d9757U-m9c@Pg|BN6<$I&MeuVtpFowwN&KjB zP#zD^tsGHCn#~i)OJFZ&GzbsZmt?B_-VHL z{w$wcUnF3YZ$g3r-4?T%AEePLT zZ1HH3Vf2XXmo3uP^p8VI(IOG2ZR>wd^w42o7CFM3(Z-|2*q5 zO)43>w@~w(({#<}QPXlTlWGb|^*`4yrFKX{4#i`^o>#Lq((b_{WR!#w#X*BajtN6F zm|K|iDdRJ?H56j}m=KR!B}9nfNkfeREef@$HC(|!S#efgp1dwj2K$1k2_4A@&>BYBMfuJ@9#vG=4YJh^5bhj zb~#KW1Ck{KKB!IRN|P`Y_p&lNo}T2>MG@Z`Ed6iG^*?+A+f~wy)XQCc&0(@rk|J~# zK2txVA|)#;jXtm3o_9|mVxZ|#fm>b4V=B!;ojn$ibGwN-)*QZECAH^yTy1H%$G%*Z zNY`tFfc^?P%3T{C4!%2?f!VWG%;YhpU7Y|2)i7{<{=0!hqgW6VV0`)jig6-_cTJP| zi0tzMpr<}*cQ0Q;F|6S^=cZBcQ0Br{dDv^h-+2vL9b>!W#oUdb$X$Bz$14Rw2VjwZ z(Xt1B3}2Gt5?~2=|BFu%9tAF;Tv<0WJ5n&V3pu)GhYMa}+xd1BRiGkxpR2ukeyp)m zY6Y$*O!>8_LZ%Ib6m-3mc`i31|0-&vjRYa){9u!`reeWu8m(p}Y zT9}}9l^s?=REYTSo;g;zxRfA-IyP%*@O!vHYe~*hTsPJ$&{WtNtn`eV&74V<{FB|U zf7%$!vp|BfND^&QC#-GOf@T_311ZRXA^^$8yf>(g|T zty!v>iCIOt??X7Po^N9{pB@2LFDIb1jlkovxF}6@oWr;;e&BjX=;3f3mWQzYkyso} zRYRN*`PMo*rJb?F)NucJ(#mbVeYClBB9O_Ys?6!4-@=}N zGWRJTZvzsXKUrwfvd-9@6JvlXM(h5+82bvSD7$WdMN|-B zXb_}JNr4!VWgFA1f(0JQ-+l828V7C7&`vX_`cu!-TU3U?)tCA z!llkU=bT+<$8YZkvgMlAe8TyX#`jlvgwOKvp18vQ!NzAAG;Z!sE*WnV(*F5b=kg;N zhwn7h>fEy4$R)shyouoCh#9Hd#FBz&6RTG8X>R;9F#pE$(pZa-oj3vW!P@3xhE80z zuJ$9VlG#?~+oCx36byUc3gnXL+o4($D>k-LE7}g;=H{D8mL_IfnxR|K`LZ4U-aLky z=40ENEk5f*j%+sX?Gsk=lJ1rr08{IAkK4VkFT&q4g}pGFjkKWpcHO~rzOQlPd$qQA zRht1yyXeRfKiH^;DME#`pS&rT1yoHWzovpW6D(Twp>CEW4>W_ri$X5WJyiLdX}7SC z0$YMN5%Fz0eMyvAEYBKq)1RS`_k;p8@)wzOzZrXT1wFyRGS-OhY%ASR zRiQ2L!+ZuEAy0VCqD~x^Bs;8>p_k4bLf4*0%xd-Ei>@f&NJJLL6T%zjJ?Z31#|p^Z z5#89rLro>64Aw@DU`LF!ymUUftE-405_L6ysaGA7K@=r$0WW7;o$7+aRFqhxXSAM9 zg-xn!{T=9OJI%bC*f>qoMRzp`^wf{bcX>oa+&A`opT1JHqaQFksVVz2L(VrSWKmHO z4%yR&!+sHmhTr$373>e`ZcQ8G9sG)5$8kH@+rv<}uAbVj_O|Fx{;|+53XEJ6zuHhq zgI#-yK5o1`=qYJ9c%TKplM6&~RPLx6=BBIT8KC^W3xN3HmGPzYQH?HvaSOv>6q4{! zY}8+Z56}?a!nTQ6IT;#r3L2N{vxuS?g%ip67hB zRVQxn#kcPx?7S!^wcq_JmDvAH?@H0sW$YD&au}!>LnVyVTPS2W+wOMnc_C-D=w z0XNgfCh&8J&t40|-^xPk7sFf{d0vVYh~G5INs{nk$j|yqK5_C-cSi-E2?KZN&Beli z3V(D9b>;Ssi~rPc>a*#h9Wu+9V}4hyEa~(d5L4fY0jE{)xMs{mKx}jkNgh#>qs^$; zNg%(bk3A#Dm%{3O3jqP?eH`3_E5%FOhm@%<-Ei=TmWVg+o9Qo8^Bn~@vkAXO217`6 zha{2B%Fpm9DD)K4dUsB?&znjEDv2mZY9;$mjB-W#y+7CF#k2B9Nu)zRt5_UlkEKTi zie4!$D~sOw-dB$_#^dew)}V52l23fD^C)bR;#be>b$6a}0RS{}vBR<}adPUV^^hi9+1@wpH&%iRK8JTeqPNls;qk0L?ZB84 zl)W#gFQ3CE`|0hQ*nhqG7tL=I>Ja;Bj>6a4&%GBesN3e(QoV~!C3IS;D}|>=LNR6E zV`fXz>KH9TFIPCOeMw<2L#E7X!J*;}(AX`7Bc&VNGoMtioV@Tb}!`xW00o3s9%|o?AVMI(%ON&QOk2 z(flI_0+r!uxJ;@imzi zUi9wF=jIsBa=^(8pwf@%v!Z{D&CtfdYC>xJ3JHSMR8-vAsrK~8#{#TucLfa)|d8Pomi3T?3_{0bJm@y!8?!d63NF&GCW0I$*I`#mqZKX(v0 z-tA2UuHZe%QJpfK z!HnE=epe|<4MX)kRkgK^?m{MfS}cC_!1hOmDAoi1VvZ2tK9M=#c)VrBGVW)4*A1uk z!XjMpF;kNX!FrFDCqHV|Fb>730NTqu73k*a@1;|{B|XybbbN#o6teeNW6I3{@1vz0 zAX-DASdZHmz#dDy0(Jn;wBXkG!x6s?{IB5I-^_mIcbMMulWg}QL&&($9YM{%s(@F` zfUez0c>u!2mS$tF?n)-1dl7(%yb7{>0|QVg)sHe5|2Gl;gEdqRgq8xT7^)0Ay(Y5J zB~E&eZ|`^dyghnZ01n-#SG6P)ng4ui7})Kkp|J~~tpGVf=m%=hzW*T6|FZ9>B>Ze1 z2K4h15%B``LXOd2jPAnL5$hr5P;v!fV@>Q&yu1`3syX`G-~8SQ!D<+I62G)OBLG%V zZ0xQ=-|;Qv0FhN**?cAYiylaT9-y+a&UHt-C?bc1a?6q4ywpbVR_l>X0GGynTnAB*`X0FMF4s0arZ z3#7c0s*CaO!^ZuZX=DD}5{zEuCOrH9LuYeA-T`i9B7iFXA7A|s#iX=<=wXcwkkGJ@ zV>?rW#_)p!QIZ4(yQFap3W>OC+}Y`)?5nD6Y^;XqY#vQI<=E;t;m2sughTex25{(q zvcF-y9t6zH`8N%w@LaqABN0#S7c&Mwajy~-tZp3jwv0F-4myQ6h;TQs>Hc1=G8bwz zOlLt$Q9J|iUBnP?JnJ9B)#8F?@KV@4DbDx*=E>bq-$1~5CJ#Zomlq=VaE&nSkH7j- zuHrM*;6w^V9L4Q_yoEnD?LUkHXbl{Y5Du`bRNIoQUJB}wjO-Bxq$h;7H1WK&3z3J< zadha!em6%<%1?-?)fBiuZW94q_z#BNUZ~+l;Q<8T(jq+`$^*HR7x4jxbp5X1*eG0K zJr5MuRSMhz#zE*y;=c+gw+r;t*ali;rnexhvzSCCP!#Y!AJp^txmT+~+*yCO>8pmm z8201!uCgd?CxvLtHTFB&OjL=$VC&~z+mqOR5d}_1Z6spB6w{=sqK-$Ox+mJC9!jew z(@I-f?l@H&^eLwbk$!xM7gH##k}LXVFsc zM+a#1e>+^uJ_;Fzf)rrZmRkCs?|m?FE}P>%%Ct6~CwOA2*a=`WB*F37(?d zP?kh|2yw;EJugk7DpV{dc`-RaEr3z3VIQ(+;du>AqbS~FZHQl`#2S4n|C)TWV6&;K zcF@vn(|#;gmnWP>HY7zS^~m?LoaXZ8VlV_n~qrAP%n0A8nswKMcEtG8r+2 zKXWC|FPVo5)i>5Q;$BT&FVC8!5GepRZ=l@&yyxJa1FePigsLbLu3K=7?1hsaxk*A6 zc=p?p>b+u6CtfE1Q~l^KC?pY!b@#+sHJif@+W>y-)3^Ley7E3fciKIqE9jXv5e9IM z9pLn&O7G9PV?SGx!g)#nj`l?oF>!hhQT;qcn>ubbKu!KbvLn2zf&O*^RTNSliv)Pz zrR4VVpzd0)LgB+L*lM}8Hwo|FMDJSB+EwD4K6!btY9|$0quYw>LYq`fK$6w$5QjsF ztIg|;L_NZg^Zn8$q25?bt%R1JTZk1irtMO08^Q@213Z;H&!-5PPkcJ+7d$fYOX zcl?CD@Hqb-=`MP?;vC9`l~}m^D`PanK2qmIs($@rud>^wyIif(vpvh~?8N&@gsBls%;lfTeCx6SRce_TFXz__-`Q%?1iBv^;AX;}6S1R?_GLg+48y@~+6U$3(U?a_kG`M=^V*N+kObTTGy%}& z6uJJWH~KGsNf=Y>CC$?ZZK#hX&TA%PZ*v=d`yl1laY^20ty`03aVT*80$cD)k)ljW z2I}OhGMqO9w1oIKVF*xWxz>Rd?W38<%bYmVCAMJEqzStxj?WxQ^GnB{kc|e7W&kf4 z4}zgaW8?{srI$H9<=Xcl!RMu99(0GR8lQkx6uc6UsraK~;1#RM;yB{nZ(y>}$;@AP z*oCxyBxArV`8C?hY@Aoi47V^Gi!mw3Y8vf#`=V88p1wEX0bGE$|LFphK0Dvn6yYbY zbMT1zvQZraeyk@KCXaW_QkX7&q^z(q|6b&fNp%=bXW%6^+7oX`k7Anq9lSODW!Ri= zn0g%{J0|d;_LMnyvsjEW^}z{rZnlukG4kvS!>c~GHU`pc|9_pBp(J%cK?89a5}I%2aABnHW4XmL!W`mff`e0 z4Be$_LsI}}xbwGLd7JPP7UTK;j~};JCtgtgKnwx1%`bnlml*5PX&k)R`LTx2#i> z0V6>v33}<021S#Uju!Tq6h}yTTs)UKeV)LEjU$t?Lu-o~B1KYaGblEDnVZgC`p~gb zuIbV*R#J}S$5a6e;pU5_gm4C0zh^}niGUIo7+vL?T$w!N>}oZ-TqM(UB)0&8K#s@2 zzq4ISv4=@*BAA|Wu=r`x0uej+c<5w9S*cZloPOm?@_sGotF}1HpXscgw{5FoCqwVhh)$RdKB}S5O|e6 z{~@dcLDW6yQ;k$nEACBhNM$qz<>Z0{-A-MU)oD`kUU|{^nGou{gnGn_wHKm8En^u> zU6jeJFuEA&vyl}C8Igs0yl2DGKnIz(31zXr1F5e3YK}wr96f=(m*bPuIz61CLSeGh z{3DYKL*YZh`qR$wr)waQZ#dVsgK(le4Fi1)0cD}E?4Cfvb&o@MN_?W?bLv+#$A_Lf zGmEXWiH9eV`!2m4FERyKp8xuMzW<>a`=JWp&nE!7+n;L*1aT4=J#t?)X*&8iQOMVC zegXP`_KH8F_oDE<33J!5^${X{e#^mjRMJ_XP?yuZ_f2c69?U%vg;bq(J@gz|Auvw| z-RF&C8G{!I`CuLfR(LiWepC8xX|#LSKySFc&`;)B-{dQ_V|j7GWMU4i$OBwGJRs^n z{`XG;ayc5`<0*^Urnb){1gpw_qCQ$oEvqXNXVay-#uZE1gcsh_8gzPo5z?p7jjQu%_Q@~6cPg7tf!CYv`=Z%A(OPs>9%yS6=n=^p14t5TRU z^NIr|R+ad*%m_O6lLz)8{fZl5KvPa0YLq=t9QZGhv+CGLJlw?%{ps z+~0bvuXn!4Q!DeSJQiMYd$6UT|D1ITGbygChzaLz{gccMgm3pR(Vfz^=Mf+1N!|#F z493{pPeT`jSo+h%BgRnapqtAzaoF#6 zGCOj<@3Eyo{5J)ZpT4JN&xOvR{mqz8o46*JY2-+?Fi!`YzHGjqV`uQ zvbZ>cYqi$4m9b^thKyH>^y@U24&>Uw0M~dQueboGjk=S6g`q$_?`g9*vD2vnyapuA==CWp{g#cg+c+|&NmnQ3oc$t8+*B+22mkq*HAov5RJv8Y@ zyKWK~sqY&qQz)=myL|Y&oM+_$DX;JmO*I}O^Fu%%G z^sNq*yt?M}P*3!g2F>wq8K--(l;soGLlRsbc{({3Vq+;{lffE; zS~OK5pe3iEE%S}Y#ORB5cv)0(;+{#SsD23-&%!ryJ98l1iueW;0RjE!?GT~@0vkoN zDP+B~^DF!ua$go5fyJ}?snT@xxHo`p^q3A6TUZlR9LyooRnYy#RC(H-f81W3Q_xxho_D^C&(saK@7P=i~z>-+3_s`}!K~ zay;nxk_=p3*kobA?XW6AYxEXlpfSd*Fp4YQa+=k41;>swJk>F`VVQvqFZ&=My&l>PL>8sI$_mMo<;$SS~i3|ymL zKt1r>^_+2mKN*2CSqG4W72bUWq+E^B`2v>rg65i=2-6Ry{D2H55OkqT6zMvM9Lw7< z4X6A&Er7px`;_W3Tr-pP2!MaL5uIM>b09xeU;cWz9|1mJM}WjH2c_L!`s!eU@iH?SL_BaBUf#|)C9Id;fS`niaxvFFmK z5g6W|KJVClF=Bv;LNW_WiN5-WYM|d+h-5iqesm-ZYu2Di6?c{aqIXN?ufT=*^e%K# znXW@4&;TG`zZQLmEjtIFpOyB-#YH?UAjh@=gF0dcT~Tos6eu8H;z_3b+qMJgoZ?r< ztsmKmi#2L=k>vp)WKT`B9$y1Mzf?u)4$T2&>f;cFhpyL%2I@iL3B>8({W@XiddibcRUzfT|lPppjgxmen$H8w0$( zKkKQOf_{3objudPV=a6FK2|-7j3u|>m89=jKrTM`P|5t2(Ddb7Q8Vv!a#(Rlj)P*~ z;=iiHICQtDChY};i{)zsklG2VfsU1}T!M}(t*D;<$9U~X$cl;UK`Hb(RdEtkNehss z{g5%`0x*eMu017%2Zh|gv-JWbBAyB`*cGM<18CLZCdSYLD%ScmRR#DLWMjx5kE#db zO#(c%Jid0&EH4UwShK-uj}Hjwv=epFDL~%-@x}K=?x$alo$`$2E7gm+($!3+da;c~ zm-<3KGx5NvO{x9UX8x2Vq-Z*Dg!NEJVEz)?=e}V@Hs_t)R0n;<3Ih&3oN{P@?+$-?;cOc2!)C%I7(d%N*mQxGwKPXzzS{a@la}i^rAF(+9_O?_ZK#5x*6E<{dZxJT&KH%dlggXxyjNNULr|+7f1~px+l#H{>)yB~PkV|-sTZpj*XJcS=L#TT zDt)!%v&e_w!@lf9kT3R5in4Q3>%P8>asD!rgR%?epC0$p_3m1~*lE^)vB$Kod6$Bu z32tc0EQ@^h5$ZE!fBf0y!z?ang*8@WxU)-N9=QHYk&T~vG5;g3_7mIMXQAwgY0qbA z@h-X%HCk@X)mUkvbrTs(*ov~h0AIF)*h{tzD5HG*`Medgb0U>bIMCqasM#9GPAqV_5|YLnst`{GFM2jxS`J*YN6Mc%#hBk;2xCRom>_7^ zs&vq$x|fK(7zn8sNA~vHv4uZ%I=Ay{%7l5aywzZH)o zhh>Do?QK2I4cm7{dDan~%0+KSyoXjhuTiEn7ZVndufOeAEGQ`uWyVTvWwu*ZtNv~IvDUdsn}UMe&h;K0H2f5UAVaf56j3T`)n`K+<2VXm~&k7Q#C2g zPD88A%=-0MCzGaoh>A5PX-Sbk131$JLVF%xTi=6;_}kD39Cq^UEhT>HyP@ZAqO*Ly zc!?yH5dAB5LKna8pbs6_!hT+={?0nn$Gc=Xr}i<qUi7ch?@9PZTa(%+0Y! z4RZ5}?mTOvtnV1w9S<}xafM2~iPP@a!YR!lRkUSF`*5P|8Aa6ekOU*HSce0uy5yp5 zcRuESCoYlEc7#%o#%-uwvns&K(6#3=f{jgO+H6$yH=~SP{uZTIRK~po<_$M$hpqlB zuEMd*qr@B!{!Yo>C|abRlkE{s^mEQki-ADGVHHVRE5w zoAzZ#k_f3wgmfbEXuFOOuQ1(_5^26h_2-DYv{4roQJoD2b?)5pJUIN_g4OW~e6$gX zTw(!VrGu#Q#HiIcReZVv^e+aniEzejnNF3R*B@V1PEd;z++C%XDl6x=es6L zDsuY=VgtXX#`|)~{aWbI^>=>+FzI-=J@;}k!hKKF_Yz>~$0^8l|6IeO4a5@nAWi;j z$hehe`)R0ua5LN6lKIufRXGWMRbzrV5cHfXAs;HSs8kZ51 z%gJN;&ptT8aF^JE#vqm*rE4YR`PhCCDIz^6n~84l<@O6M9QaR2O}2D_&@4B$YNOp( zaN%2%f6C?(C4jGwS0^>{q2~~eV(s#)AGUWuA=!}jhj2P(ve8=hAk6zbXB|ZvPZ}JT zhH;e1p(ZDWg-*$AuIlmeCOq$0S$}NnKK(!yEu2{;Nh)CV+N=`CTR@%LeXKgdj6V#- z8g^HUovK6%LRvOab!Du*ydhywyr`Y$xidUn6!KPd=wf5^-l$!bx0nC&tl!-=c5-*U zVRhNoVnL^k%x`lO{+(oW<<^V{OH z<;}MEHiPHY2r>nc zxIWL)xTzwU9vz=lpps_U@rwt>^Ua(n8#rNq>U?6WxfPjcu7{PZJ)@N~Y_B$>><;3T z9Jzh7(4<{yI8Dx5O^_7>h=!S9YNf@7xSxI9Z38yBkI-qcx}uQVNbd@#oZ}ygT{9-b zvuXym4e+wSl#;m`)0PY)1sNysHkQQ%W^rH6Ax|sIsBt|K)L4d048Wb>vUPibEpDfi z@;nqLBTZBhIytw#|EDa44x)`c0kLp{T4~W}jfZltbiXA&5U96vox@%$+Hih;ge+is z>`7!Gd3Zp`l3agFZtqD z)!q_oI~44VbTTuW#ZE z@}usRQrsra7N^lVsTS>4GTLu7wvf#w`oqvt-Rf|rmd*7%Xh8HR)gfrOMH}x zmaTJ426~&hY8mX^g825b?ESEB3?7{PS;wYb1^%^FdB^1(Ft2m*z4O_%Y14P92N+Q@ z{yD=ZIj3P#p9Io8NsE|dK*jtf>}b6P+mUOF!KfbVJ?<#Fy#``Ac_sR9)FmC@j%RDo zo-PKs20hrWvoV@ig-5~FAvMh`)B8=tY}VxkBS*DBE`F>aU&6v1=ao9QJa-uH>rgQ& zkNpqaq?xiG%^a9>9#A(Z^@Klh#XpqH;w`3gGGe5@pQH91oMn?NgZugjse?`0oR@`5 zEnVo|7dlgP?lIb!?e?;1RA5Eb7R>g_V?RFBE5Es>$XQ0s>&#F15~D&vCl@obN97Gj zS;TEOlqF5}ak0?tbe->u4%h9|(?&i-5wolOZW-h~ z$GAhL;)g`u@6*K3La{nfW#T3(0ogzDEVcEo&jd5*-Dz_m%C1}j3^F$zX;Oz*y(+%y zM{A2(#r5xKUL$wEW9eUCbEY+{T*Q&MBeAz(2kaKanbq^chcT_J&XUfBb$K{yTq?2= z8FAyqt<0lYKT_?Ws@%;6BtEGFLd#1sxuQkb$_Cy$mDR*vqyh{twe^VC)M-{Yiw44) z-D~;CiQ?i0eC{%wM^*c*Tr7w?uO6%{K8fMDt5(CB$@?3ef{<(ullG)Yh~H92Aq-3R zi4sd=0vw+s%*iy$$?EqH1cQV^MKCuJ=XQkXLM&Pvf$&cnDCo;J;Vzc$a3pXycxFyj zxgX=D6DJ9K%RHm56pxdk5zzRAz;3|@n;k&7nRF&Uf%SE0TOTx&8b{4)Cl<_7HRk)? z8>1xF)yaC6x2q)ID4~0{YmQy;J)@`SIgf?r^>%Sbuo;J$O?L=TP&%75$=B7im=t5u zmb$W~;MNRycZDP}noRUaCrk_eUOH(Lqe*m^2Rq2K)7Qc;bJyz7w{G8YQk;1v$Ncg; zXz0``E${aT*sS?*$7X!I_$(H7Td63>5?oVMhtpv-c{yTR6@K5bhikZ z`S7JoWTst>8~NM{uA1ZKIpeZVL1Tedom1)h| z72XHCHYJT0a?Ubtnfj6!El)Xo>t9np61!P$bcbCZP8cR}=PusMX6}0*_*_$Ye%w|i zeU84%q^{mlZN8U}<~enMk45V3=4k7=UbW0-PO1+d#bGCNC*Yu+v+^x_M5c1qOez&V zt{RcxfH?4m+LLJlkDawW-)9B!AnwukjW_0u*V&%&cz4(8U+?x0tXI^%P5{BK4z&4T zVTam{`|6e_S7vn=09IzV&{FPCRuFL6N}r%n?QyX&mK*qXV?nWO*GC8I2$>5M(fc6@ z&GH@#VsGLg@b-dT+@7V)T@OJjxAUUWEP3O>ujbf1+#K8C^c~DrxxIu*+}82=YAPv- z**>w$`-4V3O~R8DTc7krH>6!?TE3TNJz{&YXEJmS(bLvCgkNQr!E`#pVIS}U7UkIm z&iAXYG~6p0vS=%<*)HA`>P*Z!~@0ac_s6} z2daHh_f@Xwze{qr8+;Bc2p@>W_saU}TLABJtGh3&{3GhSCF4QnKA#=Is61X%3d75j z%K58yuGD8UpeC<^4P!f(zE2Gszae~bTFoAt_l=-zoP{Hm-mMtik&DAv!y;UHqEqQR z$+Ph20;9=U7Xcj&%ZA8bys>PO+P-oG5#AZV#+-+NPf6byUL;C}DL zErY;tsgsxvjQ%FzolZcOcoulWV=DCc&82>qxfBCB`yxM8jz+miU~1{nP-{Gvxv?%O zr&O&L%oZMgHwS5jq%RV$f&^D*Hr_SI2*1--r;r+|)BwT)wIigZ-7m?PpMc~)jq2bLDTT^6U?_%o!_N7P@2TYO zNgk~E`Wy!P8QW|e>Xg(GIq3KH37CL3q_8tmJx*z-Q0mi+uTB!XHz##8#R%K5-jSq) z0sRKw_s4DJA}U+yMX0$$aKbUG39YYA0=m5|10A_LaXzAMU8VJM zbUQ8|UEGC8dM+1945wQaQWt1;nCYsr!H*Ar4JBhqlq2$aEr80e>*gqsMLGa{S8zvB zC+6Ubb!HdRx`$K~Uuz^I8Ud)e;GFqQhv&7p!KD&9*~M4*C=j4GfXo0Y{7eiT`jQ@z zQ;3S~zKzqTT@6fYzFrWy5~7#IrC!|mqazU&WHT}J;TrSVATpyt<{!`Klunql@_J|b z%3olTin&3lw?KONzRG#2HE*mLY~GF0<*ExVjB3ZR78kNyKMAWg??gtU%zI)hhAm#^ zHRS7kST`V(_HYKbvNF{PvKE!;4a{+fgbUwTChvVz8S%Zj+Phu>E+bz-=yB{Y$k(m; zset(pM=0~u3>lSx`>8M8=e(jde4*XPV!2Vf@^5J_3k|2UOstfsRb+o{PZT%rG?DSL zJi+^E)Ua2z)$As~Yt3PqcSw(s`*iGM+mzi&)^A&@)P{;EnOvUa9~XAi4e2ukaf0zh zQ)LxgFoEy9mTEZd(^r8 zQUVE!^qxV-^(OT_)1Objzrkt+5GcI!ymgh8u0FezAL#!(e)K(7VJ_HqoM^t#@VVey z_o&}C1OVk(OH|mMkw^nyH}1d24`2{cJ`e^tT^~5tg+%!BF!ynF{Bz#?)V?Hyv}EP z=}hH%%~ShbL(ELiPQYh57Rq|{>o<*GHNtX`l0Fu5Y;t>1fl+PGq@Gib! zg4CeQCB^P?{BNq4^|?Em5;It5kz^Ev%pF(e7;8;#2wWqPxXA{Wg&j1U9m+Mae(=dRco7!E zGY8?ppw*qxox-)xK88dB>W`*YMeVMR$KAu8mVN*7PGby+m|oczC5Z?iJZKHXeW=y%nL^$@0FE_`kw-$GtHC{fh^@%geEse2pbrqSK*-2rDoa7Plkf4^6QkL{0G4GU9 zqiO_uR^9|#&BTh5rA`9ou;Q!dvBs+Q2AOzxZb%Ke$^)x|mb2DxW|`-^KUHduz_{76 zRHP17F6^beWkbikF46ZitNP@*I+8B6=*gXO-MqG>udmy9Bxl$p*|s{mlIAbI$W>zf z9+XLexoNrW0!H}c8_PGBtJ4w3-(s*JzoWR$J-8IzM_({v1@o@g|_bEm;lw)MkYgs&)E`!z8<;=lpz!J0pdvmNMBrqvW?hCirH+s~I%NuuKYgR^@#i zhTA57NehJ9jWKe_SRd9XY_kYO;~n^a!(PSY$=2bol~q{*@ENbUhr4qzX}PBp5UNy0 zD+2f!>m0o-GM)VE2%S^1xLb+~0g@qVekXQK{3p0C2q^xlV8~FVeFw^f>vVoGqwtf` zQfaFoYDKp2dMldoaSvz8pZnLyNE?LaR{FOWF_n8k_2yS?WL00MI*eO0=e$i4f*NB2 zhT!QZKWBb43{XzkTs=Sf2qpL!R&zhigEQqz2vJl&T&9)i>gAM6SUI5z`voeDlWVf$ zMUjt9-}pqj-*nzrbyd~^M_Zb{uF8IB1ElSTU+xckDO;@4d4+tI&J8}A7X!GLt!3TS zuX6~aQ6n-mGNxgSyBZ{AI@Ky34+cME8H%&TJl}LvToormPK4Kyxv4-+u0HZtnRed< z(mRRHzmTInhOVAsrujaWrX!N~r*d`d5lrszj!3xYz*?A7(pbM%bLRT8-4Y7TB>k|p zc9moDTBFdmL64?utEjSQ;ZUYhd)qY#kBR)J!0UNhwQk<%5QZEXvEoA#y&^U4f|m7K zCa|XtBkke@?is%rfnVk(z1rMvLCeAQh13rn+w^8ne+UbHU9tj$#~aGzfQmy^i@lOd z3*oeHdXJQ?b%lDHlhti!0-e`y}B?Y3BmpMCQ74_?JxIt<~$yfZPoahR~GHks4Mm-DEyTiXRU_3AbXImK&^8E^|zrhb(> zyAMzLu~}kCM#Wc9<`Tt`iQ;%WY(ocmJIW({r0I7DWl7&ZEd-G3(gWOg1lSKhqakN^ zwzHdZW@}tZN8lIpSgYCu+!clj^u;4^IRz{o*2cErP}#3vBvADka=xgM^)wba2_{+? zYrw18qc~(fCk_x$WxoLGqI^$=JG;=59AgJS7i8V5&bft)0mMoqLPryW2%e66WBsqo z4)7hXoysw=k79rlYtwP>`-5j&3bwT*bmeV%APd=ZeOe6(O3Z@Q3aI_0q7%CkU8)?n zu)$M|7|}u%R-@wVa(*%T_a|G6&!1jsI^<#)MyG@;p#4M@NJgwMRc*N^jQ<@$4P5~;kQ9&vuR<=rqErj956CSwGE+pj} z*}{k2g`qdob4?gvg^|fc7>@x2{u=LP$ua?8_x8()+dDwf6Z);<9#GmJ1(r^w_}L$B z&#z~3*hIO=Zz34W0%GvqL_qgiX~nw`eIH0r$oHh~a>hOw=I7ijpa{@s{yzY*CqNk& zl$8_90eBC0A_JiL02qJUrfconbAb!hAP_LUgc^~@Vanb@ zmyTiN9DYEHfF-)E=MTcpz1NU1`YLRj%^J9x$<}cT=fC-o;)uCS{*K-MT4z-S zy(OR$50L8bbq;$JfM8GpsDzVYrKSH9>;Lun*mywPn09Buf??nOLSWY}8 zHisCns=`9ME$zQ4h~8U^BwDPn-0o%li|MO^00oWxfOBx+gQfmyx%}%O96Wxh8;E*J zk361*cMWZK`uXj2&-Y{4S;BvYlt!f1IGGWqqkhIjb%rZ0uPp~VYLYqn)+hOI7ZWyoV)LIcDB049^qG8A!IULVSQ#Y|FwXp^xs$NPo_P#_YT zH~E-Q#|TqAr6{I4bcMs&0TjU)}^yX-Ws2}dS5oD=!3PxqB|A`6-z9xKa1rtuX% z+wayztG|JLfH6ZcH?0J~p6>u;>=_KP{TG_34)&L;Bx6SLhglOVN$) zt~puSk7Tu3H7qG!6~$(gLxt_*+9(pOwA(Dl;D=90z7G+to)h+**}W@}HKs)?%D2pT z=Ko+&3&0?19XO{#-6-67sw!PN=0_grCcm`tc-qFH5j9@xycSL)?zYc+F$BhY>xW&|1SS4p4BdGx19-yakCo_-^LL`3RhaXx!-o+Dr2269WeF zn021(*``)}n%{L!KQDcwMDOKi&s)Dmmq+G<@P-uFFUt7VgUO?u(HHE7w;MwUQG(%>#=f!D?EFreLnm5jlpY!+6x{ z#TM4YPn5G&932X z?O&x%9?!=&=S^`k$u%u34j^(syCI|yf~!f>Po}v4HfM}2MBO5rZEZT6EAOR2S9NZ6S-%t*{S2AE(ta}oZBY{h8M5EPuUSp_Q@^PL1 zJ42`PV`sZKnVM{2=nT=Jh{h;f9F?bX@qV~D)bojfvQF3mqLtxfP}ZZNWHgfeRg}v(cP?-Iod~^wb zkQ18KY$>rHro9(!Q7Q%vy!ZDk?`0P1qs2GCi+HbrC*_BAVb^@Qqb;>F*Kzt>?kZ?k zr2{0^nHZ&>Xqum;N`={8p#5sQPZ_L$-B;#w&vRPOYc@wv@i13c$bUVh?Has^$T;pe z3@GxTRNgCE*0<~o`sQ6)NiflxW*Q-nydoV%lN9Ag~-TR4b=abP*F0G(W3 zp#gjU2$nkve(%&6D^8ra94t0*yx~rlqER}nT`w~}Wg?Et@@;fLX5~$htiviUO=a8r z#9!GyqaLPHCmJ+(78ldzzz8tIkPP8s912|rJDaqux*yUedwtW)6yL>rQp(URNL@+F zJ#9^z>~t<(LFFN*8)0K9^0#rBf9vw*;NLH|{w%l7*2|r56KjLTd3g2hCC&s~s!Cvn zkjC2$Fh_@%NC4YA%HA?LK=LaBx@|gs%SLtC;yKJ?n~Rw}QX&l-$RHHD2OYe#%D%f? zodP$PDcjAej6R33(^CK@nd9?&(L6O8L*x70M>Uu(E2>ACDRjBnM02|D6WoY+pZ>fa zPl{HD>5*DgQ8YfP_AF$$UD+t8HFl=CnFZEYsOA48ovVarBU0~PT3;U!)TWcvTBJD@P(r5USD0P^G98DmemCKvz;};yu%EchZyRWh?Z&|CR#&D& z>+@2M7e0&eg)ba2lX^otS2d?zZXsMvIWaG7Ephsl)&2m*DaUC7mvP>lR?XOJw3{T0 z2?@1K!Or4fFXRD7@?v)=@lV?qWX{#CSmv?{pLN7ugr(LY2dvM#MvcwEaQkB4bXTQd zjq2tedBYE;v>P^yrMQN+*A30THoD{56^?4(mL0wLBIl?Uc;_<4l9+O%J9GNAQRq_PtkVThc6 zY+*e9zPM@)d+AHlE4g}6rfq3E9=Y%86#T;5^`~unz#G<~qj1zz-Rk1D3JL%Gy5=o< zP>4Lo#M*hHexdi+{H<@XsIm>->-c+q_n?zOE$iQ3x47hN9yGKmq?{=~#qdsAjuuhe z+umG~z}4c{R12J2Y|mvnDAmiY;W~#5eta*L?|Y#!nUDca4yn`NKwcuPd|qw%V|u*; zfOum}t17C8dh)Zd)qq@jkZhv;Y(1X2Byhoo(9=dpc>LnAW`AdriKA`iDt~NyH16PO z777C zqbk^CsluOu&xan;s0V`pT%7m3xw3 zj-)9BKIriSssAV2U)5V}BG)VptT(IsqjtyLy7Q71y#OTRZ+;bqr~-GDY`O5XHy2X* zD_piocbTk4!k^Uskgv?R-E*biT13e-LOubt=SUwU%>w(+6Z`v=-o3Xfz}v(2Ocq1| z6<)Mrin5Lj`odRj`a;Kp(LA9b{BD>hh1*=ytEc*9R{gZ+$!;!=^NSja$M7PM#e^gK z)gqX#!M!Y?($0&6HlGvBbb>Jz7RtM_CXGpfLRx?E2d^W>MAm3`m?`Ha*R&|LP{@4T z`1@47n!6L{ga8znqO}mPe%ub|>Pb&nR+2_~Z}{hW@D4%VYw+H+sVIQ#ohQsa8bHZ5 z2VmB(pe8duuz^%i8nIUC9ty##_HP+ABF8K^4~0l4#8t)UUnJD>ut0^pnO`3iiU8el zeIL9Z-M*^h$O1qKY|xgW;NLf%FqH;Bf0f9KRgBN{x@?`$4+&qrrc?s0-mDw=u8p+Z{r z1!V2*)p)xoN2|O>_gn=cZ8epTYomIxU5WQii7W@<>;$NFwc3^c$JbjxRoOjnpn{;J zG!oL?NavxE?(XjH5D=6UknZk7cO%FFq`On3q#GpE`ySNq_kI6+*IkQsmbf_YyZ4^i zvu8gu^Gy2QEvAD;HA4P+z1G3bdCU)i#U3`H;Y;J^C0RRmw)oi{+J>UQ!S*hb8;dGK zNZ{&?r3A2O1Bm57HaW&3Sd49-M#SbgGhXyX(x9s>w2-iz7Uq0BzI~lvluS`7*6T%v z#Mbu&uQHPMjKYLI4-IyQrut8r+263NKUu4B%m!xFdKPBS>TH&BoVZZm>*>RWlUhF) zV8wFHXW$=}IcM7%B$hP_A496tusKG`le(!0vN6l}isG-XFHI4jZB5)nhN~cLYC7Ezim!~>Bz@hQQY7IYAuP82l|rE{qujOfb>AuW+m{Y(x~~@n z;+0yewJkV8OftpmLNm|gB$Lx#Z>@ZnJ(%e#a$20|wSKRtMfwYH1r|CUt0W>jd8uOT zHBV}_X_swsLDHTy(fO?Ohc0*ES%$M%_KZm*CS0ta96E-lA^EXsI-g^z&tC-3(j+Jw zns}Bre~Q4>pdDd~kKmcUFwXX666c@bnF@WIQ)o7AQHsBvw1p`_!*W^^u1u2Uwp<4S zJVrRcRi8WAe8SOk%Cefry;43)-0}*z|8>J{f{S-^i$!wb8`O|zI;3~KZdq-LaP$p1 zX|~H3g)S5P1b@`el50|#e;vG8K!$ZFl)t0l9T?Nm7q47ldS#WOmjuaK$9&d6SQ7#N z@iv3}qKj{E8Ly1{QR$jEugtTz{TedNmbj^VPvuALqm&nk8!_(4cUlc|805Zg+4!+w z3+t{)NLPW$RNVDsPWG2#NKx41eu#c;#kO*Z+v2QNdeUf=8crD@gaWzg_9{jcwbLeQ zxE&Tuiz^{4DCm*8@hAze$8OvLPmZ}>D-$Sqr~6m}tL>Vum_j;Wum@@O4fB7i|Kn20 zYc0>Vy^*7K$(@C*#L?%X^&|JD&WASi8$Y*i0~t-~vQ4zB@SZw=%i)w~rqOCknoa#A zZ^TDrq2kIYad=X3hdoZx9Bg#+<8h-;U)6bJo|GIHGBOFOC8_Og^^8Mr=19+Use#gS zTw-?fT%?s0xlH2aTRdY^{ly0J7PukueCLLsL)K|`v9bAL&@x}OHS|6Ntu+yAyqe`< zthx3x4qq8#awU9Fd=41>U*)m=SW4b$4yD0+s~nsS>E?T#qqg1GQ!~*Q;`iPUQYj>} zwCo;Jr$R5|m?^DYSQ|*L+)dIc;xvcvQ^1gtfKhqZFkkDe{bZn=R@P&8nkPxcw4m{6 zU+vie@jJ2fOhJs22p*>RdVt7`%0tYR4b8c-F0bIaFp9{`w(h-Z>4yjfR^1i5Z9(_i z6gPdEwW+7FW^;?_v7FCz{EFrii@JJj@AgK`D5eXRA+u@ri5d)=Gg@yssk{m^yz?`>n=;}(sB=;L<8d1zh`e&pZ$bTRPQ?7~| zaH$tEj_XvM5yJEO4ELUBw|Eb&D!{(r^(fcTgYXU$K0^Zu-tF;Q&8nmzZuerASJ=&1 z*Y&&1u)(2Wn`RfU05$VRUY3G@F`@%*@-IY(vyltLeLr&?JuP<1e$lrL-9T{|F`?N$ z^zy4b-f%h@pvVK6F@urtEmXS1`bXo6vetAjfojZY#^;IDE%Y0SItzCF_w*z=LwaHE zl^5m~PPw|&D#aQT!H#mn_?&)RyiJz`Vg)lDFFi|c%#fWpNuyo?*8t1FM z(NI;_aJp&U++yES>D6a}T95ouP_-4Sy5Xh{8G3Ivc(1NeY_EakykcDOb(<<2$TSCd z5r$>*sq<3Bb;BzfEOjyJyp8wjRR@myC%z@RvJUN_Ew!dZ?=$4#PMb2wQ%I&HCdsu5 zNPtt(-})i&kMTA!CL!3sRp};kGYw%K&(7DpzZpw)ssV{wD#K5rcDhI={QT31L^ z$~xk?^K6K$2C4 zTBb#X@;L6L3bVx#T)K_*Dhw9G=+nLXZ5#M*k$uP&Se3e*V`S}FjI-wnocPZn8e)SH zxZ$AL0)txW$|S4QAx|-ggJ{+OU<=}nX4Ho;y;y6ubog&rzh4! zz)9n`7ShG<-Ojy8&q9p$8@j;lR$+|ey1msBrpVcR|7ywFx{bI~Z=kWn70LWnUvJ5T zd?9YO$>aFL4((AAu5gr-(B;w-a>0%0^kAT`bqi34XGa6MB)O&Tct%bFlrsL*85abq zhp?cFG$P1KEPV1RcIJ?o_-1ogu9Ky)DPXnM%W?&dkH@oYAqe&eiUv}^=oicD*c6?9 zb!4{!eU=Io58ziR!Q@z2s&=fI3S6vbQEzXt_2-zt=D%{X5Q=Z z!NM1gB3ahVf2+JwIAA;0V84D$htqN;##|SoWu!5iv=ZwZe4z1(R(LemH9t&K1$)`L ztGMNIK2*HiI&M@obnF`l{wh@c<3{5OCECm)w|ZLGhSoKQ#%fQy5+SDlWI>ra86p|XOmMZd$o!V^y~&-zr428*IHBA6RWiCgsJG8d+D}VK zoN#L$;dA6Oi+r@Zx#95cZ2R3sjJGjnzKN8V>-c^|-;&^@a*&}!0PsLb+p!wc8JV6V@@&bcBI`Wg^Pr?NpyVRvb7Y+q4Z8Em+PJysRuTU7CQcFOl@PEPXRN z({^$sl}1qou5*VEb3`51b0AJ$QGT6gJXN&$PJCm|++<3gbx~}pynY``_LR=1Z1~5O z{YyAZhz~ZEeN!m0idIDh_vcgVL>V}BirIBfVz84BLNRW382$x4zsYJ0ip#cOwgjua)Ce@;_c1#L=r?eVOSg(Ii5m+;fZP}r6j}c z(U|Wnx5p0~?e_;M<{#w!fLhNgi^l&Vz|lfm&2GDswE@}lAWSVpC}O)d0(^{RKuOUw z-aYzd<1WFMHbNO#31>zXmc)tE+L}j%7%2gS7_{cKtW|(-0AUL`;-5e$N4hh+ToJYd zO8W70Mf7iE!bk!kWkEUv=m^v?Vi5ewUCDH-PKcUeLdIi3HAd13zX$&y7 zbTZWgm2#|r2kT1u2PS_A+%Vz?-b+~MS(ps8deM#mhj>DkPOShKO_ihw)NW>I!xai= z0noghlp{_F{U0nGO4rt&_T%G;h8T(e1yKL(d+@UT%q~o3*Je9%RJ6(%$jk~s$Gz)~ z>jYrh=gfLuJDHxVUK^<8SFAgKg3FacdV6$9@c>I!WCfbg#kd$yNa+pKA)OjtLkq+K z#*|-IO`U#QiJD(n+mUPUrh0>#S^AdTeoXzYvV4PH{r;xXeepZJOEmtUh(bT&J4MMG z?WCBlNCaV{*8q=O4%$YGH)q2vStCIvyfYq2W4*RYdyv|MDl; z3HscC;0~)IEC2=qC8$8q!}|)`6v`>^uOF26fNmLI&P|#j2cAxYRh0P*k!&b!yg9&^<*H z0F`YSLmK~2u%{ka?d6EDCv~JJ+v$#3g1)!`=eCv@rsOZ1Kv*#nRKp?6zI))}alb-k zD0D6o*IW8*+~TF*a|VGI+5ca8Awc!!w6UvUn!;!vE*Z&V*m##P>;Amfr>!f z%XJucTvaHC)4zW3(1Cc!zQ@XJrc|K##XQjCgz=uOZs-+L1r zaOz5yjvB=gBlk8<-v)jN*g8H?GF~+PV7mV!2u3KYne2GD9qb?ZJjB?}kH6}UaQ$lj zKVe6xRDsPXonG@hQU`qYK_ig@4)N1@AOS={sEzsGpaXNEBxea(CRx9umXy_lz$%S( zUjP3E7XL}&#!Cd)vw%9q+uTs%#i>S;7NCnD3CMMOc;kc6&%LrLC_TzC(5=-m;BHCN zz#IN|v7L>^$W_>#NjvA#^&uk=wZ23+2TI5PH70Fzpb*VsJ^ODU37Ycn0*iWk^5c5V zvDfIpsLtl}v4t4#<$BFJ5L_iBVt|sl3Ddwn)!nzXwIyuJjIz3T^g4GQQ0g4hmA0Rh0%NRVZ#*w6E7C`5JQNKLAY5y5S0izW8RtL{yMZ^X}SGpPnuDm=VwP{}$;xKA=vHo@iH8ts=>GINDL7DhUcvt@F zj(=vQ-viPirP~;Kx6~{Tbb+a#IsS12R@(DpD1&=-IN}joOTv^bpXHMLvBkPmd`43` zanKT@<1G*X|AF^<_<FK;y-FGvt*cXP&THEK$MxpkWj*h=hl=hTJ90=qEpkM%S0P7O9f&||Bv&OMse0hui zy=W*Lk!On)JFI%`Y-lYUqA>UAXS53mn!iK5hxqyOjaRK z#I&oPTlr$J*VhuEbax%Q2(2tYb*)SxjU!c>PM#pZ;8NE?dM8ox*Ty_PiQriLtv0vXm3pHZa7#emf()fP~eCmXI%Q|cnNiUm+w$wtf#qFy^ zvaJaurUH)I3TmgYeFNX&kw$~V;ccG==&}0f?9gJj8F%#8AMmy-w`|(<%^tfEy^~KR zxO&Yoo;}mEiK~-$wDa@2_-5>c^|9XPZTbB^{=#gtl`TwC*~f7=5ie?^y+}S~wjmij z$D0p9zeuq?ccgQ2N^t&#+q>K(+kVuq$eYz0@e5)?p%ASy8jKB?eRDX9`b`7v?Tg z;TZf|-WAJOUw}$i`PT{C>XIX4_!Q+-sz%J#*>+`hF{9(l^l78!(?RIn#_nXW(le5U?iG^F6#UgoKT7RXSA64n34bulW(X?T76Dw?SLkUcdAP zj&AJxAqxGW)&Q5bvCGint~6#TBTj@cBt)2rl8Tt%s4(5cnMVf;QaCH>G^G~M>NC_! zV>%9VbXAFihQS)Y3LRz(4X`)wB2ao}#ea1RS;#LemPnUB@e15TzgVv*^HQpi_0r{M9TVcviiNnQV^4^wE&|7QYy&4_%&XUf11rK%gUm|Ql@$((qvt?n8C~!paeuf zb2mfi^H}*0V`)5uKwLpA8HCA-59Q@eM9AHu%>ArJf&S+mbe-<=3lkPWA0)xLj(mCF zv4gyQ5(6oS=a=*glVUme8wAg13x&h+H1=0tsRL!IqE-F@0Q~0sUh(AuT6Sc?o4{3o)4jckB-hxN_E$)#~ijhiby61$jQ z)d0~K@iB){3?ZoJ87N$sQ2FYXseZ%=NW_LJKfOlW^;gOx4raD|3`m3Lnt&uTYJ(VqUJNNw8dB*w!ad*W#Of)EPrg z%R`heVw};lFDRHUv5_uV9#8nLxpDiY2(K>n{cTngjz(-N%T*Z=W}M3Rop`X_s$2vUCeLZ6Xp+*44}3?vcdp!)ZQIHVt^ARd-qfMUaGvee6|an&p8`cH3)AI<}6qjwr|A1Y+y1lgIm zFg!C6>0hXj1H)SG_0_))yo=G)Qf;_mueKx2|7!e zsWf`+@1;zw`~HWackVh2ymJT(R-K&3bI&{;rUgfDB+207!4I&Ww4@fzxgyq{s^CYA zsqDA2)T!nrSmMkLeTbV@c|1clS>84?{cZJ%LwIgO!J9f?R{kTB)jieKmR=E&K6%6U zckpLG)ba>B>mSeJ8!`}T!$U^iSaH=d{yqnk;+9!Jo+TJ#FLH*8g?oLut=@gs0%PBr z@7O9rR4C-gxkSrp1@)4JVOwFfxeG*cgOy7q_f`uyW@8WU*Y)t^nVd5;+`v#A94UL{ zY`UU+NQ;zNCj#Ke5@lg5n6Id}{zXZ1gFm1I#lge$^8VuB^z@!Bx>1yj{W!};vuo091w|?=( z*WGZ^DkRVNqT2o(bHcEKRO2~lp=XZ!KiR%Pu8x}8gWh#K&1k0pqbZKY5K9?7f{Pfm zNc62k;kWhUxg>dHufWJU?Qy9gYfbxV$azX*Y40bc%T45fZTXZ6IGU)bDp1R=KVJep zOr1c=869&93{e=O+e)^U*A4_D&ZUMu87dzh7DtsKOg4|XNA|IyTWQuCqOZ037%H7c zfHzdy=IbxGlEM!Ar9S4_EOAl^>d1hAxVAwiQ6aM*Ww@`O?#gM$^tiaV%&m)>OhpI2 z82feACnec24M9vDN+M>?)+YVawHm2oI74lr72*8#1W%pgZ%048f4BjVbKEr@|B0|4 z4|(_FzwTzB0dV(t;ql(j22r;A$97$=`8m)6zyc&73o9#{Bau_jQn^IW$)!%=?wFRf zFoLN;Mdqe(>je6&ZJOKkBq>9vd|hXSt%M_-I&?1FypD{pY9kf7M!^mAXmnVq=r^eA zu4QU6TC!U=&{8pnM_zFhRr6T=rNBG%Bdk~#(g^C@L21Whru|F~HNU`;&(C=VCEW=aT+h;QPDcYM}~#%RLC97I9pc3nndndS#17 zsQUBu#DSWc*JZx(lk=4vRa^~r=z(PN5b%&o&selK0ei=;w6InY69?|szt3Y-CP zc_8CqjULUnl?>ICm0iY0fh#?STmJn%PRKsBxo=^JlsBdL2L-wzdgLtJcZ-fbqeOFN z$Uh5tG{^NX!LJ)P7b-sN$w>}-4Nk}t16I-0N|mJ2H_G=Po%W-Gk_r3s(=<8BH}!4f zR%LOqdn5x{tk8=>R}8{88SCkwxNwz9>~L(mf@LjBb*2#;l$ueWM}eH#5TKsJvI=bn znbDUOY*)EW7d(G<-$6(uHe?OPRz1V1#mU`gKg~*=Ury533x0)#Rnkz^uTDL(fb5;~ zRLAx5w(5o>KCZ%y04{E?r8)_~RS!JJYq6g++LgL~`3Paw+ktggaZG5`U0N)&UeD6D zAb*qGphO-)r(x0WJ@00dE$wrplrj1y&RT@}ew%6h(9R|FZe4A+y;4isI-}EOP70Az z$9HO-E!!rnANDEcWV3rVIIxBm$ltmuw`mGW5^%rTl4+D!5rvc<^f%*iwt}a>s@fUV zcD(YN|MC^>e~OQ#CGR)C{CMLDaR9cq;I}Waidg_lM{g0@t9A^gD}begoFEGzRwExL zS6VD0c8qGT%XzqEWgFC;Iz>V5@S|9CxFK z-v-(<52~w0AM(3J0Z$?dSu0bqnI^whA9AK*PMJ!X)~}%qiXbZOx(@3Pz06?Ngd_>$@=V`qD@!CIh#&PkM-%Z~tE7KeX>swN&CkWyQ{3!)waWHCQjRBB zUV~~en2IGNlAGXVtl@u5WjTO zt%X(uD4Uhlg`w8W`r<3EUtC5uF;7gqX+D%>p4D;ObXp5Ro%0~+nMPdNwcA&8WAA7c9)V>bYH3A38kvf?B^de;5L=|H+zTj+ zW@cy8FZ(YjAw+1aH+9+SEZC!bx&w{=(uOUEqaSyH#xN9@%2qEL`3Pl!B+nU3DfkX) zKOVSlzkd|hA5+WNuQ{8jyZpD~-inS+))+u;M}<~4>71-F0WHz%hZBEmk6{$LEU*nC z2GElYXH%ws9^eg_d;!J9NQsBr9?&F#4Y@gh9{B#XVpA5tm=3rMR+OYuvQxW|C>$>r zDrn+zxPt}7AA4%_1&SlJKTZY0egK?G3B&F;&m_J492U4&{T!I}TZafp8MPofU7fgG zAJ12$cYy3Pj;o%Rc9*Kw8mProduQ6C1%L?(`d4_d!f=n`Fg6U&(P=QznEi;Vz1EpK zYc+=s$GsXT+s;;!esTJ7-Z$W#9nx}eIq-dePS|J$I-Uk%OJC@CjK0B@a!=#TS{oSc z54$4Lps`dx#Y=<3mFOyG8*YKT?_N7@uA2#c3^Ux`INLc++K#78a&(QJ>gmxORVu#h z-hSBRk0{AwA*bN)rrlPmVxiGe_9W+5Sb%gar%Sue*PIYR! zVIHPU4XkR}!m%AE^f#2SH*KAst}1ci%8zXjp|F@1F6{dI$rBfj_Dn}@ojwn_)Go$L zV}=@YS0VFJ%U$y(RR^LK)R;FYgm+n{$?d9<6uV6X`s-?L5<$VpraZM@_zai^<}EOu zx}{1mjWc=Hh~hUkf28=N2$iCiF>uY+Y(8Ib`zzDm@KGQ>W(olFe(dIgTur(kBOV;l z?=xs|HYaIvV&F1OMSLa<-I&2__I}27bKlV0Qn;H@Ur`hz^suU10IY0qLnizPdWC*=(U0w~5=ak&O zC8JLj81-$idOVoFKa^Cx1qw zS*@JREBSfNod>>7fZB8qa(=80d{~Y?+@9iOrzGT z?)Wf*lKoDDzXY!VaVIrd(nQ#_U8hfk z^N8!}qllsdR^?WjfUj%-A*auKjxpR`I|Aaq&o1_Pg`U$t4gO)By1V`_jm&yrl z)exDYr!-H>a4yVB71EZ>$aq7@VUx_~uD)rs5ZQ=CrR5>hX&;l25mC;^w7uMsL|Eqm z4lB~jFu!1kw+RoILuaw*!-8t(|Es6P|5OU7{TP-dX=HNsF)Ub1yj<@~&37m@zv4|h z;ttr1oDY9P$S{GjUSM$%B6=4jn%~lh3ZtGP|9U5s$QIth(R}61{6ZfiIdEa- zKIdN-;&aMRh022pYi2U+nsj3KbUDS7!zhMW-A=`=dLB%=)=TJKE{81W{hz(ei;>a^ z%BUbNFLg+)EJE@t5?#Wc;5{`>*~KcERyGIkU1?d^mE=>GmPNPpi}HWdV8HP#%Y8AD z+O(=FOH$|6t?LvDg?2PP0AT&hzH8$@2=E`chZqjG5bfz%WnQa#oQ}v&z7N-j*qRc& zMo(n@wYUVfglU3VX1uqh>N@i^No)f4BX*cICf24N_OH^&K0KJ-RH(_=UNv9(pU@v3 zjr+>T1E3I}ePZ!OvT9Y7y=?Av@T4Af2#z`X2k_)lEq>9-$C@qC#3=uwmgy0axDkO-fYrS)KRUd_E znu40B(C|9ai^g?ZvF%Rlnjghe?}PC{F4c61AlngiTM5e>sRMu$7)ySht|RYJzL908 z+E6N%C)vmzMvuJZA~Go4xu$ z^aE62o23!}zBGPpq9UVj{cNsM;Mt&M$kJkE>R8UVD^)hX3+LAK9&5dTjsEgZl3pSuV2wCg`eTvZ2^D(44|y|>VUpX8_FQu}!n zn);uwNLTFb)g*ghsqC4j7o{ZN!w0WnmiHqlTaOkTZqKO<1n}Cp?Lp{qasN4%E+U{! zU3^Gr6_aFQ!MgNwM4t#aD~(X&1j#!YtBu;uCqzc2>t%?DkSJ(2u0foVP>uGK2}=}^rp#4YMr zpk}=1a3k?hou-Irlkt0t5o|DnYc7vZ-JABeq%HSDf`R(Qd08Y@M_6{oJYrb!3CdR6 z%<0bw?KE)qCCV|`LPLGM02Wk>q;kw1_mTq1DPv=M)Z{pohL%IAo? zSVy-Q>W22IPrg^~e$<~L44Kz=Rf6W&O6n6?8&Q!6(xcD^TRNKaw;KQP)WH!Q4U_PsinD^9)4$k4>BgFf~~jix>;=yC5N z7tW+j8ui(`W%}+0TY3X^6Ir{=3rh(j8G%gC(>*gw&7y!O-Ja1ZRo#;2V09B}1$ zUF5&7Q?jyHDU2K^J%)rvvCf+%xi*x3q{riLafr721evd#6F=J(&2SZQ zkxdwPum=b&Iw+`P(^#&AI%cVE1nxD*N3Zgpg`!WTtw@Gd%;bI$m&v+ndi*JB?#qyM zSGY_@4}tx(yKNgr{Ht%*Wtzd>p;Z8vzZ>h>KZiw50Gx&aNz$Dx_Y#>8I_-6$cQ?Y0 zWP)SrtuAQgrS!&yY=+Jx7g;qaZBa@Ztek}D%*@R})$+nR;$;)3^F=F)=>eAe>pu2=zx3M8%5I(gRW-t)In_w30JPI`HIrDBHdl7dZm$ zAua1hFxaa~x0ROEcYdoCdrz+}5M^mobnJ9=btueLQW0?y=NqbS5+To+g@7Qnoqx$s zFH7X71eaB1PzZXl{^h|Jda#!)59!*zy$VEe+Cugn?`|kk=yO0M_3G|BU^ITrd&?M0?*#;x`4mu7>eHCXJyLzET_;f#+|ng_K_)8%%_L9rFEJmzR@R zTAIRCz5tfo<{15=IaAnUgSOSdkz}#ZaahBR@os-v?wq2CqA%#NwOwTE)k|3!ckBc3 z*J|~9q!w#I7G_-?GZdRQ%9s|dc4T^X^DdMv@Er-dpZ(IZKgj6l!r5kqXq0+@<33=U zW3|g0Y|Gs-K7-TbWvI#99t4^i)S1wYFPfqDz7#EO=oa0Bkf9#^llE{C5BMalhQs;> z8}hf(XtHgxZ;oL7Z9>?aj2jY&obSj1OGb*n@ShR!4A@1 zlL+J;ptJ$2F*T8~+4EMvm!OrF@>-jnmL_XT!j0nFn=HwUmVmI(Ff$_!l&vo5n9|=NHd!#}DV14Z0GU+VKD5xDmR))WQ2QZ&;xg3i)<}Ml-dHcMBI~G` z3Djk$^&%bh2H_S^q|PQ+U>H@%c(LKoGO%)TYNI3#{t&TSXl8tCrZKK6yW6+rT>d?S zmjHYiSTkI^@)pUWu8sy)AE!9jts|)pO~XoIn{3p*F~N=zBQ)_SF1~+se`zmzQkIE{ z?aawL0u1Ump*?&R_jW%&$bBCHyx-8!ueBF$tK9mU|BH&JmL<*suqgHAu$~*2ZPL8= zGt!$cK>*SWHN}6;H3{H9KJ)Ee#R#QxSX=gfo<*I33JqjQk&?3N3#vlNf|3>i$k|#V z*|%Eig|Axka-?2Mb;rkpq_4R!0CoX$D-Phrgz2|kXFp> zVqsBI`Wu19u=~Gh#ZJdq#G(k-;ZnTl@Gj22_w|*n55N6&X1Os;ao-{Y%811C68o}I@kli z8i&JSI1C#1HNB@CX4Wg=Zj0FHjT{j`R@>g772Q z=aNS0x77kqlGu6gg!Kf7(*lCE^oyO0iKKbZ-*gpXq)fBxsyJ|V?8(o5J1RpP;=&~N zN;LCMyQF!p@8w)QOdB9ns_=63(D&5yNnCJWcZyxWZX2Hi3!M8b3w`BW zUhc&O{dgXKdqU}{uKB?pMq!ZT;t+3Q`!N2ypOImi_v`7)dQ1OK+3;BBN~bi{i**!) zWb`+W9+pFoG|+wzqJY$>i**|w9Upk!WWDriMPTB}Jw0o#qtpz8n{)#WpR@kWU9Sr{T>WLjcP9d};6C6LicS|JmzAr-|Z^c4Cgl z#HJgbZLd_X%Wfw(eA-l!9ppWpD{7AORFrI{&WR9zB;qTrCR=|EheX8l&g7?MW<-?# z&Lk;Uc+sV#{}bjWdJoaUe$S(q>kA#I`=5T8y;!~*EB(1C{;6jYDF`AK{JlQ?8kD*c z$8c+`;&IzM7m?0kfxu$1rcg>`>er0m`E_KPU=IlY_7O{Jjp z@7DK3*GpuTQK}NVR48D$c59n6DmKF}6UuXQSAw^KZB61lTZp$#1Mje*iUwU|=BO@7 zpgSMx(e85Rk>0l8nM-23=fQd7uv_Wpiz(NmXj>pN@iAN^<|5zTzFHJ5UqTB;Z+-N@ zK-1{;NYuB|w|2Y9cSY`>7t0a$Ob2nF9D8WQqNZwSM;W*Xwh(K?5e#Xdo2f6kXfMfc zu)}){o7x#;ifz{os3KhsLM$p8UR>VB4Yp_{37>ZEs&#Qh#NP=u8)WaBX=fY!=Fx?~q6%qwna7TM zxT1S}in`UPy)yTW#ZyHyyza**X{S91sVjL{uleQX(w$$l4a8IlWL!t_!5iltPPo*r zy)FC^v48xk-|BT98h2L=%ERUbb|^f?d^PB`NQW@(gBDlwz%U5TsqG73(o|n-ZBw;` z0IFRL2SbDY9GNJo#K+ZrSUq&X(8N{j-4n6jLaB^<1hifAAQXA{y(Q;fZRL3kUZ!Eu%94^IcOxKPL)qD)?0e2Lw|3?2X*P< z#1tm^*k?dt@mqbmW)X7GSk^YaL5A3iCI6}v)QmAIKJxSO-kwJIyC{0F-yp8xL=GQ7 zuX)4-{wUvr!TWLsywA{|1cU6a0R$fR0p?R$)foFd$hoC1mVU* zeQAv!{~fP4FsSTgp@u&a`D2=GsosFvXR`|Rf7_=(P7GlZ169XK-2Xi7iwm$Uh6;x0 zh<|6q1Pp?St>C{8L+5!1OoHr!7WwZ>*?>VLugfw0e)`}4g)XvV#b;}l-%tBO09`NB zDh&3&Pk;DxryJnQES(?1(ErF0=EAt|U$TS#Gf)*>m;?l`{`&6+9kLJZLWvwltDXZK-izwkd|7B-Rw9w0ke#QgUGR}{eCk~E3QjxZ}cE&eTLGC+g^f-u@A0 zwFdBQ+e7U|_}|~w!V0X|gN!*I84}8WAF%BnQ{-A-2Y*}kAIh_$fbnaF2{HRSCaCbr zBcKY?8sGOv^)zIR@^4S3-iASLe2nwqo`?TPTA_gRYbL}A`Olb5fVXNcd%t`3XUr&p zQG1ZfpPV{Q=J3wF&1*vD~YDfXf`Aw}YUOXAJ?8xi2 zgYs8I58s-{1(eeZ((tiLZ+ zkTE*HtzMw}O5wZQNoS}~yl}0%r;_nsbt9yz_VDxa^gQ32DO>s+eY7IDx{9}9aQ7@Z zbs*BHHcrn#2*&5v?OhBmutb(r)!u&d69N7{6hooMBxjnliGEX#+DTOK{~bDdpek@Y zqhqyPZaZ*?U!2a;*ZHX&6*O5XMY!a_&WWB~t^vT|>MD<5i!r|67>Mp|>Y>RB{4lze z)r~(Zr%M&g5TB3Xj|g%@yPKlv&c#fN3V-(Uh_(;KS7rB)q_>`GYO4EXYE#dtF@)1MhIhRmAPgLXPoV5mxH3eH1awq?k_6!7m(s$p9h|Ihc-sFhkTs79Z=)Nqd z)Ligqat?_5UY1V1cY2;s&N6r$Das8&j~5W?N}vUD_#HQ2q5CSJu@b%U~)W0 z{)JB@K-MhN9=w@ktzIWHCt*Fm*U_36aT>!>h9UE@V#QYUB+7h3tZ;;#BxAT+O&JEg+9-xd z6^v3{_Bb=ay|3vvI~4AM7KSc$kl_887aG2(t+>*6UXC{RtY(YkIP9q;PA*z&kh=)7 z$xD;1zJUp(qR!ne7;9L;%=@3Eziu0wp^=?_8S>5`pw+*w03qdnRjPcbqjsF^XR z8MHE{k3MZ06~9d8sVu~~l&7>J8DX71#^fSzxYcR<;C(8-jH78WybnkTN4Ew_z7r83>q^!1y)Mm@7x>-!h%N9kDFHXIzS)Px!1|dp% zuh;7@wYdmxf(up(jV|2YF}&!DUcsDgsc`qD9|?&j>Qial2;A>j`m7X6V3BJ&qKNP8 zHee0Heyh)V?%p@CcV-L8mo|UIvHm)u>q~zYuj5O$Ok2}akx{mxH}u(yg)+_oRU=1P!x*x$=#+930iAT8Bx5+|j)AO?=0&jaMM zx7RnjQ4{ZkYCrGa-Ek}SMwkep+%mQGq24+@r;hx$>2cbE!<`J(g{{F=qh||~#k8i> zHr_Y%Or)h&m)+4v^en2QpW(=3VCsOV)i6+Htr`u+X@b(Cv43`%^T_JAUA*pfZ2TZy zadPC$9a)$bI6bu`5Q&eGIko=fsTP-2$Jj-2MCLtLEb3uBV$`EVj}(&$&G^wM=Q7yC z{;cG+V5_{k3vUi58tGZ_j#+?kpoKj-e2A=bTR_$|Iehsr{@zhSW4=juR9p?HoyPXKiy zeP8^?5q;3qEkwp*G|SR34$eerHfP1N3g*f2fXQ0>Ar*vDFcY|G9J$53Es2t*1>xg? zCB9tTNUv~LF58}y`J;M78s61c?z$#3B--%d@5xAcWnK47so5vDbUQkIU$?WTXDs&{ z6EJ?x0jO*vL`7%av3E8`&#R1-1*qp6_nS==FpY~Bl$!mM;wqOoz9;u_LSzh^#~I|^ z@E2(5%A|RE4(MYT^A22Y`|vtkVFN3m4(7+t6QRkL3Q_+NK~`7LtrN547J)o-;pPq9 z3)@49bc`W3hN`}1ca0Xf1Z_SFnlCdykFewxsVlKzUUlZp-K>_n2g(xODj!nnE=e`c z9%gnd6R=k{PkM&pCTi0Q)J;{agv`tIw7%v-^4o9dRSE{}yBJX9WcHl1eNQoeoI!lQ z$e3iG;Wi%)m%!uxJ%ntXjiGQqq;Gjk4#QI;N;wsG6l^)??c{e!Q?U&T%K-J3C!-6s z|2^yOG_#X9w>;#|iyx@Gy^h zc@kT01qr87I>w4$SilG@z>tA-FS{{0S424MjI!eV6t(j%MWtdvw?V2BD<|mPev<67 z9Ez&};?Lx-(-XA6EyJfCvAL5SrpKX_o{Bnf+ZiUvt5D2p4>!9Gq@46xk1QW_Oz?M~ zw-LS8zKe^i6wTH>CnwVwM1*UU1ROV8K?UD`JED&Q%@G*O(9lHf=wh*lF6gNrVCs zvsG+ntj9yBcCSV|YO1xZ*-c9vBlE@bLiFup#Gjs;IUT*&q%iLXT+AiFFKW;HSXB7m z)sKV2oeh1CZo<7e16H-DMMPBdRJdbm|vOZieLxz z&x=~q`~F@{pjXyMu$d2p|r!Xp@*qpJ`7TVH3=9h`0K<@bL{cWq= zPAZ!?!hFj(*p<&9G{)iRXwVSo{aG;QZLN82sgi%#wHyG!M9{Ywm69&9ZfJa())G}^ z>-KW}wUsR9wH5MG4a({wm$&du!~12lHeeDAp9~S~ppO4`YsTMu{GS8iJ-U_wkf*Im zR=l~FY2O*I68XYzZFMVYZb9}}Rrlk<@xiii-6iUgfr`CP zaAgHnR%6>j`+k@;a9)tE>X|MT!nmV9H_}}i zL=l?8zO+zo9BSfcflI1q{YA!r>>*Q$>$=1BBtid4z{fKy+(}!{@|e#waE%hcw$S|{ zZ~NbEQHYQsLgI0&06wZWi^u?Tz|5@Atwp_7%oB5W2eX(T25lQ5w`sIqcDyc_q03 zu9tUJo_Lr)ne*P`?x?P(z=Gv{3SXpo?E5AT*(`^u@je`N-ypiX){B2`rhmXkM%gbw zN7GuSTrPz26k%0WJ?C_50_ZgwxVJk6y+!_e{rV&3SLAO%uPI}i1G^9rt3xi{IXR;O zmpMe}A;iCD>dR=z@*ia45v(lWcN@fUxr-RF!1hCYjq}j~xPuwE+YMdQ0O-vy7~|iU ztsyMnuc7FM5iZPCQVNh)2Ox75P{iQ>A?&LIs%o~r6;bI_B!nX^(%lE88|iN81_6l! zqS7GUB`qD&-5>}^H;8n1*S8PhmFGV9{r)_#_w1Q9tLC?2Hk5%+T!JN6LIV}}aOjzx z%f|TA%O`htG+H)|Tjs|`E4Z{_0kP7$fB6>w|NT~JR#TQDYiU4bE(|vGAgja(ti;{v z0JxBBCgFT3;d~_FY-Fs|V$OMbGy~=DnW8EA0dk=8H3RPYuWr=q1sEFzOe>1> z{qhapE@N{Wg@Jl{2N`JhV2A#j^|CQ%FN7>!Y zM-6i^J^iY;cE339Y#P-S8$qpqWE~GweH?PJGH`KL9%G>5yV{kyQ8-gM5DTwG;udaanXnwb z`M`8~1O=n~?>SGN(`n7~InDN5jH&beQrimf#ni=_=7<%q0{X>(=Gg#rD$Cg&>_VWL z*cz`OwG4%Kk09?9#(F$wBBx<*_ShX*J1tV|@{LnH{1E`Er#UES?+h^}Q$3Yhw&Pnq zs5#)4h6sSL+W3rTRv+>lx>XfPhQ0|3#Uon~7X*RH&jcsFG4*-`Fp?yrZlF#SaIQzs zo+T%e+#J0706|E{(Y`sx)RhAtMdjA|G&PiiPsY!=Z{mBhJFN*6+nS5yuhP=X(L0i< zVs>EYP>|{gA>4DZG=VD)PpAzW4roJ`&1_$yBwkyzBZvM2%LyQ)yIp#AmS2-QC#XoX7p~jmJz= z0*Mx=8fK5}T!j02b4MpgD+#8?C%Mxe6yQ`g$sskbqe0$Dj_IP&a<6!TQY9J{y3$1W z9BfO*yb}wn$|=TjdzQKi7M#|F$2VkMnBjy}BCH8P+)D>8}=M0%qNz28<&Y5ti1 zNsl)2=w#h08}qk9FRyI<{G+Q)%YOic=oSS=uhozeClttP1c0pMWlOowC%f7s;!2^D zQaopG2jMWBCT1^t~p17bM?z4C1l!*)`zIv%Y9bo7FD~L^b`{ zIHg)X3|H$8NkjD=Kl6qS89dwW)vQc^l=2R7CwWZjMdj0j18IWJ-M#F+M&0dLxjFtZ zxSJ^tKcZO@rweDsc$!berbB@Gb~co2vd|u z3scIWS~g1+A!?cTicNSSBi{^8hk(Z*)g5*^B{@|gO+|bXsB`{>7QEt}xM$}&#^{ds zetzLu0N;a|X#-J*Xtk4HvE#2%C~T}6fPM}1YWc#l*>XMkkZ86Q2eOUr)0wzFqC)WBp<=IkG$7G969>_qxL}{eP=d zs{-}BBX|P3$^&ZfY3Tep`!7-th(12)-Hins!_oz-h0&?*n|0EKwiUM;!-ps>r%AF) zq*kl>yd7YBwU@LzZOlok(nxG3^DNAngGeN}vduw3T%X+b*feS+%@}7K{#zJIC*IW5 zhB;LzjcO$IJxVa1bosqQQ^QBswlp>j(7noAvg|jarw&~lr)am7#hatev&NVOgko(I z_?Yqt@ag&4$weMSI5(@*^(=p6b9#V$0GVi915HFhU7~Y9OfjGL*PK98w~w$YGc|_# z2uZva@$yQMoGSdJS3%!>K5FxYhv}#tnKSJ7{fpuedxEWX_s81;bTW3T!0i(fnjmFR zI6`OI9drB~&JJC=%;_vuYC1t*@!+=+^oj}CponiSY`&@wjl&TmI1F^OX;c~(A{QD& z40ubsjbG2bw}MEoy`Fd{Yo=K{W`|v@Sl9e`KF+`K8q>`e1!N#=RRQ+~rp5tmS0bPP zCZ2te*LO1Jk#NAOdL< zp%h)kg*Ht9Y2jV7R(+t!VwvI?GKclpHa{Q4jTI{76rp@it?i`G+^-4~zv3f~>Wf`nLnUAA(_`#_B2{wNkoKG%Y#J z6oOIm*@__Et{R)|#{(qv4r>9a4NAY5-OH8iqBdcw;kF={&OI^xWHCj#4x0nh*9R zWyZVO3)J-tO^e-*#uiyMlI{etT`S3nY8%QCjySRYBF^>Iv&!qJ!`e<4`I$?$KTS~Hl!uG*M-d2F+OS`H z6*rcX?%9)Q&2|l}X9I}h=BQKT{xvQ?`0@@!=|Csrfv?W09XZX#q#|oF+`8nMOGSw& z4$;qqW+J5+2cs3w&Rq0_%A?t=fQ^B5j7hUnXBv$KXMNv2I1x7Z+|MlILv?L$ivjPiW}kq><@z@kpet51 zXogT%)Ld(Zj;DPRRAesBG0dm#r6v!KHy42+@7@IE%bVAtpLKFr>18GQ4C30~V<}eD zWLJcrtRkerT7?tLSI_Tvus8M)gfASGSpYe!>!?jB)eganfj_$c))h zrm~mmabL5>H^#}eo@0?j1uv%SsfPhUsG8u-K)8I{ILE+Tylv%F+n=$6hYWTQM zfL;jpEd$KG)+g>2&!h7|;mk!zl@$kNOKBHPH4bZm2YufsQNmtJ#5NjFdT^LU?7d)o z5*cA*;uBWomJ27p1f&emz)rFkD^f)98|e$?m6r;R2t~h}a*(@l{Gp1V{hV@Hz2;5X z3qcmj&K&yzz2d^2_tG%53ukZF4m$LjaJSP$a#vcuA5Xj=aUK-R=>l zQbp$B;^wKO0Rk&%R!SIYLUjPVHeYPQ>beaWJ@8odf%e8^4E|d^GqZhg8Gw}6vaTW8 zZ@_pS2%3jY_)#XA&_x{=;VyCR9PCd>eSjf}-Vw^H3H_R3=jsu#KItCTl1R%!4}NLeC(6x!sXz+2{Zp=6fCqe1d*Kwu0(r47Bib$*^8oQo zlzE4RmH3G#*N)NPRC|TO!PG*MxoHIKNmI<*8LtT^>5sbTR?m}i;WBAb3z3wD6gW{$ z4E_75MvD(*rLFuqsC`OvM~g^5alnF%tv+mOSsc)yM4ijNd1F9l*rR}8=l%UN);D9; z=zIgB;T(n7te^D38DJj|b#etlCJZ%)6rXvo#7F+en#NecD;b1!yUb>+cCwR!={%k5 zRSGmk1wK)R9tsA`Lq0&p#WOkTs0$hP={9zOht$Qdx^xZ~%DHuM8w~DE4JC`_5H< zd;mLBZ>{4S$bu%vi0>0xKEDf?q=@+kki(y%`?MRMPFuF;&n9FruFV5IU><1GDB=FN z@??MsB#ZvY=yJZ3aB-AyQ7&AgdDN~5j^bz%q-xd>#aG+WV;}Ybo3h3o8tYEa>Dj{f zn7Y58&`c_^@w#Ve2N|{)tuNK5yccW-WrkrQU_9!54l`&X1vFlY2mB7%kMyd(I1IQr z+}wONx^YOzqEimGQra{Z0F}gWd3J_Kab#PfxPf8pwk*f*R8ZO2LCTQ4uhU@a+5v5v zm(6E{a^;<9g#k-Y9Laey@RfS8F>Gi}T@HlygACq_E7rUtR`w;R%iHprbZjiZ$8|BA zK{tL4a1k2V**WbU66|l)H4nwMiE7lSp|dFFHJfc?u5kvh>7hR#0?wb=%_8M6`-P(ntkKk^5E{zWYkf2%4(O+7r-0$>->Oio&{--O zm9{(fDqG|x>dpl$?{93u1~?&gliHPW1EnEITmQiu08|8;Cg6Mo;s10qb>INU^8mmn z{tLPWB>OLP!>t@x%K`@HI059)(&OE=!+3f4ubYFKy;Cp|@0G2%13Vc4x_3LH1Ih#c zBi}?D^*<;Abgo}K0ptdi)b!?1$wmt-Z)ZE*?q>MQe_Wy}L!;k{5U;44PX;~LEK7{S z+!{>{1$dbqQczjxu(v$0HWSM*46tVhCJ;iNjI>z6sm+fmQ?GM4DyW{r?eQ!fKPke$ z-mR-)*zny_cc&-Tw`i7`6^hEs%d4Hn<8t+WD?cL2Se{=uEH>rcWH*ZVVdb(IiR0K2 z$NaE?e?K-dz=E^rcPjqEOwO6)gd`;K^M!xDrb%97G`9JV1IP0xP8@X2iT4U064e8N z_cGAiw5-KV&W<-4ZQs-qy54F0v;?b5#ZK;2)qo*f+{|B44_-GsxHYf$b!sq(i;enj zQSy1Kpq_wPxFLz}=H{H4`$CGJDi-=Ak$K|j)XgS+FazlI&p z345B$6)cyElp)n`Epv{4daAw={yXgm5OYg7H`$d&bA%Ti2p!E0gOK&lBR-r2$4if4Kz zv-b^?UxQRsZhgy*b`OkMR=Gz}P#4xpH0l?ONj~iS&K`70p%3u_VV=RQ2;3)~E0VO zK>Tk2JE*ArYKM;nu61YVMY838U6F$~Lz6aOKdfA9H*?1X->;cPGi}`G83nm&I@W!& zbbW;uLzPV{EJCu2D064di55y}Y)QIZRc?hW<4-b^Qp9O3Hq2wI!E~$XPO|Hff&KwH zkC0zAO@z)wX!jnWDNuzpFTGCXqtr51P@fxnEN-dp`Pc@J{3w#vE+*r_d>nEPP8F+; zUE0zR?K9D=&2XPw+j!ja<1~&6;{p3hFXYVo@e~)_+WiG@*;w7!zJ&@;B(l1HBStev ziHqe+XB{Tv);2XItx#P>p*fxmHSO!S!+RlVjEzB#I6w7!G)>x`j0QjZK-0OvqlYEW zAE1$^d9Q&WGmRozGOCH-KN4}`U?tO0pI|m*czKNMb>?t4AhDg;uMQTp+1io4!21$S z{M_LcqP49&9~|cT>t3m?Z}MIA*wO)J_glmz@xDzBvoN}|Y$@f{;EN*pnnTvMkTom( z>z|v6XX`YR51^P7*?$V|kRfsxGu)>7rv9v?Rl*{AU0lrGF9}UxlN78bO!x|UqQBh4 z>N!u5t5=_5UNoE1cjf1}#_gGd%^$w=(|vSO{ercj6&zP?_~}a~vEZzkIyOiCA_)^2 zSyhp4F63 zwT<+cbI^|@Qho?JFKN1)aq$qVHX!lSab`1@V!;{S3+&)Dgcfx0zH2Vwc6|Yl&H2C+ zpqa{xbu;4Q&AP#Lb~iBBo$1>NQ*7_+zVB+Yo@I4Ptn?fk>6C;jhGXHRk}3y2A`i{T zNvK=KjZ2LVd4Mm3=gyuZ>07)E37J7s=FDbI>p9F+Rc4GUEs(C#7>bt3na$(W$+nUZ z4LA6mYP5X1FmhB}Fv`9DTg&n&N=NO{HaroHR7+BvCs{fSe*0ZH_)EJTRFaB5{Al(3;N zx^UNc&GVd{XM|;?#?)~GH(H)Mi&+Axvf*o(M2mdqOM$x!dTgq*#Wk_`gVR9(tz z^zfZLXR}zFdg8b5&v%K*Yi-|f=Zd{8N4`J5zt{G%OUN|U9xriitfh0MQD4eCVAbkk zp5m?1HpPipoA2r*7dPP2jo7w?`TK+b=>dz4#FlM;E6_S)xL74nSMf)zQtK8DrFq%c zsmw@%`=;%M>SDnmY9#VZ2DRSuuRRJ|N+(zl-bk`qvYVV)e)MdP^|n2#Yx9{OSywqH zw5?bkh%i3TjSg|zYi^D6Y%|XBa*Ul)Nev9(oi@Z)RK{+@}KNy&$NReuCwm`&yTO;qV-gIbSA z6$?J zBZ0>PW3IuB-l@x6zFG|;jqd)*&$85{%J#wsB}7fCw0d3S$A6kbHg`X@ON;_cZFH3vLzc^XP%BKn4G*Dq1vt=Nb52l*S~A{ zilBAuxgJSKe!s1f*s347khJXh?pg+?eU$&Z-UNK-r}Exqb6*NP1~WzV#8zhGHq?fs zOO)rplFCV0SikjIUZr%_ymb%D)T7c@q(SZZ>^5zV206N;dYtdnr|6iTuh?3t{w6WS z4M>}BfCG=tWJ)%N+OXrC8hI|gL)y=wpU)8(>Uyzk`b#*FSeZU^w&}4_tPRG;azk5% z>Q{UH4Mt-*IfdtaAv-bw233>0gQG>%H4xhl$4q15+d3>UWv(G4%rt)Baf1=d zmkhTKF!|On>A)2x1c4cM<>Sp2BD;o?wZVGgvL<;e=inekhrKDV+cR1pKQvSbEN$p}Va1$9 z0IDn2Dir*lnpb>OElDYr_o0;NGm*9*-=+@Oguar4&-MO_%Hu?4qQht!$+0(xvl<^t z50&$N=nt9rk#>Y+=GD+K7(AwuUr19@y#LtKg=F^Aa*kcGMYPSJK%-v$V58$x@gK_H z?#X_8Ctns83psWynxyJqWoVH(H-26EG^fK+_iXddK+dyuSqPBK%z7)C`Hov~9dH3< z{&PA66TLP1W(h<_NXr}+qhLDEZ00BICphIY(q%q-*7UPn1OFK^h9#+b@|(4I-j)nLmy6>?R~s>~~e+`yE!x)cGR@ zaI?G%gio5n@bZgJ>qh8Jw~R0c=hD~%jzu^rt9|aPZ=c>}ZYt5#4Cr4e^r)X=psq#i zvWDv{Eu4GC`x5l|`D_Y+-8&dfOyZ%fykNiW%`1rhN;$R+G=H-;XSUtlLH%PQxhi*= z<}}%c$L*MojSU|z2h?|q}kd)hsb zv->4IkEOEm6pLEqP%W%~%!ZB^ubWAbJ4!s%S(Hb1Iyn4#z>7wkJCn4+p8OnZyI!0f zWFj!Bvtn&HOqUiTS?D2tKlv`%Lj22p{M{`QF)PSBgpbb-oRq&dQRQ1Myv9mA{~VbW zyDj<1)hJajW%A`Z#XXgwmYGQ!-o6HZa*N`0Zrm28aM6<#orvfmLx!}P`-$1-%aP$J zavh%I~0H&g${}OHeJDW1@H!+fnnn_C5`s#(y zfgPtjT_D9W^+m#pYJ!r&iD5TO4SZa}iWP%$dMp!S)vuq;Cck>hKHr#ZW)|)yoCq{5p~oggH`>VJ*}!3BC$(4ak%P&3 zUFTPA`Y0{6Dsdx`t_&llUU9M2`q99>XiE*+*_dAp9op0K$=WHb)odNZq25|B<;&@` zCWa5o~Kx)2~=>mjc1#tlM-|%}iJu4V?DPZ+-cwCQz7P$zpfeS(3-{T{tMn znvPY=-~{fUEbMA4TA3gfRZBJlK7_=oef5zm4X2&jg%IBf&&g)33G>g<9;W5()e@jg zPq7DQ?qI~X3MfM;Bq}4i-|A<0)S^=J3cq9~gy+^F@8!q3r9Exgt*-3H1ajJ(_SrNP zEGCH6+tXx`OU6=TaGV!RAp$FMKUSq}A}AlnzasGakxn^~GC6VbU2wi=@?aBPQ6xId z?A1b$sW$z9prS->B~mV{6$`t*jOe~GhO))b3IRj=uNld`FO57)ne)o*dr9@^0 z1ovrL+X`8_1P4;>SaJPwzqqVpBWWrnSH^6}Jm~08s!0!4g^h&Bo4F*jrt8{G?))}- zyq;;!2)C9t5`u3wqLekRpaR0<$4-d6<4qo{ z#CO(WXY2yMHul@;&@7T#IFQ4yfotN7T}1)QxCTR_TKFGz@Ncm+(luln|iBDZy%Aw z(*1bzz6{Bq>J&-n=Zl<8CVM+Pa%SHGndQ%|8j&z_@y+_DXxiJ3B@xvoNhy`P*VO$-@4u+Ehq`f zn@~!DTD@38f}5{F=kQ;JU;k276*4ouxy4N_uKy*0^D$-DxZE?BBkw7Cw|=dIE}I zu0d0Cm@BMkxpN2+56BUEJ7F<5D>%7&_XC&<59j`^CAb6c=H>Un0e7K5mz2*AeRt)| zRBmPz;Wzqp*}9k9EkfP_cG~X`7)z4?(EO*6U63V^3N&)4BqJ37iPy6(eqC9zb+K%G%iF^FvJQag^`GAe`Xx8og5(ELYuVh&3P(04 zS3G%2JaZ##Zf&3z1WKKu^rGt&!F({xSik2~Tjk>9qnL-lB3abWO-tj1*P6?ICz-te zf@-hbaFb1VxkZY=h90(a25#O&Sf4>7tQ#+!FE)2q<);iBCSq5))s)FhJ>Arms0Pi& z*=EAmX3JiI;`u+_{aXns07(Gv?#BsZ-f#wazBFWbcV(v!FB#tTO~6ngE?Zmeo}??w zVEtjD8bpm&UQ7U#D@};}w_-GbN>B+wD)+SnF=Ie$fJ@f-L0~+`7uSKROB&UE09O9w zlZ5=$lXu}(MSuxNSw4Kk2Lj(A5cpfYSTY08=A~u9-6U9P1ALFS?i_u43t|HS{)eCb z{U<+?7+@7JhVb~!rd)?&hiSekMSJu&J)T+a0Uup>JH5U>KaizZ z8UWF=3n*o6aS;pxw<_hlud6C}yZ=D5POFANCx=Fr`FpEs`Pjtxnu>qz?pr+91N@r7 z=rF%tl|C9GWXK^=h1;`ROy)L#t|SVYTqD>>QZXj&i-{SlZ0%cyOX?<2%!ySs?Fl^K$=KQkCk{n^C1V%?hO1fBY=E;e)%^9xb_R^ z66#=pyXR?H+aRp_a6{?x7@ujh_qxyG=8R%kYfEW?t~cQt{i1Z;R2<|F+Z2DsiOeRQ^B@Tz_XQA3!oyPn9_+M0J!j55-(f91ltJpR{O z0xCur7i>KYJ^d7#hf$dnXr4jJkG<40o}p5=ZYB?xwm0m zgB4s&yg+JVXFFd$Wpvg(-u?jo@%$ee-tRe~c2e|W zj3TlKV%L4<)Rb(;JZlK^c@D{Tm|DjVS4w?*i+3>2Awgl?DQI$qKrFf$Vc&;uyi{{nzvD~5t4z1XLTS&k8?`|OwshBj# zjH_l@;{uh;v;X8D%NNNtE3 zQ!O@QOr6FDl=s)+kOMxa>V&NdhGt!~?>ctNeq_kOG3t2lkTPP0Cf_2wRLO)Z6Ra&W zBG~*O2wSAv&yUoE!*n+W*=Lwfra0O7Qv>^8NrZCWWi2}*XSv=gy-A6CWJ6C_ z6d1dbyJuWZc&Ur)G*gLrR)Uu$>YU@=e*LWExsxcx6{He{CWWxnAgq$a!i#6lo6~Fm zQEw69LcY`WQwEzgb+_m1-99$@u}7qFOykI&7${bEz$r1`U@t7C;pwOiaxs#(Hf)t6 zLm_~M*x*~s2fD|5ZY4AQtrv2E)6ed-cG`r9PT*^E^ELEPoFMZe#FfMO^{uXX&ar1` zDF`o_VGlH@vl60JoJo!9zwqEvYPz8p&Gtv8PcH(U@Si{E$+2jQ>r41}KHb28lAHw@ zT_-{eBzZo>@YtfF*!(N8h4J8f--=!OCrv`5zYE{OM+7se;v7vsdSqwh!fqN(VQ+`F zOrONT9Eeme8IWpdfsVz)68Q!%EnwWDRg%5 zC=x-+pQCvZ{ng2O1F`&L^HT7Dq#k5X5S(};hvzFC&0Q>43$JzOgD3t>as>8{?_!8( zKBb7X{Pg}+kyu}pZE09Vnbx8icwhD+_29)r12SDTPA0;Dr>Q0;tLpxq(v&f1SvX&n zOo@A6m1D+Pw-9^}u#YXb?K4O1+0cua(FjpEKdASGec=B?i78nm-X|&;ENsd3# zV5i2Y@@1;t#C&0_5Bu>@8P?3&c}tBGdrPIv%8hK)oy{)eBf~-i_SOm#&FO~5mg*yR z5bARj*addAG{u?--Zb6N&!{2uLFvG~VsKwZyoi#8E#+fsX(%~5#|*z&tOd6khKN+_ z-FvXHOm(nYSh!pb=}Z;1amN6^P#nNfdLXMvcM&Y?rZ4zgTyyuKxoGNis^VxCPaY?# zM@iW-a)bu0Y?f$hIq%&7+Z1a)_prB$o7g1k5bpTwRFl#?u%~6wR~0l2qDFyYjfk(j zro~LG*^Z21%#0qwY@AnJANDDIfkp|eqSv{Imqzke1vCVqz_=kzBdYLxbvwgB^+{x* z=}_=2$rBN_+zuw_ll(5lD02<|tmEBPLF zE+RdgrEN$SB_HnXj{HS@%WA+GRX*dFx&U9c@+&;~!}e=2A=ie1JKm^Vu}9pOix}F5+oX#P7;CkXnu8I#ff=qp}$5>$Q2hc%l~Px7FiSqrWFfi4riI< z!?Lr>$fST|ic6xB0VyMN4I?N(G7E9?^;zf2EawISLB45p{4Y&R;PkHf-Qd2p|D047X{kfhGDm^t1hIDwCx}(K3@m{oZsp%`q%n?Rzuf3P_7F*lN8YN;9 zb|3e`n20TLMx!Wth<^2U_&AcLx-XTC5q-U>j{l9bZ9X(09=f(>gqW zLvmu3eA@xL<7Nc~$0xYhyS@rFVkgL^%kJ<$?Wh{0dYJQl;I}5n?Bqq*X{QEp#1Tux zj8!JgK+BNWknG1i6<>t3fl+;aycdE=jhGY_1FI#U*4-x&!2Fl)@!W6fw zZJr37?z?ZAT*eE$Xrh~pyVNNnWPwIYdNc_s{&b)_xdqDMmAiRoau)JPT&?DbX66OE zmJA(ikHir55><&~!kzmdBmHc)kH*KkgR+}G`<_9KO{>4mKm4E;_*hBMzUWJ{T=h@0 z^ZmAWlU_gSjjGrWlj_|0?o#EJw4No7Ovr!)VpR1Ef44(8}z z>TYNsR+8*Bla8Yc&Gghqd{jMqud+BVOzv*L5MBQOT5`^-YC(Axo}35Z-k(*cmg)fe zn*;50U(?E343P$zvVIrB(Y%XGt=>Y%bgzR0CPsAu4M9JF*o8q1k|Eaoy7(2NN59HR zPxD;W65+QQ>;Jj$^7F5p?}=jG)byhjb&~ME6|6?_(UMNhN>U2(zGGL=i6uf=W|BGLuHTig%}&X zesV7~^i$NNQTgfHsCghXxqrdf{uyG93Nab~isWh(r}&{m9fhpqlQol)$K7ayQ4Hm> z7ZP!fnl!WH{<&@Ol^-56Mg$EgJsN_C1_vtSX1LH$N2qyNeuy5Xsa1=6zreAAMiJns zTq-_F?7IaBBJS%u)uz21hjCtaP3bkoD6pz`nF}>rB9w{uR&(p;Kh<(*(I* z-e+S~g?9amB8}h9a*43bcCYV=_a}Z(l9^9XGPiM1wgRH^THKh^v2y zu8%POWIX7(ii}5CX|p=28MPRjD65^Tdm)T!O_;JW<|0f^zX4;Y>l39x_Pv6B9;6go zzPd~Xa^3Hf@C*cRO)FblOWe!`5QPj^$gEQaI|~&_aT}^v z&|+QKKW;e$CNPeo9N{a0s6gDL6F7hga;r>ivyhv1!tCX{uZKOEB=Dmj zD^>|_V?1>Ui`=SwH6c(Z z7ceU*HhcpK&hsz@15-Q^c;}o-i3aOM9s)!}5sv)Fi8xZ?*Y0sXyph2mLMy8-j+N4* z+R z5iXo8CC|(V52_UeY+lE~@^z-_Et?+>AWl^zX3HGlyX2Je=K_aYSt^OGCaDX9(H?Qc z+ub&P9?T(Xyda>H-u86I%G6_*qr5^9C}fWJe?sPHWdty-1D<-c$DH3{DmmU@YG-XJ z9>6Nt#hS+h(8)G{6aU?;3_2&bIcTilIvZ9E)s1+iQ4*2GLWD`knJ}YjYRxeaZ7B+% zCM_z#|M%UQwF_Hj-nky52oiaMOz#w;s;Q6>>5qb zx)<=z#$}$EKN*u7tEX>zm)(Vn{qQma)pv5`Gl4tXunUl4{0O*G_3u;2%U_OA9L!=0 z{kk?yixZXs!nRz^jbWw#<9K=v2~XvA1VJ3yLhdgZ(SV#T7u6SLTty((yV2SkJU$Ww z8cXiOX1x6wQRrukTG3gq$e|?*d`7ANm&_qG>F-{TGty&Epp6UUjp55sS(I7A-#M7_`?7H1?(PAa#9_7uZJgM zyQp}((=G}(WgJ)Mn*XM7%bs?SYX@^94e5)6 zzh1xrz4N7sa(xFqy5)KeedgBV2}5v!x>h4fyRwI=b?Y+k==nE z6a}8n;TEgBmKqbFF+f$?WXeDK`pp5-08~If!?>BS3NWXCOMM1JpKnq6y7~^O`fPvd&qp-sIsYKFiit=oGtKp(|x3>d1r^GSdaNsVNm-2C+f`fk7& zVd_s$)I=fWoB2TBQA50&gWB=K_qsd*`j=;Jpx9qOrCzO{mUUZLOx2tjIX)e_$f!<4 zO^BvDzs0@qu)9AN4W9nlC;)PfeMxZc=7PWrqDc_z@f0IV`CAzPVFBQU(pxV8i@kW{ zbJfh?FKbb^4O;|06+-zlS<4`Rd=ajq|Jnmm1$2Jz;mvZ<%7mddh==r_bh!`naJey| zwAE#&ZR+Cu0Ju~#?_#C)d_}SLcz~Ael7w1TFxDqwG5O;@a~@Swaj`OJ!vS>!-Kanu z^Bqm z4m@E2z<3|)T%hCq>-?Mmyu_MSk?4G4!{wku^L$%~=eWndW+%>mV-f;rNtcuTHG}Cu zn=7&?y?;OMGDk**Q7|4jS3N;)^yMDhOKE~wF4O-J3}F1L{t zmL`mkk3V0VI$zUV+geIbIPa)E?YO{0VPCj3|89J6ZOFyxW#cZj1fMq`8&1|X@ua)j zNN#6vs|SzVz*VQRME_`aq53S}6I?Y_)FnZv=i6~{zHt%mZY=o}=mr4oP0$*{YVq4U z*5-;T)3CDyoYrg?I-A9L5Q+8gNtn=UlfzY+oX|JY9b}BL+_>XO|Hl%340s+y{#=4j zuDUPMqo&iAjw|L6`G4I`##&2X+Im)wnE_+$77YD8ptVG|_BBCB( z$p5B_yF$*`nD3U~>u_ndwh31)8vm+#VA)G(`4;9(3dm;#7{=w_TXe=9TVCv%InN(L zyKX?Q!G^Z&m=K8?cLT@XorXpj>vUjeQK(Aw%Go=pRm}Tm?5(W{f##rb-Pk~TPcW#W z0uZhLD&u(>03K(zT8W}IhA7Q?HK(9n6(qO`e>rw~3fzHx(VWkY?iNpu47e@{82{SC zs^QpjT$A^lknz~E-G2FSm(E$UT}A$@DhFe3sVVh|GPefbL3m%=>Z0R5umU zaGL`c4hQ$IRl-FJE}xNMKMQ=>!TGoc(9b66kIQ%Sp?oekNr=+?`?$W+t&!h(u4gNt zvqhmK9xpV)5BXSbJ)T23LOmQnxq~&FA!PVW7DeP}$n|Upkj_ddJMA6D#i{`e@$#bY zKHz9dc>~+@U#)V#XoAAOYh94!GTWS0wECSt9wPAcFIG^y;x9lAru?H(DOpEOVGiCz0z>hh{qs zCJ}liA4_%Unin~$gMDub@`Fo7O(*$sJ#`(8vHYyPP@A3qX}IeMA?v~*B<3yL)3~~E zas;6Ijw_<~ALBc~*z9RX5p>1<3}b8ubew$>ZyPT&AblH?)gi1z)pJ*BLjcYh3nfFs zyw)1?yvx3ZnDtFLbw_7;m2Lno|LxM;fh~Edg$nF~x0Cov`$%dP z%o5OKBvH6&>UglU=KEE?kS}K7Sl;-jIfWmbOYIjTLDn2FC)@%+=iKS?P?p=C1yG_T z1qx@MaS7q%9towUnWGja5T=g9GP zFCjFgVCcgQ|9CjK+cTHu-;zmO?k2;|FORe-fO zbVf;CP*XC7iS`2zv8<~tEZa~q-<1$dFu{9g%y9fvH2OVRa(6MZu1HAF0`OTT97wJi zwixhq?Hkc!3OGoaGm$MsF)ieFabC9f`*@tCk*ELZWvVp+yDN)!YIeR zNwYjWJ?eHnC;l9Qj#h+$@y)k1nj7cK3}^_MM_ zqvPFr_tK$z9uvwAQ3~zZD?YBG#zYvFyA1sU(t4=Ki;%(*;zV!2s%wz+M4z}&^+H&A50%|F;Y36 zeh6~joQ{|}n;#wHJ-y@rOS>!aeHQ=VqUEiqR3jS+Vs?)S2;hFMk5x;2lIONNhV z@;dIYy4)?8vgcL*K8dM+@PL}aw_sv(sCGee@~(lVWds%1{)cZ4oUz|fT69#Mo@nG7 zN6Ygat5$yFKQ7^}?co>OiqCHA2~_C#V7#C_dN3yyGN?C`aOEH?abMDvYwNI3aliZO z%yvT!H(zonC73KfgR>P;h5Uy}Y+v+AmBlFUW)1S3O+bkEg2CvrjrT->bt)iyv|*OF1o? z#tvqZ`Sx@~qrT;kPd3O(XDwS^M*(@sLLi;R+)NqNbrz9xt~TIV`65^=o8hUBw$03N z7W7I6v|*a7sh{r_YOdS0Vp0FC5bO4!{6@9Rew-gHI^={#0KDlynEiUh}+_55lcM%6>k3G&mm=j@Sh8Z$-Cr(!5sUf&$8G&I5@ zFhMm>)}2$p+HId~l~MC;q3MAi%-vTI4vx9Mv6k~AhT3U8G#6 zsSljuwdY4qgZ`C0kPH?ZRl?#PnS2b-oR#khwYO^_9OFQ85;{~IF{)H#weOO_%S5Iw zGAr#~lp7=%qx)fpSt=9c`yDn7m#s)j9&5Cp*-Ygn=)ALX6a_<}xtL?~8H!js=bGH3 zDbqVm*{1!XlV)(u$~U7@Ie~5t8QG%)zhQ)Tr)zx1gO?ag7s6{Vr66D8 zN++)n>ZkR9{*@)hH=B+v`PAh_v!AGkO@HZZ-%Sb54KC8*T$atTmt5ci!ac2(s_f>o zuMxwO7X-~RBH9Ol%L%2GzwzzM2oJ)mLfFh%(JML4dC_HmizrQ*usjWKl8HA{E^&55 z)jun=9flJb=cs2F?aNJKc;Dqy?J^L05AxG`xLkJ z!Yb73DD<92f+(33%U8=&6oECUTN|IBZrFi1xVbIxI2v#7=OvRZU1f z5$)DR15{lH=g_H@1}V|?gr`Z?hd89kp>azW`nfkX49=S64D@D0@4lAlKVaz#P|K5b3U9;cZ_?- zc*l70dG@o`Tx-oW=WoVZ)0QD-V{D(ra&#;@bp~*1i;GpHy!R&0Xg8c|(C|!2w?(CId(pe3ZC$|vd4`Lb4}pq)lwoN zA}RMxNvA6@rFU>ijfQ2p176x2IQJHndv&eIOqCvm6m3vAi22_@4dq7idBOHO_F<3Z zj4-kKfu*LwkK&S=C!j$^gWjr-8{scdYy&h@>eN3asE?{jaSdIg2W_;O%Gq<=TV{!i zuUu)L=C8E2x%dxV9;Bo(X|JU{q8 zypodnpoa1;wlFqal5ZY73MmM)RnxvQdFvqO@YrMfFzd)@!?~H$u9IZ0?8;6|7AsA? z#x{87p~l#(wO_YM9*tE%K@e(78J_r<>;)t}sx-L-i}==D0Kh8@K1K1>Z>LP0jfQgl zKt%cvM|J8u800u?0k&RbCgs^ z&-XF@#rsf!yyLzM20234tU`6SS;=U%8q?2n&mJ*zXq%?6hHIMgTuoQkx9J=LML{9D z&w7;!BZ(tajcKk%_ska>Kt9g&fAp>Rtrkl+`&i4tH&_zf#us1qZ@kvBaRYpDQj4GA zIRNG6OL_|}P0AA9IxPt~*mRevd2_`?tX))%5U6FI5;2#$K#e9gk)HLYMc+VcC1zW z-s#2j3;JNO-;r`lx9EaH@@5VP$9z1uDKiYwfCCstfAIb-I8eDkqOZS1@mqtDDg@eR zcl!Gy63kW()b&sDYEmCpBAM|{;>t9#iaHhBi4b!5oStk1u&}s2p0|#V$sbUvRp)k; zMJ~p@Xs9}FE1CB_9N6yJYS+(x5|)5FM}mzY4&+LAhyGynd^)vWGR~IVfnmn}`-wd0 zYa%(P4jJ#Vw4i!k0?m(upMWdnBo4E-CWO#Ua*5yD4BqV4GdRg|t7}fSE9~ZeJJBuv z;v#hXH^3=-a$_k30}jWF0pc3v-Su<(aR+d{>Nyjwok9@K;H}(3y=lfPbge7~tku4N z#%28Pd?uhe3=AfJ1K=aG5_-n}01baXkK!vf({5kD!WsUL?s;L|=a~Y5#g9~(&&=Aw z|74D})ZQ|P3hzl(y7kb1^_K%K*P}kFlr#YQzRr5jpZb*b4#%#M+~U~%N!A17Fi~E( zpg&iu-TIX2q+t7V3a|pGDWm~Hnf@YrhFft55V|y2CE32@)%E8(IhfA&Yhn3cba=)F zbQtpZ!N2iyYBFq_RRYLfMK-=f8?XoNs-F25QrwX`a)=)$`a=ZqKa8r>$Qzr0_uux| zFkopT%{}4zTR06E&yAHNLzn>8C0iWf+g$o{xZ;*k<8H`~f!SrUk+8Br1K;rO%%#9v zOOSQ5?Vur4l=tj=O4cvS95z~Kp zq$Tzim{T7u2n-0Mit;w&lmFX7yXVgbm?uNAtSp=V7|v_tw-XC+$7f&Q4A#Fu@~xrH zck+*i(ck6PY6O4(53dTW6J1_^DB(GYqB)X@t+A7{qlu9X>@U05mPqU@L`+1mKbTqA zxR_Y~K4$&%n3tD`QP#xP%-NiXoeg-VOvI?_Zf`=wsHyPU(&UXZ5u=LBYv-FMQZ`0r zzzYovW8lY}uQ-SpB~2{M%$;$|Ov9qzavju*O#6`p?Zea~H z4g4S0wy4P)J7W_fetx7s<9vI6yD?($<%IWIXEVg!U;mS!KU@ci|L0jO3;#fD%_?)d zp{#y5#$}2JSF}Mof zQi?{kmO@Ng!PjYSwP8NVb8Rk(=hR8)SJJCBHy_4~!{EcQBvvOzhTk{4?q6}BKYtq5 z!TX#OHgpjFYmZ47vd*r4aQc^ICW8O2dWrkD3I83$Pmmh3V1&OP`Q2IF-vw_)qx2^1U!M;rnrM+wRvCjg8=F|%6 zdUZ#&iP=YYmxIb?w7_nyZaV0p#k8?^bUXk8Pc}O)UfdvcONg^gf^SFWxN2$h_4(e_ z++K*FO9DwDM;Lkb^|HdX=;h_^-nFd>caF@H_U$nZgB=3%!Q@Q|MqdV0r6I)Rf{Q+* z+j#N_(a)0R-B1JW-#}o^M#*5L%R>$;7kzWbDiy1amcKeLb_D|5kZo|>Z(LpQ(^{^Q zj_;KKxfZ6}I&i!BEW5nTDL?yIl+W`bCGS-ypccJ^z;MXNdVg~o9>&WX0qieA{Ht-# zdr&WL<>zOgtlxDp8D7sF^j?Nw_lgoFm-&RogUv_AtfP1-h(AgSLJlc7eG|PI z%1!s>tQU`FsE!XdZWOS801*+bQnRT*MIlX(7Q+Z*)9>#pL>SXcme2J?AT+#-G7d!Pd7GPyh zArg^eH>U`SGB^IeYMA!XhGdYk3sihexV<&2S+XOpg zxB%OZuOK7OGB6UKT22j1)u!DGaUgLTU41_w?|?EHxZ(dE+#v-~>`!Ea-|y;`!Qditkthyt5j$gEFxW*~KH3xe1B_vpPFoqACz4vaV;Rio~+rjiBN(hdU6aaSw{Em+b|vS(h%Y!WY$JIAoYQVUd419u!t|4g}2i z#Jl7C&X=@nUZHA{Bdappe-*7_@uP3;njN$Z5tZB>*R1QxejZ9oui0FomyT+goLWAS z-h4_~JfLYdMnh`%lCG*dIM=iY8^}^eo|rPO9P5>?JS*Y4IY8%MiynQUa!o)}tK5tA zsm)^t9EYQ~nxE*fSvK)Y|C>cozR9EH9<|Z!1zU~u<@_&QOCR8q#p#*d9rH5s(5=?g zQQ(N5_t2ZIT7iii_C(o0lb%|&{JF*3A86O&Zn4=%ocq3k(z`lOE1+hB!5&hHK(oY+ zA5K~1-<;92emPxBB<=K%9h%9x6iXMKd6EyQ`t5KApG4+Rt>q?1ezFkfudYQswf@fW zR_7c7<>OMs;r+ar`-!n8W$KPtb8_*AlB8pB64>&VenJybku%9n{}ng*{_#jDn5 z#nj8+e};z7{ZdPqsb^>laP?dpNR&EX%~Yk~&Kr}kJd;V>!;?sse&Pi^$U^vxSTOaT zVg480MGo97`OtKtI^^a0@b`7{82t>5y^!BE^pyk}Yv1N?A(ej!>N>fe2M+k*$<1)d z=`nXyH+t$qaV5U1o=|A6g7rL*Kr-LH`z8t_EL{>DtExQgRdmp-bX+OSo@@{O%;1w1 z^7GHBM@7nC2zcI`{4$Lf&5$%9cuvJoYUaSjoeF5plSbVH@og;adP+3z> zU?d%eJFS{L|5<1k`*}%^!_3j8=lhtVVSd_V8oW^jzni^<3UIY!<)Gf_N{jEamIRkG z+j>Gftg3q7dB^keCf39~MXXU%rx>U=ed6+r&T^CXugB@>@vEj8+oA`%3+#E0A_H;y z{<&kEiwf3WD@*Zx+HzxLN$nu~l;@3KLZlP}k5O~yzI;bKh^p8^j!{Jx>y;S(ZMG(iP=|*qsA6+3g7`0fCL;063-z=A zCryrn$S-gD9fbsvA38^1Z#r_Y-CaChVUsZ+bZUT77 z08)u{b?>TW+Oq*OB*8lN{BATYC;)wmR8jloxKP-FlN*ME^U#xg-P$xqne?WjEjR3? zVE?Lz5HU!L;O0G#5R7%U;{o#DB_bO<9H7$c>!O;Z6*6Nb1>tVMQ115_*w`#NCXkUJ zeFwMi5n+>O2nJ>nvqASajlKBBYjN?f`iR^qIFM0ESmK#~Bs_|k3g312h_BBfqt6ob za(o^d=zD1gO*6PWy*@NJv%6l{^F1q^2Ymx8WVq1Plujv5gpEL#GOnzkyMw1!ho#U9 z=tzVB)M!4n@T3LS#}hiuHA!nppLG6AN=n1m`H6-PjlSC=`&wvUpFcgVU=#sBKUgAAhg77 z_ekJ3BT!W(4eEv+{HQ_ya!J5LL?K_M-shLywFK0@WoyYVFei2XqW9U4?MoitQ&Cl0 zOIFohdE^+fY$+U?ozX$Rz%HomC7!?`GWVgc{lOU9xV+9II%BXqEZ)my3fKG_&v)_K z9eQSPO<6C(>>x)z5e7Y*fHDSUITJaqAUB^)|3p@A(`UTCG`L)^gl@>>qF=fyQGDLJ z9P^9gwx?w4t`&uFB`#-<+G>1BR_Ff$_KrznOp%)=rn7#KIzo?9> zDnp8dUZFS=aeiEewwv^?^$-Fs0By(3)1F4~+Ms~Lry7A5mmd4SN(rGFj1AjssRYoS z=F{e}Nphq=y!jmpW`qV%lL)mBM?qH%F2YpL3Ek1q9XSSaH+Ym91q1rm-QKDmOng z->qdN6KSYNeVl`w^DH;^a>d}$86$}_d*cQjGzx3V+Lc?CI7Q6t<^)-W-{lr|Nbb79 z@vy~-f&9Ev=aPjbP3^@-37lh@>xTG~9~>Laho{if$m&w|nT=y%+h12^&?~$8vzM-c z^fWdt!lK9B7>Nu!3%XL4__h3+=?D z>Y&NG{(!(m12j+z@8hFJ;SFC*lTo4-1r?RY!UWPzW9s2;qhIkatZfh!R6o`m zbEKs8zGpC(%G(vkGcu~WOOALj4c}?B8|aG z#5Y%|YFk!S;%EF`mrz|OcFI*u`7v4jk*$H`(fl+ASPz|H%Gw~fUVxwxLlX*>tZE6% zqmhFLQl)|Q=_=8yZ3A8FP^JuyP<|Iv&n;A|NFc8-SeA>OxtH`MukO6l&b3sY0COU- zE0ZI|#&=<)+pY#dYJ%iVJqDE)idygw)mhE=PaH*DFN8S;1YT-p&E|Sv2-k@^0F1@@ zqE2Y?SA#DuQeA@pRe&*&6hg>OEr5D_c_~*PP7j{D>FS4Z?iQh zFLqv&4FpZ$9W71Rt8@&{D`?3CG}y=c{*u_WD(+HBH9*yn8Lhg2BJa*`05@w`m#%gE z*n0lqyr&l6Cv%!JJu#Its1(X^;mU<<)Ck{$UBai{M1_kwJ{qe&NK!J{utXm}1)}rL z{3QjD^4I!NmWk~kl~QOxfrmp6i_90 ze0F}E;#)qIA*63#?oGU#XnYY6?{u25gB+uI?dg#1=uB~NDN`rBqH{7``mxwHTDT(J z0%nPSPxEU~psx2KuFtuAx;bs+DgfFpi+w4ovl#@8V#<28X+vRdq4p##qqUP>`DwL~ zUe_Q@@4ke#0T*H6yWO%9iHs~s(xt%p!`6e(GE&#fLk6YzAPVq7o}yXJ{#T+vYy32S z7{u^`J77$2U?o0ZWANBj&}WY;V4UJ%>#B!vpw@Z7mVn}&Iw669r z0ww9{O9eOY#%QzB90vETW5r6X0;_ysEfb*Gv1Da|@ z6S&^E>%<`IbNyBzUEap2UcE4x5yUxqhIym(>(9V0&$e;-PzE_HZG>8>;xOEX=wMI^ ziZ|*p%3E%0V(pclcdl2(DhFAHXT^A%v@cFrNguFuV!{(KdBQn9bqT{9YF;~5L3@@9 zCEj^xvzRPBK_bJw+rLSTH5JcbfOSia3zTkQm~0bNt|l zga|utJ!3x-d;m?^Sn_Wawpt4KuF9=8#Y`fjP*FtLD&jiXvm@ZX5~D&i49beD_s3&$ z5OH;Av}g2Gs2rmwQAJs4rTishCm1W^RqFPWXZ1KhuP>O8Ir(|B)#OqplEcMdwl@%u z)!FAzB?h71Vq*E0#(8A|{Wv|rtcqdE`(lz^SdTYiIp2jQ$BOy52dJE)V)i~5Rt3>G zCz5WIgb#gAFFr=adE(g^%`SB&ZJwyJA_yNcicVhHzfl(WJIUB?pr${(b8j#(v%5A5FQuOzI!TPewaQbv5qP7p$xQnLL5fThSdKf37)gZDJ0sRsts zq)0vUF(jWaA9=}I%O^|wxRYpAuh2e4XwdH}0wp+SZGGXvwBYO7(fi6`NIV4<789xk ztE|unj6Qg7QKW~x_;V|O%|BE75p_<*>MPZBtE(r z(>zFyY;9AR2bvO1m~ayoJz9R%v$u`Wj8hI6(^rD7F*aK7N^Dz%rN4--^@=3N{_-1) z&n|U;(datjZ)JJTS1{M(&qa(DxF%2`N>n?qiHnnZ~;TQ*j#hVc3b7Po#id_Hfj? z1pB#i(#q|7449?YA`e(jG;eEvsr?Ke?g$Tm*NE=S!Kw_2x$!E#guVP^>eTotx`!_6 z=6%{Hm^5>h25g?Mz5n+NqMhfA19uiO7dl`%ktUh<-1t-PtQek-u)o=!k?#S2y(C34 z%iSlF)POxGzyCLH)1Q>sFONGmB=1j!quUWxt2A)kW%T@%1Z?a`<1A{78%N?FyX?P^ z0T`}(hyNJA|D#Q58FW)+^u~w=q7nbiv@PS2b0NbUrn>9r{^Q5@*)W@L6TM+hDnn0T zxH~wD{~Vb61o{2)CW;Nby$3gg0hs!OsDDdR0#41&y`R}3~<}^MC zcW?b#P5=vVhPC~{-wy;;_Idt%3v^OL3E1B0|Gv*IIduM}-y6fZj1QRDlYf^PF)fAg zpDo8-obLIe`VjEGCEDrxbAk)7m0yImG_a4g1wEVhI_%$D;UB&pk|2Bz3hcEu)0MRR z-z&F~WR4w9JiBGU3*geWVa(s+Ho#n^b1 z1>6*0*e<9u_r)Qb|CKiBY@_FA+dNN!t0R@hOmA~J^4I;iJPS%v^0(7E^aAcfk1WHY+t=Zrp{!rY5Bd;hQtXQ?8_^ zZUT*~4$ldwy3JtC6|>Wi#U7Wo6uK6OMcYk9KJ8x_*L%w|ICS*vJVX>TbGB#B+Bhm8 z2Qk^+COt2++PaJCt&iT^m3lwz92;T$Oh&E3*O#pMEvr%RpCmCS6-_sS|QE@gIXUHt`%!-47I_}%I z`lSR1k4z_vZQjq0lTVJTgK#{v2(*rDNb7|cIKm|x>fkFs)I8ELtHka-Ebf0tF`F`K zPEU_3U=TD47bj0Rd9SZAb*Al@^LiIzc5ejFce?X@--a&oX1f_?!RO^c;8mo!K-09w zoSJU2Jbo2&GW?E;`}nRKy$zJEt-GszH1}t+2LrYm*)6Y@FG~j0<=e?T+KPfg-a2wH z)Rgk&3`xg|;gzsIown}TS1@Raj|YXIua3|)vcK+}c*{(PO$QkgjA?`_C16>+kWI*? zdt!x}uf8-;-LWP|!ta#$(M_?zeZqOY^9q;UrL0>+7eG4iBc1KvGaL;7hQO2xuk8#&}MPm2tC)ZukGX)-2oNY zp&4aS29uRyB(pQGrj9R`*1~-23#R&ph@WeJpW04sNQ{`5cJCMQEw*l6W^3>D>{y{m zg7gmlgSCzcS@tlMRXHSS-R=PYp-R7RMnfX}M8!7}hDitxu)W}Vu$8VI7(X=Sc|fmD zw@7F4DDIUw+ zcJBi762J{eK_Ukq(-amt=RWJpRaZ&m&kT&BbK5wkn8^4TPo^;_*7Ir*)a~ z7Gp30PA@|-IU9<671w5j;f_@_29Tmh(Y70t$|;} z)ti3OqI?6?QJQw^hvBy0U;4ib?X1f$AYE$18AWORn&+HY%E>~Z$RfuY^+Gd)9HD03 zy!<*4bMaNPTF-Vx~J`A3Q*?}=H6A2{i>B7Gx0zz>h>!qqk{0P+$M6V6;IK>mlkl1~N#q8oF#3W9AC2tj^QIon-HXPK&8gmIA$|0cRmjBz#A^1Qpj zK$_qz%pi?OE|71t;fU2l8g^B&e*h^!SuR*)chMwJ1)|jSdv9X|?438fe&4-6?_#oe zuwrn^BIkSV%N$o>P92Z!bZSb$qCTdJlD-5Q1%30FpX^)~Bn!u$ykkV}%wT1@CKJ=5 z0R@*Y_^qisS}FB~Q&{*>)^gd{Vc$Neo7VNEjABz#N~^mkSq13_$kEX2Hyey1$5Xud z#EtjH$g!G{s$bk{DOpeXYa>tN5gDTb7oW{sp1q}kDmkOduSc70yIZ>5inzBTkOe+c zNCnY)%Klmi&{zi`w)4aNPQugFj1y%WP~Z^T(6_Zoo1--Ph$l$mZ1wJaV$BY8vkQxg z`q&Q*nzFuWRxii|Txxcgh2_PPLolT1Wf`QK=*M+RSxw;8JU&EBe9Jle*cZo3n=H+n zTP|!&;q@_fTrAi@w!z7ZXOZkA03P~h;0h~wP@d;n#R`O7}H zxQmc5SpYCoO5s`eU3#EB5ino`7NwlKgZ3>s!0;&e_{b3c;J+4s7@X}AjiCT0@#a|^ zgy|K{ zjH6WWATvFZ7i$#7I(H_OBd6){_Hw9}eK>8JEPWKUU$5EIX;E0kJ*1-U#QwoURa=#l zRb+jbT*=gCbX3Ped!SUm$*WdPwI3o$t)$$)cBG0}h|Lg$E**iGBE4&IV@3IQ1D1)H z%ILq5a8`Q(IL27oddbIIAL~idwQL+xrR9X5@hj@!q9D--f3e-17}YStkgkHF?{NwG zK2N_5*wuYmH_cN4ec1`s8P{Q0$lIA?*NU;k9P0?AQx5D^J`L|dB8$hxLM&E(e4Bk} zxCn6WHGGJ8o#V>NgudsP9o@^Yq!*Vv323NuUHG2>ULpa4_wV+NG;-`czZ-^=F?BBk1O{iVT1I4V-WJF43SL3zxO=ubA?P!4?QlcsL_kikO z<$oxQQPSB;yoF9&L~!Obz7_huSI3F^9!l3mAhp+@=7?l)yw1$(( zN;;Iw?tvyHMbuStX?1Het8O-G%Y9%Eip4Ge0o}G2B|P2S2~RePAv9Suq;xED3=6A7 zBN-M*AB=Y^Hi(N+L$@zdKPY#%_dwE^`m?Bz`mMhz6*znsZq>8UQM*k31B~@LJy-tRg&zlaE_&qUV6eDS z-g)WKmb@c^VvR+&1PV=hT#4ogRe*Ii7T(+&-SpbcUnl~h4Gqs7C?rmfoUaSXGm ztSX-7UV+GWD|O-Fj&!YQ2Qd%a3KAfWJXy&oS6>XW^GfuQPmAjJSJQt^>jdrG`-8?~ z0Vc_{kXlihR>s}>e3864RcTi7C|Bo*@9X)zUGr7Vp5=~%IF)g7dxR48!JJ>K#73x~ z;7$JuMVYYvN8C^L>%G)^GUitZCBDX%U`ZW5Lb$N}VA(irvd^y4zZmZx_YPg-z|ioB z?o&5powz6IHZuN^4RFVpczB_$5NdqL(fc>3g|umhsUxMMO6CL*G|Cg1oU_U~P)z6O z$%hWUxu{;t0*^lBDkb8}Q&b<>a69W%Dl3yQ!)=fjdJnFVdKZ*_G;nxaD0{&0Ft><> zR(q&dSOl7S%O{xtpNyIH+~U|dum8lcmNH}ygjc>t>QY9-cyT;~UwMcZF)OGFm$s%f zorllFjq7Y2bI=F&+qkm~^?t=a`K~IiO1>H5Qt+IX9;UreV1fGHoRH*cS;Y`1Fa+>1 zC=Sa{xuO}8wAJNcPrl_A4oNK{`W61}>j@da`4!`vW<;ybQ3S)DX+5Gn#R|2-AwHFS zM*XvWG`WGh*3m!BqGlC6GHFU7_idj9hTuu){bCO@6NC0~gj2bsW{e z1)BxO(K~#JMB?UY?QAR+r`;S~H`w~3(esp}w?Cioc%=;|?K@X7 zQjV9eQShmYZ+EM*g!^;VDfe)WhGhiyl%5BC&A-PG zhgB!D2V?{=a@b&gCoV}qwO`4m{OIUK0xM^G?az;Z6*&9)L;Y9lgAc9rrn5#<#&pLa zrw0#DNx(8;CJc(E!8m#e)Z(-8a|b`4Rqb}vxS#ra2rIILU@CI5nvs7W?R>6bx=bO# zDDd!f_h>k-fp_7k$~~nO;;jT~kp23!62bDVqrmr*gIg$r@&VupI~8)g0fBsm}a)Gft+)Vfl*4Y=A6jsP<}3zLApnpn!aS95;;n;AeiDN-3BEAZ~_{F zBikuO1UIsdULxupEPXS;zP+J>>oG7T0Us%1Q@Q+~<_=-Kwq6vJdRud9VR8y>eLssv&C}pw>V7s6%xY!@xFvb&z4D*( z#P{H`f$bLY?$%oh14n(Zq+?SI=~s6EEF6Gn>`1Qf{^BoIfTAQhs+Aj0A>hAbLITt_ z)#Oc{2C#^JEqy@mrW~2^Ff@AefC`Xc*@ib+BR7x!|02S?)Y0es2-Lh!YcS-y{AEHj z{LY*E3s6j6xSg)s@F0G!nght6qX|X{QM(>)-IJ$SC6cL+8^*C z4(H1BR?2mXxoI*aC$AKe&SJTFRNy75!wyblM8E}r zlXhe{(+a>2PB0MtbDQ7&AjeZ(FPt>uXh|Zf13F?hnC)sYp=_R(RbC; zk`QxCOts3#z!kU1D&i&&3#6YSYop-fY`}E5J-{F+9ik|rqPa>ti(3ckNIo?Qy)v3xbfg0HhGaQ?b+`C~%mQ4k9vS4wUCS^ zC=H9o!7Pz?BYS@UORo14g1`}!*Yi5Wc}Gwsjou{8V--?9HWf16ICs=TaME!=S0CoB z$*SS){3%xTVm;ukg?e$5=0^Xik%gsmC8dF{@uifq`kE$1Cj);+Rd;8U7rFo#TU8yN zK#RR{cpP5|S;0w~x~j}9$%V!{k?D(#dGQ&{r1|*?V57WO-Y(s$dvdg)<7vj^($*)r zkRpQGDoqZ;?1!;I4}#cbaK2z=I}MELJg`=-Eh=jIF0DjOc@cYmc8qN!%UaD5w<~3! zoUC4-U(YzVSoq_tWSu?9quGWoBM}n1))=d2!+5?n2KK6`25FrL12@;_FgXAly<1-K z#tj%44u19-yEJ7{!Rc!d)FQs&3iyJ?Dm*Yg2GNzqD zU2i&NxiWq=%tE%{9Njzi)Y()CaX8(pZmz*}?;J5UYZl_) zoi+Nh2D=TDr-5XpY{V+BPsI1Ee?5)&z0fOI6%;0SU=$$=F^pN4OZpa3xsXv1ulDj# z2m;?%DMiii7^(67@6!8195Il|O|Yf1)<^4Tz(7#R1!x?s#1}|!VIm-;VE=mS0F_G% z=cqOgKrQ`+0x6gU4WG~@x_gDwoKRq56Q2Rt(?@H6+;5l>Igq|%gIToRE0fXjGE<9V zB^fQnbv%3d2dnHLTY5-jm%H<(*`gbefzHtfuD|k(=}S-?Y+p8*P?c6-XM7Mbm${4W zJM;t7IMKuJJqBRa!;&o#m_y{%yT3j{j4FimaoAR4aR2V*r8J9g5j3^h|NWYR1*QJr zoMhheWgr1bjA_KE!hA)d0{gV@L8)HlrkEpTvUG1TfLw%T&)>TgJb9iB^C$pe6YhBG z=j_!_67T%n$1kMw@bYlw>CkLBw##DvKN082LVpR&C?ng(Kdz>ASPP5ad z$iLEOlIA-w@BGbYTIi*2>+TvjtEjE0HUTb*dxtR7e{(e=f)rrjM~{rNZe$2tlt4SZ z=QHqs`&MaK>_@D8abk0kI<28ms}|mJ(X1S|yOyL(QhM$nK^Gm)GrO%e^+5_LM2~wU zKRZfcO@t6$ivm&f+bUb=9PUP>wN3Gm*!4hKT^e>1^DH3-zSZ&A0x0t2YAfc1?J8g0pk7)^sihjS5@A+hVM6&>MT;Ep?*t>WA30uc?7o7hLZ_jt$;; zQF69csKp%JN^_P9y0T7F!}5db#S6B)7`ooK>jb^pC5N@#JcpGDMb0b?HX7o{8o2~; zoVX0(rpS*C5Eig^BU$$nH_78he=k0A#r^fD115(6ec^RQa0W~fnsGA_$?tzmTc z2@=B4v7S~^@8`!@7mnROF4(U)^^@HcZQrPODXzDS>wKzWawZvjR-zy2 zr4dfUFXbvbd8&_PgzMp<x{ z6c@2}rcg$a`+*elx&U@A76Hf*b@`<-ndv7(TQYV-|U#dnpnbvyeh@W7N=(Z`OG zLC$z_o#1jkjrTV<0Oy{UeP2JWi8*gFEhzQIyFEpP07NBS?!}3Qil9&4bV-b} zaC^M)E)N+csfFKVfd9?QgVgAofVLKZp!#3qQo{kV3>MDxj{)Shc>2B8yEI@XWIzOI z$&!lQpdpw{VgZCj7lz>5TYLoMISBz6E6gJ2@!bP)K(*q!!##d>2chU-lgb?N{I5r+ z3y=q-3ilT7u*S!SK$~=VF(iLHT&ORSfIYB`NW^<%>;PR?!Uo^LrVP`QH_eg)*dl`W z{`)+#^;Q5BL%4TRp#@R4o&%7fY{jg8;aWvZvmH3>%s2m2NTw?;>*O#14 zhhPDhbI>s124;#wEGf{$$jN*ZcnshY9 z*tGawp;r&2_T-T8HYOwB=lpy%SfDkW2r%C>L(M9y7yAC+Cl2+F8H{<02Wb8kRx?}QzdcgDCWoUuSA%ENcwD;NYP&r0lc+F~Fv zI(=jD`N+UF%sf^@yrxjon6Xk*E1@2tl+pY8v`+`sLm)0=N{XR+(t1N{rL|=5z*KV1Qf@jI%esAANh0ntR3HE@|!z6?D zv!~V`I6OsN}oni zh9c7O_HLihY!=yw0Mue`jInHe4x=HgN!Mh!owusTOJMqInxPCM|0EB|k1C z#zHxF9NH7nQ(cR$9Z50tD6)AKEP;?kI%53LWQzgY#iiyzPeZz#I7@e+=*6=StHL2d zKemyqUCBzJKYhCsBD(jW=vGqvtRW_AuX&ch2(M|wP)B( zxh^-bCm4CY&Es>qu3t0MlS#=89jR@ZWZEir(Ar+t#r^>3j2FOkyipYR_VHp;A-DD$ zHp6UkvulC6Cw9*S&T8GuBGyR@)_|iPVf)wgqY7yf>ugMt@^vQqk>;fx4&#NDm2s;j z>%#o!k5q|}4vgZqvp%#^f%LvL4iZ7MF1CRi?ZeyH_dF5u^5SgkF~imJ zr{kX>t!aea0~C}^rUxo+=-4kZ!i@5yo}KnqHov)#i)RwozOCs47**N8u8R0@-31qQ zP^*t#_f*`ZGlLf<`Z}c7jwJ@~JK zKAN3zg)FZd-<4LS!B^+7FXSFi$SK1-lYe<3V)gmkqH%*$y(uUSV{jmBqI(o?GcmoG z+{9WuXuOGV(A!D#2zANl9g)rAXD@M+gP082do+< zvoShFl3tS^yt=s9Z9p#g+`$M1Oi4ovR4ILR8P!TOj%}hZMQQ!inVu)rMrsFZdwA%g zb8w2Lm>RA2$)(5?p;F5<;cT^-OH2=pSvBa?|3a~u=|%(CTnk|HZux#X3?AtI)u7Kl zD3VbbRi=Ee|Eu1wcQG3#4A$Mcy4C)=cG*Cf5`D1|ZTQI(#_-p#YoN|`6)Cb8CIk)6 zg1nO-8D4A00s++0afqH^iM{g6+#ZAVQ`TCqR8nNh29d;N#uL3fLD!!WtT__74tj9c z{)lJ;28X!vSHpvssV^LIIKjF8p@{h?jPd^K)smK2qFgdQ1Nbm@1ia13>+OA<^f6Ms zw5270i@q}C6T0nLp`lmO$l7%W9VxnOTvzsbZqhZE9Z!9Ya*D^nGmLR?wa#ip6dN)qo&?v zJk=?ncsjIP%v$a(rC-vLBhk7(;JA`5`T4%JHy-pLz91Vodp-#y>5UN>Vf3Izr}!NM ziMO;Icn8B8kl)JK`m0A;a}=ug`(@f@$JbVsX_HB{v0`{ZYEI;~{I7>K*Lhj6$6xE| zvA6}W&gaFhjup92de2l$)uYj!Rq<9D>kS0TqR?bJe^e!9=YM|n$S0T{p@!Lj^PyZs ziDbaM3VlSFOUCm&Z!>cr`Sy%!%!21Ht_e1t=&tw(69>xqx_d>zrVc1ts1gC6UWN_C z0U8X2=5)wQAAmS>dvCx6N?HJ=;wXu-mbwN!Rzw= ze#KZJSD;v3qea1eq$zBtMF2uM!5~x{opReKqIpQB-P1f@?3_+>F`EnsVfQc6zLb$-u5*p%4RdfsCJKSu!srPD_-%fArK8sOt{ zGr!+=h^)^a*itB!l27j*gv$Y1@#G0A;T=4E1;eXGSdhCsJ^yk5uYOCvzX;HM^AQsQ z(B`W?6867%l>=DtNmfCxJ9xSZpk($St(-@955B?hs^_ENzjzfNz^kiFVWYQ56Oize zFbO|+Z~re|g~c23O=E83?Lf~jQUJoYaftpV;oVL4-;BREFucn5p#3ji{ohW;+mGy* zzZS9unvUl-IPI>t_k5SknvQ}FKI6-TRS}vB89%+j!xF$uxr~koDDNv|2tXtDE;kg? zd~%N@JUUEswjU$kLh(r;Wz!PFGJNV?%URSOV`+o7vPy}bb-UvdS7GJKYX>Rs1T#F( zDa)UmIZ}q$LxTy6ZyhS8X&TWG3NJsCRPxl2z9t5+t^F@xJ`e-~5!C#;ascszNtwEd z@VYj$Xc&7(CalA;R@YpO6xV7{*w}5tn{8}&l3>(Vo(!Nt7UrLQjlr_!yzHu=*FH2&uVGzQXVoh${L>>GX31?`rn zPwRDLjM6OKtlglVLht}%H39S-rp;KWQ79aqNkb+anknxOsg5L{vEhwFlqstI;eG<) zrw6g`^|^aE6UzCdMOBXd*h8t4EuU}01If&;@&KN6YKK>ZU!GOD1vWfNqn6Tq+iTYq zGX|D>61(V}>5wb3v&+-8p> z;a$ms+OS5IQhXg|vI-HA8-#O%Kmz=%J!7Sq@F!9qiYJc3TdRw0CIj2!9-IiE#-2)H z7?{8vNDto9Xws>#;IwZ8puht#3@Ch1#9^6Mw3C^6Gn#+H@($|ni{DNFv z{rft?Q#m-6toi!qs=qtBlRO>@>kQReS+4A(kO+HQl|_O= z@OWw(Jg5Lkxj8^B6Jz1#jQib5P*3>3_>NCu3*}Jbwtiug$-ts_9UGJM^%Er* zVxHz28u!yDSr{wS^(W{W#&2*f79f~g!`hRFCuYq>J+G<>+OnNRaha=``Ln9BRTvdF zN4AzxVg5i#fE7vo1?tM)2%KuvMQ*uH^BN%KrN^+AH8wdWccSz!s`_vKz1_D9K`W2 zu2gfkzBF-TvxBBKN?h|1e3Pz3ZyLU!MMVm2A=?}V+cJ8cdw&3Z2bB=zaBrAc2L%OW zje=q%d!PoQB7%aHh$u=8CEcJj z0}R5zEirUR4l{H&SaeAY9ZGk1-aUZd^Epu6M^A`^@d-i_! z8!J9*ttIlvw@H0bE8hgsvwbM!nxzZuAAYMbnPsPPU(Co38Y7M*6w6sCl#88oKz~C&Gg-${EPf3J zq=F+p`R-+}P3rN}B{H4)J(_C;_YPmXMG-qm5(bvEtmRF-Gj_@(-l zcC1%&W`R{8xYXntzYjfKyay_Mu`n^LP3yVYpZl=|;zlHVR(Im(qC95a#KpZ$=e75l z(n9%V+|R{h!}Y~#6-OyRFmM+0br9c)DX!jFP*YgC?6+}Wk-PRp+-+Sq zR=*5U&0oDg=l-WFj!}@VciFcR8`An(Sn z*f_P`T294RELsTr_&@;r<34%t`7+M^D?d!pMdA8SRCX@E7&z1WUta<{ zSUmJ6Ax`O&XZab*A%vx!3xgIrg;4h@E{bync@22Z74q1}`9{F+LC59syZPFibRXJ? z16*0dtg)+Xh{b~@o71`e8U{PqW#{e1`O9JSx&e3$XQC$fZ-?^NR=@|Y;tFTc$^VhJ z7%53V-kN9foP1TAa@w@6?u!2x!eapBIil%-coi}1;5Ruq zMAbR99#?EM?6aEjYiNgcZkP{~18G|-9x6tyl9O+k=%;BaqXz4h2bm!%({H!VOuDZp zfDG8_bQ8Gixso-$bz1%t8&@=UdGuXUA|Ma9QsxtDS7{GSAmH2*F=t!r{!kSaqfYu| z|6b2KEg-3?cJ2EuWdF*v`Kp#+%>yUK!z@9>Kn2VgyQ08x5X~I@9o2#snNs!bBnGZs9D}hES=4_0-0R)A^!VrK;k&a>%=R z@0I4QGI?4FPwMthEPbe*M<`Yf1Jdt?%)~S;bV_=DYB|YjzF-nW7&Ikgi(VT^DHg=p#Xe=zt)mWlGV# zB1uV#r@mJP9eSnXsTeEmp1cQ`4&9@_L}hsn07VRv&;sS@ zqA4tQ(L3o&3e(@Bf4$M-z_|8sq&Uz6h5vD0+=$Wcd*+*d)6d;%{W5I*C4|%IJLef` z(>$d@mgYxCyT^q(jhK%c2`D8ehDw5Z6nFxI!koLlH^hR7`|6k9%K-beqxbNMxeeX7 zcb*4Xzlx_Uk0c|x6)*;FQ^9B1Nzz!*e9(mTCP1{5{`uG##PoRtE{u7gg(IF$FyH-9 zpTA9qz3_lum6kJzm2&zOZ?2Wuy$KF{-N^6N1t#uvyAXpJWt(dU6ZumL#k$dNN_E4- zc2is1tI!!O;{sbW)>v-X-+aJP$q-saxq&q*r|Q@g3}{1?aro<%!mihT$RyE+iJK-m zrX?3o7HfrU7b`P*m#6HF1j*^8v7jWFrlW!}EMD(>y`lK5$eri&>2EpV!#Tm|STHG? zW4M5Z@Qf;jPXrLFew`KP5z19XCWq>lK&=wC5HR>qQxv`aR z*RI%}E$ms{+?y27lclN?>RoqF+ux^M3~BYek&_QU3330S61Z-nF*Fg#d3{Kr2tQR& z$RhGCn8Fjys2AG~9;Ril#wC6%Ye*m4Qq$FvmDSW()HTjlWXKDHLmkxTH=fvHbMt@m z0TUDaCULse_(*hPnX_^s>2QJfSd_rWD!ZJt0}h(!$3*AP-ur z0cKB?ld>*(ruD0BhTI zfJ%Hlpf5l1;S33}!^-)^Hf1uLzB_Y=v8dV|v+q4;V%idTkX@Y8!rvOq*Z9DpWX~l2 zTTJOy0jTl zaQSOJkYgiJ_zOS(sXqPx*C2%BT|D;Ix~RupR=47KJ$H8N);VCV()?kMU2HkmypKjo2RqVrhuWc zg7xD%qi=kC?oNncOFz=1zyy4$%q4t$$3;?0Z$vKvv{Ag@)TFcz^CiDR5sQ|O0k+QX z8(4|-I*eW^kB~c!uVQQIv3O`?pvM^NY6_|@i zCI1YKm%sb?PurArj}v1yR8On0yT1JAuSfy{4rX!4%#uV6Kh0Ex zkRd-8gJ8uO@8z@mzypovLYVWb8AqdJYl02Tozxd9A2KCazh%IQ6&an7N*8NjxMY<4 zAVXRFFqE6k^SA}&`>h`(V1O`mwkZ(MD503a7kh13!kn!wKM|U7G$%|#*ZP{)`t3`l zhBJ*nAPPL=B!=4P=W#C7$Em0{hW^IZHDMT^c3j`37iImF@;U>SKgFLZ$B+qLF&Ye) z=jdP!T!{@5D>jM9j;|s3vu-22{G#-|{uct0Pk@1sMQE4n~|k8WD`!jg+t$w#{WzG8#?}rS-Y%(KELKKJ$tZY z90D)*_Cjn6>-0Wt8VXg8O5^YzzVX82^1EK6U+vh=(O!%IjH2M|I1@1(k$A|Yb>L8y zZMxs`g6>;pSldLDC5LWu%5{*I8C``?p`MDuiDeH$rhTnepIA%Kltl4yYP7l=>kkfv zp_m2hyDi)nk40{&^zIeDp9 zzlxRV&}>$8pA3&_xryLUa02;fb?Dz6BhX8{2T*rxBpIr<~$ zHXm*urH^ZNM8&LL?wI$rk1W25eBkEoCNZ93uX&eZw9x;-FgFuhJ9zBR>+xrl)vAWZ z%ZE3gM7*k`5j8FGp}f!~f*hL;(R6 zOT5Wquo`WyUexF@U+aL6Uuf8-p!&0_ONaFP_G}veE%S4YyI1r9n)xZwVXm=w$}7fU`6tJwU$%NuC%_`pc_pJ3HiL6UE)eLA(rN z8>jfSp01O0c_d(|M0vQAYOWc4u)IxX)k~I3PK#j{_QP$ivDegwtOWi{Q={$1qq)N& ztFr%b`$@mSv=yXZ_K@v}OKF(?YP))TFeTLFo4yNkv3$&+Y_q#(`+@Cdv@urRKf4U* z)tmC)Lo*&JUTL{SI#mPT5P0bftvq6B?50_k27f3#)0e+L1JaYgd8g_4&duytDoB0E zZUVcn>I42Wi&+=A$&*AkFtyLSimZVVp*A-T-VUkeuro@DN57(gyneQSX^VW3x$z%u zz_tMKxFCn``WFOw^cj$;>GEgz>3KlJ9eDf!(Z6)MNCWmhBeD8l-Tm&l4lsrbX?y+? zfEnUE1_T^&?Ckyz8%9w-EXi%7`S@^G^oA%<&-;0&Hv3E$ozD*KmCZgFA+-oV*T7pB zbtrQvP3;c*E{09e0z?ZiWpk3R$6^-}oW`2x8G9Uo-lp2wl@xgZ?9f_2p?s*u97%7K zWtmFYuwXtIQO*~mp>>_}^3!wPMkuz76@C}i4bbs!Z%#eoSZWTZk5lLY-@2WeLAs!q zjwxRdU#xz7?U!S$>7E-;9J|z6Da$1s-2cL`!1KFGpvw#zBgv>%sm|(qkITKMry_`H z@hBb{8InZVc($~cyxMO7qzC`hg_X!X)}?DOGeG3UjsbOHtFJ_Lzbx~1!Hnce9+kw; z2-l)aqEXX5)2|3F*!`a;e6{DoECL+U-EwR#NhO6~{Nm{#O5O#GNsv8I8C)5&a=g`} zxczbGBo@qsp3lBoKMhg%AMRMb1gkC+!&$k7g~ ziv*>*k?`Q0;jzHN^w0t%6tvL`VW_b<Cw9rsz&Nm3-GN zfKg}pkV1MG>?ZdlmV1dX7`JSb4&}rjJv>37q>!L$n^bwOtdQ)C?l%!*-P#r&JZXLu zALIj8A}m;vZpFzc`w)icogc`rTsP$vpf}UQtU)EOzFOw5JaxKc5Etn-^<`pku^TV# zFi14@K}yc6!snBy`^#e{fnO_>gtRqSy)lDm_x2YZ&-*?Es%ZSolTh^|xW)T1oxt9* zwrwFcr#G^ai3=D0wvGS7C9eMN{$m}pC0cFTRGi) zbM1W|tLbEMe0c&GD@l;sf)=80Hajbk7$w{i`MUE9(vnMiEN$J-e7G#&{II@XI zOx%+;?RoyXxU|4}K3Q3v-m;|eR#?b=-yV^T99fX8x3ekR)#RiM4#YqSM;>0D)ES5m zj5qwrGk1lO+ZzweL*j(=Q}aM@O2LX_3qolrHl%<*+jH z5ES^PczvZzQsc(c(r%H$7d_d~qT=*u3z4LJ+^R*;lWtw{f0h*>RQji$|JlFR^Yimd zIW$qGymvKh32alox|W)=peW!ZL>79Qp*E2fRhF)e1Vc9VzZ;7x{5|Fg6Ba204r41Vli$ApkKz3QIp zY^3(AUWeuM?iLnzgZ;8bx)F8BnkHHH&P-~SwrW1H;N>qHV*bqQI(sHkC5pH$w&5!Sz;oSds-QIoY8+D+I*LfNP(Mw|CG!q-~OveCb9KWKN2#}-vg+Z3IL2u?M+$k zzaInwguUDZK+oC>J^hRg(B#hT^q7l{{^%J2U>5O>`29l){J(?v*X7uGmh^9mURZ+u zpPXe^0QDH+p7JH62I|=3##`Ofj#EQKh8(W!{gV|!2rOaM$DTa{A_ify#ba|OA(?4MYvE4yA&_}-1%Ln#xjH~wXsEq!i>?vZOq6S-)!2i^jMKiDGUrQ?p0Q4`~|DI%yGU)O0gxJ!Q%&AXN*Qp zHS_iL$RrOmZ*eNB@;9Ej1e67^PD}|A-LFOtZ|z-l&lALA7VN(RY8N4e!L;H$7+4l2 z#{4zMEuKRCC!i-InK@2;*KpN8zJ0Le-?_0gK~o+xb1%SXDEh-?Mg8bvbd?8dpvW^C z>0Oguxdb!26cJ~ujG{wAF1VA5>e=4?`W%?Zt6t2Nq5nxMYi_A7-Eq)^wdoGJ^~RK` z_ux^DuEuC6q`FZmIHWj@*i|Xin_XFW8$@125j~c!B~cg)_En-V%PW1n zu998e>y-ftO+N2r;&>h#ZdgArsZKl$4|!k@>AXQVC_lv}?^jwdD^>8}xSb;$X==}f zv=9=WiA=2Kq3SmK{3ojjPAO{inumCvur=oy07g3syo zmHi^CiwXQGkBM9!WVKC{3peOpoZ2}cR|rF?)_M6~Yoe)Aa}d1Th`Rykl%giTyV70V z(NQ)_s!r1y%cR+byq)WnKNz|kX0|R8t5-MW)phK=m zxb3Z(VE@BpR3FXv^S%5Ln+Xv#Ht=<- zf21T5X98+wRFU&DLO7Wt?&;mH95QG~MRB||J_{CmjRH>7a^z}#ebs8M>tY{^pY7&M zdzf2G>3Ee>o}#7t4WB%E<5Gr%fhdnieDJDr(^3asddtF6TP^b2Q?z*T)e?|DLR*_D zXSdH=D%v>2>kLo=Tqhpja1KRi*4fySu`$JigEs0t6_Z4k$$l_I&(y??xeA19*t9QZ zx_Qs^F0fKPiD2yyr`Rzrl?*=)~=4y^(4Z*Kqz-&tBf|dp*5hYlw>-dX=E4*{!9x;gXjD zDcr1pZ);Ekt|8#cLsdGPg=Yh^dR`3!00vrs)>(cJ68Q`Eo&|OE;?}Qbzas4iC5dS4 z6Xw5FKJRTIj-?EYh!L0&+OBCbq*h%aHvcW43yvT-ld}JB7Jd9rt$=CMhPrPw)9~NLotqKZ<`Ou%79^QL`9wg>Nf&L`F>&31w+?4HLanZG8`$N;nv+*Gh#||GwiL* zc;hEq%-1Tnp4V6zXxQiJvF$^q%~#{d2GkFmA)_W)4LrAnj3AU)sLJF{bXf-a257$0 zSlYX<{tFScnq)CH6?g>j^1QdmC6k8<9_=EVMR^ z?pN@Wyt&W=se3pWYG)x-=dy206BnzynxIqV;=<2V)=LhKo0FAM+>9rzb!0yU^f6Jv&yuM93btBW1U7r zI)aj@_3f*~jN`@7W|t_1ifi-^W{SPN(>+;*%Cy%h6dY`nG{=SvD)+D_@mJ{oi4$&M z`fpBnZLDd__aCIS$zsu#CWb*RbtR@|7M_-=Xr8BixMk8k2F9Etn~G`{M9uf|c>L4_ zYFw5zE%MOjB`tZ@%N19?W6_2t;^^Rvu%mcYbQ7ADC%5;)EI2Sl2joVrY;okM_Y!@~ zMh^tN80&D%+YakD$LoEy7?{m~{p|?p#hf<;?y%2OfTnqf_+LKQ6Y{smL9ZcKf=I>Gtbb3#-OG z(KMr6)bdJ2D3Qv>p?h}@Rh`PJe%!A1&(KW=?aGM*8|&HKE8*R+?`f(*Tl30dI8_`9L)XXi^Hyl64eQq+51=m|W7}&9UO486b;NoBao0 zqHR8viE&gB-Xd$xPS~)ff|jO5q5W{AvX!lgL)BJLNsa{TPo97oB*2c?=FnbRsk*uU zBSr5j>qs5xAQ#dmV>H$GiG#yq4=UE;*0f(KYMYB)_gmP+uZ}BJ#UJ2Tbyk)1%7Ts; zg!XC1>Nl9|ac6iXT6M<1Zp1*I;t)YThh*exUDnHr8_HI{2JrSwOX(H$`4HeV*B znNE@ZsVmL%nd4UcVB&A1T^+)h(&vmV94|9{4%(`k)r%n!DLH)jbYereWw<9#Y3m61 z8M3&o3g&D#bJ3`cGJ>l^XI-PoeX(%GI~goo;S=@W!4-do%MY1s@3xK7`LXtJz{({0 z1$)%X@m&ribh@i?po1V~X;sA&Sn(Ai}Brv=QRkwa$$>T&>d~Q&P$cTqbF~pCt@3HF)s>zUH|f zlVy970xMUhZy3$XiTNckju{-3wF~cbEeL0;7BEcV2=I3h+ENgara^tb-xse+d03=c z!JRLv_hY3C+FDGzW0|VrLFy@NIxXGv5x&WgPpzNrg9Jckbz(UqZJ;%lvjTR%e+v#c=zt1abB!tKRaK>#g3Dq|y)U_2 zPjDzv_A0#cxL)O8;z6>c!QX(gGFbRSvVZANdXI_C_dYWl zH{(Z(iav^hgr*XycWUT~-Y>uIOF!lZ_@eW42cMsX=kh(T5ck8bNStMYGzchc|NiD3 z=GamiaEX|rblLuARQaAz7bV@7+L^(uoK~8Odvv$^itl7%%L|=dIR>y#VOV;`x8Wzq8D{hR&X3g4#9*^jpj4_?T(idiCB$ z<^HmHzr$c}kDfFf0Y>C&BsF9rC-hS<=Z%-oB7~s$392xkRW}STZ*4S{j2Uh>38i`~ zCnoD*Mj6j!FzEfJ8HQZytSXf+WahVKH1ocbu$cYe-o*Zs%8`mJQ#6E0%R?d}v{fw1zmu@kyq=GIBvtgI z-rQRDO35n?&R<3O0r-EI$dv^VddZ*j)eDL%fSQKgUUj4hYe4E=PwkgS?hV~=;zK!3 z-m&l)2DSU*C7WY;SqHp={Yk*mHnW;y`5V#Uag1(t5ti;i^ngkAeeG{GyZmj z8YfHgGw@&ke?WmWZ9F+tYSGocsRfOp_ zC*Mn4*YXtZ&4=Jqwn8=&la%6g?lZaQAUmq@OZQ1^uPDt|HF*WyJJR&r%uPXkhFz8e z0;OIiXRsK{oW9*J9K5jIFJ?n#8&oQ2@O~zx@5mn0AFP-Dx0?JY`7Q(7Ybtfx$?2+O zt|76&pjS+xfNk{zsAv*MY0l`qeB%)c_uboqF5bsZ1EV4`mS*H^7#|WJA zRo;Q(UH5jF&fzzrdY}~Vl2~{ExrI<-Pw4+DP!{Bq3+p$%Y_htKFS{!`&8E@GhEZ0|34pP`{pV|1Jzm z{0)SiYIlaR)Vx=MR;xE55XG2Mpv|h2Q;E;?psme(H-N;M-lC2p)JkAJXcYO&g&uXw zb#-Wdx?FBhN4PVl2Po;rIy?gabvxXzAd|5RHr$H#{}PtF*q@g~PaXP(W&!MH(JK<0 zp`MVyz~BE0nxIqT#viJnFu&W8rzKnykI*p1N1LYV+`&By$bIbtHX6wX?$KP{8KaDP**Lncg#k)PHS>^b28@=E!rB?YHySiP=>MZ=?*jsR9V!2 zqGl9*tKje#&_lbJqDS6`SC-J5E^N%h)lgyHff<$M46IfdWQ_LNo4h+4fZ1J3#;js2 z$>Pe;bKSLhWYWUzWxHRnfa&I#p}O=f_->76;n34CMr{kC5_^~Jp^71G_@F65=g-3T zhZh09uPWFhvu5!m>)@P6aZm=Dqu&oJBGK|B4G8Ca6|c>sUV57>=axmxB~^2h#Z^4i}7LSIYTz{uL8(s@JrrEc;T&@D5)^|%LY9MlZ_#QbcXH4 zrlu<;+|=ng)#=G883N(b8>}q7kC?J0oMTv)hY+F0ajj^NSdi0XC#i`?9~XiYz7Bdd z_3kgI^cxuIXs9J!7S9=x_{K;Q5NOkLX&=U$aQ~fEgm85LKzvEPVzTsPAz{ZivB&V- zU=x!fZGb!PA{BQD@vPw-UH3Y{-YjMmAUdwodZDd_agX?K%vAgc(+um^LwOo*^Syz; za@GR~OYgy3Odc?{m_+)z-3@`6enXfFW-0t9rouOYG@IXs{>{7^dHe}}uSAwr2@SuOZpU?n55ooC=WKaHfPs`9i4FXQ?e6Y!JApC+-uZp>;j7Bt zW6xTDI9AUG?=jCbG1C>nu)6zHpDmU(Gw@@a=Cv!@iApl_=^hYcn_fxI7INLm z&QPr1>d#vi{9(2o&|Ma%z~K!F&74YIGKV&JWj`Kio`v4_)HXc$Rws*`=-UJX%IwDqY_kymCOrA(sB6RjWFM4?{FfcnVM%LZqWLoj_dGRnW1$dF>w5OoYy7 z=%5#RUi5>|G>m0ZGn{!4n>UOVNCB51@E>&QwBm5r@r~9WEgv?i>ByQNd4@Hxtd7@p zK9NtGYo4ExOf-C7>5=vQ8b79SKhB95;-jpvMZ|L^iJmK7;e#ty{u~Q<{zC@N!peJM zsxNaE!SEWxu||)5$YVX}lOIE~cFhfpS&T!22tF6oWVHmJAA`%%myjv+OEODZM=-)x9IzHd{=K|o#gcrCopt*nC{0;Nve0t?? zbnMPo)ZEp7uKjHdY=J-ce*bYhYtR9Jj9mQB7k>%jz$Z@g&+RV9`-}X?wf`S_bh!)i zU@}B>tlD`~yn1AOTuwmxZ@D#K!UTME5X6Ltp6u<iJMMI|@ zdvbuXRbX3TlX|T0UFlzj>3AMHG$TJ21LUqd4EUm!tCkXOmMf*x)|_z|NZc^j%N3aO zLz3UoS;Ot4%R#6R9ZO3~GX){Tik}x{R+>+}79G(ylfx8}+;;{&3njUh%Emp0msLAt zpe9AckuW7&9aB5BZSm;+yVH*FQVxg7$QRk<>;Zi17ggbRZp0&?%TGC3Vvq^w((TzK zPXf1C6>xW&BeOZ{QxsLN=?V-`tQNsDPSN}QBrbMUe%HqWD@JX|Y;hmPor$p5IXE4S zsGp%HC%dO7jiM*W>6bD*%|co5q1f8Ji2zSU*068WI#p1$bo5xWIls`q>QV9PA01JKi1GP2S(GH@%2@hl33IfN z{_km(3`a;|OQSxhDyAuMg!9478d-*}0o-PpoxjY|Qtha1vM(*TXJ%7&vy|gv*<{E2OstE=k0-m(?;u z@GaZW%wu94jeC6I%0Z+E3XE_FxdjjPNXLw9!~3wg5s_4VhuiD5PdHLHD$JF_hGQ}H zv-XtP4}3kH_Qy^c(cJbdA~4P$Oac~p>m-%s_yXdBEu^Cf1)Bq zx<-T>5~c{g$oGUvs?gC$$Hm`-)y3V4yCqAfJ43F(SntFS16yCtg5Pe(^Pnk(ab|r} z3@dm<0ruBw5>)@3`ch`BGP#d~6ank9_}n59Ne`YEJ;d+&rHS|W*xa;m)O-@Pr?;(o zQOdXU{5O6A*fePon%Lbfvka>{J*f(OQE|^n(fZ(2$7A0>?k=6;e3tsM#(<^_hv0)Q z6!@E=85+cr^=BuJqRr2s!MucR8dMw1Wsz_=vI1MbEeb_F2$Y4(v53b%^i-}Yx`@5; zMSpb`Kyb;)MQD$s>W5I;H7?vNO3f;RWhL3YkHe1ffEP4G9tqabrq9^#*TO4gO|wOY z80A+y)Px-MQ|VJ>J?he&F}g+f=>!kns)^KnJJraOFRu4tyO9#|qwZXMdDYyE&wU#K*CXg0cd`?29xpM_TI+2(86U zby}t>9)*qT$gsNTrJ1bR6`0&UU>?TG1(MK==6u01aB6y**VvBzi&<7bsL=e$$5-Zv2FZRp_(_`GmpGRae~C7(qm_oVlrJ za+td}baZ^Ye*_iHdWG(OpFGAL={hRo$YjBs-fS78$Ccij01877*oA>h-2zQtnRo?E z^5DJ#iv$};-c_*WpVe368Pc}c)KfuB@~DJ!pPRVPuX=4dp+8E&iatSsc={C3Ly1kN zmbntjVpBC)^cq6ouhSv5t(Nn zmV8IBhQ1`n|7rQi0aGol0r_Z`Wy*r-laY}@wL9fO~ z%LK?-ko1d{36gqLB!lPP>S&cy4vxEs6dW~{-|q#fhM>cd~YMHDJ*2} z3TMI5>a1VDYlrE>x=I6*Fs)Nx3}V=^NWn{0(}O zk&Tn%Lz=98i9@8)(UQ^PQw*75Y?bX}B(;_ad!|rG&a209G95}+}WdZ1+ z@7s#Hx~MI^5A?WyXBi0?hub2!fMqiYsN<8oZkqYJqYb%Lya#tY?GF8z%i^wz<)8O< zc>bCeYu8>s0FqK!_j^C@01cXUa-Z8BwJ!&e_qLDnEOlW}rB1v`Z<1ju7mFAra|yZN z-b?oZ%mY|4MyJiBUHRi4hdFxnrEyimlq`y++0*eYkyanS77Ey#b0uGBKrO%~)mjIy znIO>?$AHOo2yY9#!@V!>YlHp*l|osgJ+8+zoeBATF2Ed4B9n&E+)8hv@?yZzlv zP3Ku;WG}G8j&`JS3bSDzG%!Ni?r!3R%t`L9YO>n(E3jyrr^J)WCDJrftOP*qB1 z7%cCqK7wGXX78R`jgf%kvpvI7^vlT>+YgK`=MDtLAmYAQ008RCaEA%1-AY8?4u z$~ZHVpq8!SOgo%Yn_DcrJ6ga{cyTUC9|3pySlw72K5{u?_C!Av(YH{-j|iCAFcg7u zX4?Fz@1he5&kxR>bXB1m4ngv ziLzZZ&CyOIM7G3yJ|~EelE+@ZRSd0h*)~;A3Ck(8GTSD#0loUre_+ramwAZAMtL!2BIGVZ>sb#^uH3*k11s7#JEx=&mVpvVI(;&Oc8{I5>liwB?R z7RKczRzX>&fy5}&oG~$oK~`$g(XeY99@12}ci>2_F?0fv7w;)4oiD$LC%gb#ihPxjnq|8};sS1+_u#KzKKQLG3_ z50F$=BR7hR@|TyD3j5fsI20kGa>T@&`P86l@M(BYIDS=c|H^%gV^mG^1-B3%FCf%7 zq$U27I;q06-wdvxFdA>-um0R~qE+)YzC{kv%HYY;AY31HOF3+!#Nw^m+#1KWO#hHs zAhe{2=3ESFUb!4^T9EpbxWhAA^Qrfd?ESK8&r^>Oe~W4E`9GZvpVVTM(tifH^}b<^ zplH|#S)JzZxhKp&S}~l+b`+uh8+P(?jqU8HYhtCdK=6|stc!AHW)}mm+_O;^*bc_T-G@Gl2yNG<(2Mb@(86o*4Z9-~y4%WUI02eVs_+ zyLa}kQwceq*_U3DH+}=Xp=$TUe$pit#(#SEwQi3<;wn~hX1}`xDS7Yh_JK|Sc`y@a zrCq=*^ZZ>v;~$vx+_w){@%k$XkdI00+!4Ry!RBIhPlL9{aB%n_4!36sEr9R)0OLZv z(MF^g!3Vc;2Q@UJ2$sv2u(W%xUjTCVeLh<`Lp*v;sD{`EeM`hBQvhJ>5&^zA2;LWA zX+XIwLfJ(iC5lD{)L0EjPXid}H;#Nr0-z)bNGBSI!<*Tg7@&}@PXTz$?z)wQFa)EK zvdG{F=Qr zx_!Bw-ms!#6o-3oWa#OpDB?a3Tb(j`>V3&ql)AubeS$)FW|@_akc+kKt9OxCUtsH- zg%VnHtRD)wD5(Vhd9PneNYUni(M&~G#bXL;%dNad<4s*>?M=Q1*++IIb+n;c5Y;ru zFyiIRu_<}DboyIt96*b=s`J=aPe8noqZ%E<#_}u_gD#E04Qi1u9D=#jLi+) zy)p~faRSX<{0C*vS5<%=>>Rav-kyKTo!pG3V*yV7|FY06(X z<@L25`vM!UDz++3Jzy^^Z|cZK^6L9}+|iuJD#Q#pRF& za}{;E+VSd#kJ2t1#U26s;**bMjwQ8 zx{VCC2k$k;^Y1#$OoZnB$tx(S^PFfLqk_)?%UEo=8NUD#7Md%ek7da9kv4LK zkO&u%?eH>vs|f6rzuu+M$SXp=t(=nfzH!xuVa5-f#Dfl@cICt53O724xoVeN3LJ^C z&qgeGh(~#>%@sIHzY{e2*wY@b=qOSxdh7aVw|cr$8U3hrM{_0fpFr{sW;;+&UE=q_ zv~hV|qS=n)3C1UG-<{RleiMaLb3>!99QNUuNS22UI8@x1%ps5Ec{S_zp3bxtFr{Bp zT$x>h&FBhL%pt8d7EM2=?7cTrY|TqFGheGB_6EEKMA zT}h+S)=X>~#6Fc}D3AlixWIDCJ_Lh|-gi8CqExz@p{qv(FSDorBsTOTlWS^ly=+E4`L^r)4rZKd#8Mxe?)3dKM~*mIlY47dQv0V36!Hhgd!n5*@&hU^@&X*O_Nn8;h;3s#{%Lg8 z+ZoG0Z>wIKKt3fmqVQh8?tVkTV)5fD)+#@;mbQ@W6z^U+X0;OsQo?{E?RGuR3Af)< zQ;}GsxeD5S^Y|v8qgGh}gHP!7a3RO-7x*-{@K)Fjnfktwaq%R7?si<64Kkgb9Hp~G zA8{yORekhJWp;?0-s%=3@LC%<9fPPa_6N7=E50;m995cnR%N`}V@K9C%_gV3*C%b7 zl;(I%yP5L6E%Wuu68F(vnWl`ZmD|;&j}?`dg^UgjM1Kmo88{>Fmo!pz8^gzxB1$E8 zaY}N`c`0MUX#?c5?mx*aD^>&+>{j|gTH1RawPnUy`du8v$UGh-&&dw`-C1ersjOA6 zb67``6D*2fi7hJHr~@jdR&;Ipun#RfwKeO(K$BgZq$!?LZ9#{?zr4tO_gPm>ol8f4 z{eWT4mzOk5zFiSl$&u01KYqxx#_O8B|)W9eMf}LqIRj^6fW<0Xh_Jrlm z!SnKW3lU<;C%O*{9kkR&P`c^b}5 zIqQ+Buez>~=+vRl?9wmi#b!)yVMo1*{uuFcR`*5nNj9K%k+jy^5N`Rb5>D;Z)0h~A z1t*;hhLx)4tOhQQzH;&jpfTPGbl&S;Jr~{MmG+3H2Ce1c>Yre35p;f zr!-ZjCa~iBx{Zps2SV3QdnBb6h2zl-{Pl^Pqv5US@Y|P3 z0$QKYciFp#DePB-r{Rdk2=6*DzNu0Dlh2*qWWw;hXgB@Q<6qjdQ>J$oe&7MYC<2^Z z4C;eLs_VTxrDhVg2PiGpG^nUUxRuwPI#mm;n5jd_)d2^08zgvThOy!%B&GX(1HKeT ztYVWES6Udi35xNal1#Vjy}s!nyp!9v`?Bm2c!Lhggvk2>)%Ryn+YiRqTZH-fZA^uW zG8sfRo`Ii`WG-9s$lh>`YQu1fI&DNW*wqcJk%~C`$-GBxoppWbUCC#MlQ7%LlmJdwt!w`4qZt$Fm{H?rQHFw{+(!bARm^Lr z(+ObV1o}x~kI&NSq`0B)gEOhBze&81(u49t*~5)}a#C|;6B_*1!v<@E7COeGtK|%v z$i#dWR9?C8A+|_&dmfEIV&_DOfq~b3WVJXj2HJ!-&$OTg5IIm~>Q@>!mv&2#pKQM$ znS)4UKP2q&t+5k6lCoGQ`MhTM83dE<4v4xds|cdTqq3wzt8n#IsJ#5thMjG(E3cMd zD_}Dc1{ZwI+}V}Y82+VtGuVry-YxVOu6lP`a|U%C2U@MM^hY}UkHw=ePnb@eSk*mi z;|+)4>!>zYt0W*74^AN5L!Wi*R+R1W5p#C*r!JD9TOm>5GHzivcJ$Wh;kq)LO8yWM z+L>hcJ0!gAQ$>OGuzmr4_}thGB7R+tD2*&;7uvvvR5`I#&utrf{;L-ALCv{IohuDLnw->N;1+o=-$T|sCkBUjej8w^_7!Y@~4w|YxAMM zv_YUhmp@qo!1TO`iOO}6MCg?RJ=Weo4z4RMnXEP?W)X+1&&KH-)jw7ouFZ?@`gf=y zL1Y#*_=ORkw?2rxvi>h~|_Th$?^6F?pitFCI0LhsuhwtAq=^Pfj$)n8Nt{aH&7FdCqmyu^jN{17B8zED5Ds zcUOeJI{n$ynIKfp^%8Gq+nzXU#IEYkgLo0O_l^Xw2(L;D7lhq@gJFH53@#7b<4vw) zXFarpaYHbwfcDGK_^ASDzsuN|?Uk1vL@iFfRh0x$(wjYQ)k}(+sY{B=c9$dSkJTug zoXu@O8pSuCk+O^vhv?uFPfq*hBe;%djGW5N0C=iLWAKW*I9Wk8)GvNcdKWLGjnQJA zE|p5M^A7JS$EPumhmO$%90eFin6e_N|J4Q2#7Un1vc=fG{zHC*VEIO4_}1W?gNiNX z(>T)0TR$y*8nE$68t`5wc-VFso64dfZK29J6&xaG@nX@P`YRPU2Bv zijX4-=6qWRonV74V9`t0+)_=pD+#z^?*Y5K*!%&<|FgE9^YVoS)iMA>S2LLvvax;D z=SuSfYe_Gq0U(;T4Do!Plct%zhF7hJ;7=X;Hbl<>14*YFz+4zQX=+kW7J2_F$?Slk zMTsZ0-ZR~<#K3G!+RnCQz2!AASSy)M1ynB9_aJA~Li=Hu8JF|0VG0^qM|nu;`dC;T zN=E7UQvq)9i@#k8C&k#(PE=B}yjto(lOP$ z=Sbgxdt+#qr8|~PVCz~3v>jN@JSTjku>aZ0%A?Kg zM7VuMO`4zgTV;92=nrNX*wX#}W3F)eFB3N4!v$ug8Rz-VpNq-F;JS~B=^^}tnk5*fZ1hZcv;sDkHueg(dIm-?w1P&?W(Gzg z_Ihr3v|`qdM)tNgmU@mxc#if?Kn46}jt;U$_5wCmwl>yA)covznk0N&Fz1m&F$|<|H}JcdH*W~f2H8B6#SKfzf$m53jRvL zUn%%21%IXBuN3^1g1=JmR|@`0!Cxu(D+Pb0;I9!j$`>;MZ19VFcl^FE{7NYhIVHrnWQb%G z5Q4r9c;1Q-_zI4f#4y`dqw0A&~}7Hu?ZLuzGlj0Myo6u3h`Wn} z%=xoy4mVjt(8ZLPR z;T)p;`_j=i{N;7VO4K!Vm9HS3g?F@7*9oW`WmXEr;*vdGB(XvdgPyRor*nqA&Jh$o zKI$1PKGbUNrgWK9=QZhyhl&_Uh74u(hJqWW?$M36pm#3HAo62qO+-M#d?AviCvMx# z!AtKg=F7>vb^v9sBlyAc`1G4@yB{Z$LlbJC{Q*ZWbvVbBo_~LX+I`cBt$dgTY4(XYlQR2`eZUIR334kizGLdlW})!#HmBLEA!9;!~2jO z)dk1JqN%V{V`s@4iig!)9@R9 z!Pr^3Zg2j1NiM8Ub}YTLx~EaDLq$Ds73$oQ2nQGEn8AoO73VH zN7U{r!;2BxmM1DGF5KerO;$?Tn$Zvz7zw2XXNc4)+j!{y1>br~5*9i8Yn{GVAND{r ze5KZ0(;<|uw6rY%vPt=Wb&z)Xgzt2LaA35|BmG*Yt2XqV3SSkpU z>IPqpNwQ)XadriIS2@Txl<7*cQNL`%C-kv!f)b}Dmevi!*sb!%vyy-)z@TS6G#Ueql|z2;(b_q$*GJWM~5KBx0}bCXR#6&nk@H8CcI`M=ZpiRq zPt|2Vmq{}4DyNjn$|+EWxVqBp$D9pjo0XIJBI6rE(Tbzvl;)J3k(iqkQ!kRT1?KXo zwH2)XR|)t_F#0RN=4%KqT5%IevJNvDiIWm(?H!N$qnz{mlQh2iHD z0ULm^YV8O-0B->RA6Cl9&`git#uY{b{D6g?0U*CJXo2aezbLjpN`jjQlWAq`Z44BQ z9Pu=OpMt^wo7Tt`AkqHd&hr2IEAZ>D7@pR@9q4%A;sOrzcwla>JPbECK!*kT^5e0h zA`>3{ukA-skpT}pIC-E8|L}Cdg~hDc@mRn0h1w4;%oN{}~Pd zD?8o4C;$K*;9m@1n4b*ae@Fp8$MW+XU?{Wz@7KV{*3n7N5+D{!={Z>7vHTeAe`N*3 z{NG>&@3lQt5`T6pWc7)DWQ4|x@eE1V_x4*r6t8dW*RB>-!{-Zld^Ld>qEX?jFU(P> z(hQxfMvnxgsrs~oPOUi$j4HjRNTk#VlaYlG`l2NrKDmfF;JJyKZ6#-mISkSYNH3P` zlz8v%79WgnE;`EnRZo^~&5~KTF=(X-CBU{!e6_-*=#YFtt0kpI>S`thwF) zi?{KhXu{9oBH z>16oYhJGiye*7#A8=_fXkS{&;1F76^zPK?mFW(@f2#{gYio-?iroO6FzAFxT+} z`%{^nA78X;4)T6Y=6=n^=fb7qG@tfve)w*lr!h10;VRQ-En4LM8{+-9^+cV;@_Ef$ zg@=qpgWN=msS2}9pX)-O>p>gu6Cg}y$8~8($!=jn$62`z5OlS4K^*R5nN@7sLY<%c zjzhG)Ji$&^K&C4ZbfCb`Y41Eox%`0W{e9XXk~yw(bad3_ZqlYat-g_T9LS=haI#{< z<_NO`#5B84d^pw#eF>jHkh5_{T<-mF;iGeVJ6n0DZosw{_ab-(98Pg*R2Xf-BJx&{ zC^_Z{s^SBi9ynnh$tb(7A5Z3Lm}F#FeN(v*eR-06NX`Gq={ z0XiebHONYK4LRxw@qU>p!QxL(=a%lzVG8e0H&7=mDus4sfLRmCaNlR1+PBp~1g(qs z{>*#`1TD&N+eoG(msn2pxk`MvjC@dyi)mRW3cB8#YCo?}@1^`WkFrm+ILDmLwh7##|HSsJ^;ir)KQj_9wq<5{+xa?XlwiZ9} z-p)_#QX>zp=5DE9M{nkWM zoIUDIiC?g-IG1YP->5#7ht`g zXlJcI?y)T4n;gJgE!O?Y!>n*v?Z*e3hK8u=KlQna+zS-fPY}C;)w$$Hf(Fu^i^DaX z&_T|lEP}__;ua;7Bi(TnZqvKZIfD|Iy#6}W?>cRE;s8z2zEsYMlO{#UAQn4TJFqW;mN;FQb!<3qx%0)3`y$RMZ}$8U zVEACl_||hVFV_kUJ7PH~kGFTemg?hO9738|v)7Z1N<_}e1QT_%Os)Fl!jzP8?M0B` z%vn%6r@h0&tPfSUNGZq?RwE=X&DuFO+pA9F|8CT1&wQJeb;_#%4#`lp1S$CFc5nFJ zGAU8$X)k(lx+wea5189*NqU3vu%ZKb71yX$Nb+0`Kg{h|j9{k75BY%^l*5`JBBA8n z-P~q}9v2$T88P=7W(VaMhN8us$YuUxsy#DRR5Q)qUDI&$88J?3%X2dbY?azHR0U;k zkJh9`kBl#$S;-8P5P;RObk{^CdN)w+5)js@{y_m1zK`HBU5-01nTv~gCCEESh8q50-d675$#AR^u>sUWM5GcS%G z!&Th`W2{at5oZo6j9{owuIL0T|Bo^g1Q*w+~wASRino|qN^j0IEBnJuHvAjiCJ(fP!B+1v85~))it*idNmqsGsO+HdN z4!atm1g|+7MKE!>4}ZS1(xD5S%+kJuVBE=!tZa|8)1$Z_chz(Yp?j@b=msSCctSdi zwP&q-+_Vet?(5gjFV4yrZU?&{oTpLqe8&4gS8b&BK~(NkL-4-MR-_!k6ANqx6pfQ{ zBcW7nDbIcMbDg9|s43Cnpc!^m^qKHWycuK3U=Q)37n*DzpZuJaJxbuvpNRg7Ro1~- zpQ!tAxf&@!yFX*~g0nj+E#N0@o*5(ELVU0w%0C0Z#ClfsHVcp&&TYa;GIQJ#VaSjG>UB4c`A8 zf*&1t=BPx0`g9ovL8uz?x`GXcc>FIs7zywRe{8eHDAUrQvmu?1i*yU0bBTu~)`wA^ z8x^0E!~2tmwT^3^hoH=>Go8CZ8;Ny~LoYgx8RzbN;~)|-^4udE0i}mt%o;w>FuftE7mB~)kSAMnK9oGvc3*Q5( z<^mqR@jPJmy?^mFtRIBZ=ize(W#d136?uOjZ8|$|T$~b4LcmAzwnlNfIWr&m`Tpr; z+%Sp^XLVVyIz=?(=su8gV!jD~#*6V@G<;%U=3~YWlnM{=aGU7O5tdnQdn(tBpab zG;GV(k$y3)hF;``)SzaiQ<(^Fg-Hk8yZyu9niv5uZ3Gs}xQ?I%mz zr@;~Iv=8Z-w;dQA7!9rj5&N$=&*ZK2q^uiuBpmOmZE;!RM4!=OM#y%!>JI8tF#C!^3gnIGWXJz@ zuS5G#j}eVGwiV=al<5Ar->dIkRMd?-LEYMf&!x+~OLDK_YYqS5b275FYod#giuFesUOVf|LdQ&emmTQ3Yl3P?BwbWD0+V?^H4_=*M3!Q<0Zjj_cboQPMrsq z6OhXrK3?bewML;BS|C^5mo9tNi5CjhmLYyIn*5XdAb7Ru=&z(ERHY8EG@q&2IEZrb zQUlhMwM0kI?q~9sw4C9o9pAeWH0R0K+XdMZKkSlL2GC8?Qe}uD%f|@WJAdfTM>ZoX zLgc>uwshCxgOOl*u+Tz!aU+=HdAFaaY{NS859gO05O6LpFqWPGI(X?Msvj zAVcu zO&2Mly!~oVtVpx4s&}tS`jJFEakO+yA5YzoGUJy7tY}TxfD7!_<9^EHx><09+GDZ2 zII0PMwiD&$iHWZ3klyl7c>bXQxFBNq9vPeO7ZrVjEtVhpCPIU+-St|GDh>94jh>Md z@4_X<#-2S6hr0$xba9Z(qxa0g?Sp;s9S_hMB9X+YybBi1+b)}CWqz}0K20BIRid!7 z^e9o%?(da-XsG1pgl6CB$Y=Gel-OY@0+ z$u3ac@)3&@%{P2(-aAVzfS+BU4LL2KoBFIbJ$)@z6L%*OX9HijSt6bX^&#>ha!GaV z>c~n~c9|J;yy6#i*6-I^J#Tl@_pm;tjCJv%jEAF1`+g6~rq$KnV)2#P9E$mzak1b* z`_<4Zt-HpPd(k=PC@zyJ8KSGi`XN1;PUh4z6uS%uY9a~xW4DL9i*(;TqK9stTLC%Q zrOQvO{B&-mw&vz~cY=oHWktdK`Fq~hWov5 zewmFfz3T*4H_#JQiQXv+7&vE{o6i@sGu< z*S1|S>NL(izOtN@Sp$-uKGQE&wS^H^&IvUU$iIY^V}_L`MN>&BnJw9liUs;MgVOuT z#${>o;q2kIwCCIDZcNPKRgRa*Ity8mEr!e@(;{X=5(rO%YwAajMELs7Lj1;5j^z`T z1z_8N%*V&yQ+f-53`-2n-Cs@f-|mrDW{R$oa~4Kqcwd{1`T|q3xb-z`zCW*W0!{Hs zah#YGNTyXw5>=FCOI8U@5s2QP0W}~?HtV8n{nihrSjs(+vUNnaSZ5I2UQ%w>TE8IQ zw$B?0Ep>QmBCV#cg)J_JI~Do!rtzv3oSHn*@fNZ*g%YT7ttyOEtZ1+c7P4eT@wuUo zA)O<6M|i~acCg}Fb3D`68u|DJT~mE;aThzSqVIIOZ0}}1!29pLNojNuRTrCc5+1MD zqIllya(i0OlX_tits+^LDcU4DNn6H9vrD?-ZeyB_q2nos8aEFyuxeS?A3n#tOG-a)PyMREZnD^#eCMyLPj=& zjP6aC(TeY|j?Ek(tolaX9J|?8+sAo2W*}DZH55U#QhK>@5;ZfyN!>`Rau3s#jNy8% zt2zmDe_wjPeqBS1m#h;@nr~+W3Q{$Z4Yg={U#!W|8teMHx`gxNkngHIH-H?(1hk@3 zwx(*~a>gafOvP-|mG8*^R57_AAJVIz)w;?AC+@?iEhG2NO8yRjU9Y7WN|MWUIur@usn;^P zP<*3B=1k+U8!gbq*1+ZjB!0IKZHVGyX7d9mi2H13YR%y)-VOy`uj0JrnyrO^U?G4J zh#`{%yl+bM1@6nq*5r{ies?@z@ESO*yBqwRnW(}O(k{Mui)S-&duP*5c7E(3zm~9d zZP3=cCkrd^iIUTcJRpa=tUg`6LzjRcrz#T-bo8*QhP`oq-GvmUnPJwA~v`Xw33L(G3I^>LF4!@0V!|b!p_On;> ze5mKBDI%>eTVTj>XrIS|` zB1lB%oB803pBNzeQS31GP|`sl#D6iC5BlsKMuNCu271a=$uj^jer&#lNICR*xY=JP zq0av(zn8B>U-dQq!dm<0{T0YfSOgQ_$w4zpec=Txpan`m`(KFl6B^fKiZChNJ7zqC zp3xvET=PoJNjJ1)~V9+7#AM$>IP zgh(I?s!DNCJ|rzIE!Yp4oybS#F8ErVCp{loj37FZPP4;Xiz!>%F{Wlh)awWhJ_HH@ ztO`Ry9K)$!x+mHf>9ke>wm#EdOB!_{$U>@1({%PH6MnUCZ{1VqJnuj=clE|FG`k>_ zVm(xNT108_P6;k&l|55%%L-w7(ft4*Zd)PnH)JmMc`QzqA0kQ%AV?YsV)=J#Zvmt_ z&~YmfEqUA+XJI@6judZ-6cy6PWlGZMy2iy1)`s@q`>8W}EqIRCzCEzWHA0>tTf`i~ zjK&->1xL`;9RSqXu1EC$onp$%2XgdaUdbk~Nn)<15&eWc^dO#)AXv;;?|Z1(YP|@VMuvA|8zNX)#Q+HyE67 z%6A6P{U0wZenB8Y{^a;mw}#XM>*A_WKz*HtDX?S>KNWF*x^rVeeqmIwV*P~#(EYd0 zjh*qL=?Z@7*3X`Rb#XtSKG?30XuoYcn}A(rCk>9t>~{ntumNDgkIoJR3Cw6?N)Q zOGruLk3h`vFV6pr0ce`}|9R8rl6+p5%Rw#&^KOLq^KBj~JD3)~yMgy#hdvUM; zm?}0i$i4FJfm{>XFj(GyW@i)fT#Rp_$u2i9Bk+Bqj<;KOH8=a~rYIi-;J?U-2pRO9 zyl)$qBc~p=bsuP8+Db}dS980cq_>D&eaVsYO_w{mUJt@`-x`ab%RjKuX?CRNGTNur zp-onR`Y}5#!n&ELiUQny#ic5h+QZxg?-#u;7DC=`pv9RMe3uI~Hz-+=M2nM|HD$ZN zl;`Hm2)5DrQmNDKN{=E&(mXd+soCyWO;%xv%{0-j39$yqPnr#cNl_MwSR(FfgI`Iy z*KTf!su*QrDh7Dsh~28IaLrlKq`wPpm2Fh1gWq z+Uwdunw)Hs``GT6;I)g6%ti?!4&53RdF8ee^4TLPIQc~-s+>9@*Te0}l9l(z&KcUm zEKLgUYU50%I5gR2!#&Ivu6lB6l9^P;oOBxP_f(~_@?>O1P>3ZGDjwpYb>R8kqx7wn zmzCkUJMBjF;kz$GmDB%5rQ^CBa8AZ1eG*=?AQnPU`2TLQbzf{GeFC_0r-HhP6yO>0C1A}*}p}QHKq{JqR z^7@{lDoXLsq)&IBwUHY$U>-uw-WWsekR+oR&&(>XePwrw5z&aSGH_^y##l;% zWpdI>A*$mF!yX!RKB3;np3jyX8=t-#3< z)F^+vg)z%ZH57x~gLJesZrkb?9P7%V1;xr(0&|2nFR~k?_9#MbtOZBvL5ZxV?3bK_ zxD@Mi$wEp8mI=9yr>2grkBgy5NSUiZNu^1j^|#R%T_+RNVfw|296^+Joj;A&mh8T@ zO0p1zB(dga@EMGgackNcmX$G)s8|`R3fA%}V}!%eHE&KJVDnK4VTtFd@$ z3{LcAP9cggEZH{YOnGClQE#hTMwv=Z@C$kBHH){4vJ=^GYs-xD!j&D#Ge^`CRv!sD zZ;{Hm=h>OmtgY#}z7@?yIN;ZEw}cq|BA{C`;(%RIoYl|zSP2pJr+eTAI<46cS+H5P z-@;wg9CY>p>Q(P`OsSpA#jH;UkOhuQOEq<@ES1TbL@%6p9Q4*r^VKV2+;uvx!`Gu- zhNcQ^%d;B|72MBB-KG|g$a0UNPHx>*d)1r8qKQ3)2be?-nci_SzVvF6AH=JOjFf-1 zZcrMbOoh*k7DvU8)*u(pk^3|6L_=IBpj+_e635cWE*W=3u~DqSexS~`1NcWs&tUH4ejXDVV!D9-RR!Hue1h5bvQSxe z|00(3SPTIO9G}clHlauY#KBIE3c(KkmO=We#d^IPxXqSUkLh*4It?flz-5Cv( z8M825hN>J)cAz%aQE+9jsBu^pw3TS5HKa1+~210 ziGS>f)4~vQxmo_wNOJN?k15H>uDH6aRop7#%$aT4uZ&$H*TuA0Bb_?wQd`Owog-au zRi>L)HIvA_rcH1wMS8S%fldTvS$(t_bB3GDcyad8H79p1?fR3I63T@_{oo3fMuLS> z?~v}a9_{gJN~NtF_eIB$q^3Tb8@pnAC+E|!z$>$mk% zKi695UNbhKeR*tLT@`gw?cR%xYH>T;J1vt#gQZ85!0m6z7|la}E(e#dj(Ij7Ogip3 zq%6^z9od^(OsTNkKsWR0<9%R;#tNiA{lhwxh)WGulU@gWXi^LzEcKdc-TsTzSf84d*s3W*!Sl| zfPH_0va<;g)RdU&+aqpMVV=IVq#~hDlsy?HIDD&5=!TGh1^)akf zg^&ow+B_r*tcWVx>aGPf&}ZLY?u8%;ysYKHGC*X%@gGmfH9MXinizT4kJJo(_id{; zlnkxep@_m$*6sLUKfNTEGwHE;6(&`n>fJ8SySDJV^IP|ClURzwSP47f1V7M*C9&_F zf~=ofLqNyqZLsex1I7i|sze&`g^k_=hn_6S(8GR*<;sdw_*9Vk3r(6{C~L&JW9xSX zWxml$>T0Xjh7ta(d1ZnQ)HucW>SKb%a$$g~v;?rO6 zjS!o_MGJ00aI{RHTewuB)TWym6%|4}_s3V%HG$sC_nVy=Ri*eY8AP@If+ymQ5+8<2 zt5Pl8z#_yNx;7?4J$Q*Z2Lpbqpr@s*ijCGN)=FnA-PRDk$^549+sycEl0SF9696-% znt0A~!MR|bNwtn6EOVYtRcCT`(-lf>_{01Z(5CEV@ccY0JRlHcz!@w@Fsm=n`=VUI?tk=hOPLGYeWHLE>FPW#K}pd z;xlPkSc9+n6BKn00a$MTi|li<-`GwA@WRzI3-ICn24s710Dc>SL-YNEb(w+IG#F7K zf2Ym(-?Wl~pq-nG#p||2?Y7f!i-+%19p|e$*H%{={qlrosRvjOzh}@AydDH}d-gpp z4j;xE+b;|fy>rh+hC&B7AUYX;Pdqlk8^2kk>0O>yhs&*1=6%$i9$_k0)NX$3NJK=M zq~QIMhxl{F1px+-59#uT(3(c(r}-S2w>1^w_78(cwgmsYPw}2a}8=* z1Mm~~MTJjs8j5V8+y3a(Ctww@_^Jwy7PeoiMv3kFsh+&GrPV%5D$4e2u190Yu*3Sg-qrNRNT^uP=`k<{yd=p48rN#K zUw_Y7?Ob@52!FgH6ta4}E>T#KWqBA|uMRo+tlhtaLym!v z*pV<((8LVHeCVEp%J2zE6;Sldt^A9hG}BMjUgsIbPrED*-SpW!d|A5OS(3iLZL54( zWeOfz6~!f%e**dnJ(`mK@)b^>x|UqpOrKF&9TbRI-so2IWuf(sZ4ZIx;h{yh%EFpAD@se)W;9|36x}*JZIG^0i$)RCg(kJn8EcGnEMWQ62$Q- z*c%q6Um8w58MQtX?tcG> zWVQ-&!a*pEWkm&@(otr$IX>TBc30*dC5Q4;nm|0~iDdoJ6p`(M(30uBI!aRG{I1vp zhO7ln#esvIT>`)91r`>~2l+l;BQ(3nmwey$fNzz6^Te%7dN)UGJ)`i1r6>y~_MyhI zz{5f!7VZA@de+#%A~5+uW>yNWUTq*P-p%3D2BBH=9E|z2ixOdMmD0RMP^go8^TD#NJW4`r!@NIHGU;|QeH}3b)D7>DU3NWflU<}ObHY8d-8hv z(UylHRyfblBblkGHRB;M_M$Tk^c5r(+!;D|dD}jcovy&Sad)Gauvlz0VtHEk@7SH| z_gL(;sC+H&B=RBqC#j!nvp$Ezrwaf+EKK>=hT{rS>QIvS{QZ7}Azr?9N~)5Lt}2cG zrxm-oN|`9l&)KZic-YuDUpKT&tU3}>R8J}^kC3ByZDrSR3-(u2$`Bbwj>djj2YtwqCWyRUaf}ROI z)bRO8s)|6g6X*Ec_AOLCGe#G9N2Ulyd+VArZhB-q1KCe4QZqIxjC1?%Qt!)^-k62= zXTN_l=OZyd6_zI?qj<1U)nRX#o96Xpj2$uiz2AG|z=)Tjt&3^Sk+^V4!c{aRo3>W6 zlUB_)kf0zWwkN>WZInF#%Sc*GVnt=8^0fAY$&ophP_e&m&Q90HcBua7S9K$zL*WtJ zwi(|Tt?b?S;8x#*rZM%@OX)!woYW;y!7w(;O`blvxdoe}X~)BA^7PI-rO3BJ4zWar z#(K#yUpx)DkNY2cY;mQs4=8eAE5t>rs8Y_Vo8fI3*RXVb@%EgvQ$JM<`d)v86joQS zP+QI9RRZ7w7$aLl5M_e6wP3`L=ke%0fTn17lb4=O76KmxyPeIj_A&8POQvg z!6n&X`H8qsop_95!&ZMcqe!w{;DvFN)Edp+26OIpwHVqfZPcliLBy|*&O?>Fx}6NO z18_wO6?oM;+G9sS#Lp~2fDqMmJ=h;-K6O^{Kt07blXFLuhC9${_+b>gOZz4d|31ea z*D)^Vq+$t|kP5R%T5{A;(e)j_I#*t%>gxx)>v3LoM9PXFB0~gxTXo=69Ee#w#hR!Y z73L>PctnY+c$-nwZII6tr!Kc5aoddTay;$R^onI3V#4?XO^B4+zF`(WRxIY+j`VId zc_}@FgUT=~J;FQT4garbGl@R0FJ26+txDD;%u-~kX-aWw?Vua5+h`<{roVr&Y70;3 zwcJM1HkUww&Q5(&R3v*={{p%yhjl!e z5iUFEp3(qkNa0NvQBKyX3ZEe!n?v)3(u-!0)YW?jAcvVUXh&%B*P0xf51W zBb%;nLCpJ9Y`t5>q)x}@{hfjnz)eP*Dv`rVoo|k9@gNO=*F@7XmflKMfH7;DAxaOo z2J#~I%vUhlKv9%!HXTsZE{8Ux!}RY&sv{mLnvu5anxn*c?hVUg&%wP6ji)C}GzrU)kN_>Y{oEXGR70~w-Kb3#4F~i=Gw?P+{3qPFH;b-jei*TmLY1a8Q(l-HVNcC%OAUl8U)`i=wKboGRbmEo1UTUt;0 z2Nb3l)Z<$D@zgkEI8gj@>%^&V+SkGIz)(kNXO`YFSy3hN3GU;}v~5vYm(2P}QUNBr z5B21j>csg3MbGWW6*%!F7+DpVOv)W6=~8{W`$M0Dghlcn)?F5iyjy0K{lp7;`d_kU znM%etWP|i11j62Pu24-yY~p-~VY1o^9pUUFD`DNC9D}YXJ$|2njnKi@`!v15X$ZTJ z|8U)}j;dgr1|Ng>$mUgi2#+RvkJLiNyS)^#)#v7NMCaFG<_xUI|J zDVNvMLscdkiphC z)O_n$Wan~hW6L0B)IG(*t3KU)*qWQZ+r@xXlIOIEr$j0l zYGJX0W}-?3S)nKD*;bi;SaHJK1JLLEhXt={iwyi^Q3Ja}VeGy2(Q<{qqLrJh+tUS; z?v}+DlRdVJ`RYtw$WesO*%Ig`D*eb%LIZ^8rt~C$LurfV0{N?|t@-Ws9i)Ux@6#g4 zNoOmWuxM$J(l$+h=#yt@XuwAy>D75yPM7gIsvc6o49=gP>YA1Z<%5FbNvlJ@&uqJhnEDfNy(casafU8O;&`@~UQ{|W=H zi`6_zJSTUS&8_$en_8tu?3QMS9Z7rnJlji~p@O52^MSPu66=-(MRm;wu?&zyDPS;9 zh?leVee|50upINsbbGnH*U-XH zA|k0?q;4XX`AwE@a086uIk2Q#W(Y>13&ytKZ<3O3BoG!v8j3Z=NX&T#Lwr553z9$V zy?dNTVsGVfV*Hk{*dfDX_7lH|yacIcZ26&(Vf0)O;gKjthhAbR`#sZXKwX-}^4`=D8rn`?!SO zf2G}Md;E0@zvvrQPsY6AU9Y8e$>$B}so|h|nH(;8KK}Q81Sz#;kamosmvhC@riHHG zEn$tn_Q9Yr`lv-K9a}O9(!t79xzyJ)DzTU;7YNmBk{l(uRiV3kS&3tPQq{~b_Np-H zqAIZIwrT{x-Euy4m=spVof5HcIZh@2P`-}oN-w&7xJZ+&;urcF4YYVncgh?hoU2~m z?I|;aiW-YgXQ}efclDTHP5SlcoMm6eY0IK(S9b(IfkI*<1TdY{+r+|?H8iN>3^KQw zf>tNZ`vkyScUREz>0t&XiN`0yYp?JXpIrlW(Bo-_#I}-jTdqB&MWR|p03C2JDnhT51@3XIZ&VqK)V==(pAo2P`iMsK6>1$ebI0y^c8A32OoL zm+98=={uYApV$}ZhTk`CClxfZt-h4%JP1_3cd^4h$NcP3uy8oPl|fGZng<)`X6>?c z#7ARrGo%Wj#{0M>_Akhx_|FBN|C^Z835(vLv#jM-0rc&)%)sRK&Pdb0h>q6`ex9vD~}H1nTcW&OW075~)> zbuwT4&+MK&vwZ94ezD*Jc7M1X?JECYTvC&tep2XvcoHokOe(Sjxw)>l2oZU114uJm zJ)O}jmcy}G#dpk#Jb>KWQ>QxS-uCvMj;^-0dbclMzQo1JjI(rUI=CFkbG5CraohhM zg#@Jg1m+`++Luv9Hm2Q_R8DsHT~VNCi~`_?YRm8vbPVxNWrZY_R)(A1?(}YrdaY5u zthf+kZ^8wt_vAbg4paU)X8^Gq=zNSJ`g1uekP^quq=wC4mYe>jQ^e29ksY=*XEf5|S&oa_q26Ab_#+)0Qit zJBJzH+k%`=w>qZJ!~wyc7}`)0b&0$W#-!~-Lk?DN6`y<#8?BK zt!91l8Q~5rpL+X<2#xtmvso(xTm9@8RGrpauP;3l1v2Fl-Nv*=8&=%DJ?X32S_{Se zx$eKgL0e|~A!D5gPJ}TNHz$$~uk?w)c;$XO6@H*@6eqM~tNY7g&4vvD z9Io2#+r$^%Pu!Fgc`(Ye0P5-!H645Ag9GiGLx93nT}em^RhM8|?=XoWzL8u(7EG}_ z`!y1oLWNNbGTFq#`&s@Ai51-uPKuq@;YHIPC;E(e11!kTzt#;kNmT%h_yDOI&Q4I_ zzuhcTa&icYsp{!;p_#ojXNq4@Lt^=0`nWpnW{S6`(7EWGazFJI$Ejv_DABR@$`g0L=iuF&`SFi+lYvwEHRNg&m%`f$mTJo;M>?_b+Z zLkzI4ik#MBN8n+^y(6pUlrkvu)dy2;+Gp6gjlAToTk%0g9E=bjE75xxN?z&_qpMJR zRVk6Q{qJh%Xd3=OwEwtV$uEw%~`bhcMJyx8IM@Kr^Jnj&9)J;Xh#+$1b5Lp0Nj zvfdFQ-_e4mqcOfT?t?*v*h+;w+FC?>Ud={v{E6HiQ{-#b0gIB+gw+s-?=AT-F;vWJ zzeKGH1lhpHM@^9FzYe%I2reGy+wRZSr>Ig)F134ktjNM)2`u)aF5J~m^v6t$?t~`T& zFMLAy^mssXe)H~PX_(7#GHrUvlmUjEGGBZ^-dN9s31uOQR?A323_nnnZ^mR(SV#D3 z3qTy@p9;?m$@|*RvU0LMdTTnxT$V5P|5z~o8R5!s7Pi?e{(CDSA&NCGuui~K6yUH1 zJFxiptZ+~veqW4v1R(_+Yb3bR|D4wlz{&U+M(Lk(4!FPc^<%f#&mjP{$l|^6qu|Yk z`Eyh<0Y_zuE8B0f$sXhP4`-f=)0y++XTJjHUhz05l2?7|lzbGPEypKRi zZ}|UEZ;(s@69n^-E%Kj^qXI-47}=u#^(Ldr1ZJlj5wPcP4q+c{0{nmZrH`Hed;vP& zPHyrdkBbAYi+P9PIBxle^En%tZ#8oTpMx;g99&!H)R2BrZgf4M_hS9t1DroGE_f%} zj@#p$ZrdLRzHVIqcXqJZR8H&VtCU(Ox%AgZch=(E3Dwa#@N} zpze*F3dE9Xy_!M)SuC1NPWn8l*4r3e%i4FQMd9JF5ddM1ePQS(YQ6ppafL7hOz8d|ogE2v8{v>6L=e($Pw`$}$A3N3Bzjwjz+u8nr9afAk=f7YFMbW-SQQ!Ci z3aB2o$l(&Z?7v~AV27bkf!FYPYNr}GSvFRloPgljwEyFj_XR{2ierW)e(vAa`gVkh zG(Uw&P>@>NM9*;LpJ$e;YjF{6o%%1DF{c$d#&N$(-20s1{dq2G=%Oll;Nd&@#=X|( z;_hAp^wfHU3a8DtX3IglGoR(?f=gbnY@4vD2g;3Z$w*pF!@1ylg?28ChYv+o35*m+ zDqXzcu%=Z7%FLdpVbm*h&a`jdCuZ$A?EW-b0oh!zP<`K3-4;*E;>|+#_!0b34Zp-6j~p|U;esknGQLm%qJGboUB-C zv&L*7C3djhcTRC7n!U`94OE`92ZYtFT?GB<8EN*4*5UWd+VSozxI7p5mZ0~K{`dcyF*&KyIZC8YD zbB1hg{);%5JA>>C2kaH|DC}u^QId&vp2G3Z1@h>8Up2(w6c@i=^Dt9~}-<7$9e&XbWm{+zsiSb(ipVE+TTG0537VHI4RES>jdV3dZmf{3ObO{n*$s zhI0Ee^%s(x0=plsQFzhSe5?BU1z;A@Bn3(mRG2hW*$K9givG-*g}|*BFMb@D_H&RJ zbiQpO-AL)+$CQ)fJ$<|)!+F0|_~6_*V5s^4{RIp+2S)zyPTlW0hu(qKl^5!2&n3n? zepd0QLfNPDD_qzvO|_8^-5QR0PgR3R_R}C^_YKK1HegTPpy13UIF516uU1bs?z1mK zn8toF+rC4ve^vqj*>^D0G}YlO87lc912P9XfT=RJ1nw%;=m;RryHnJ zuAv_()3#}6HQym!r-S@Sro4x%;CD`Jc;{NSeP4q*Y1H>pgSAZYdWo5fNDG?I!vTd= zCkY-i*Nk|83qyOyg&8c&vSbkAU-Q+CFo$eUcZ^TI=OyTUrSM=Els;C9a%LWi;UWUO zm%l1b_ZGd6Csacu@>D>hUQhFx0~~kz$&4W^F#}Pt>YPb!+8y8!54GYnFyAH@ry~;L zF4dQB1BkSqGzo!~MeK#8&vR$twpl+WqsR`7`{!YE_1P8-cPTpj9GY^j@;|H+%;vXR za6ksQV09{Gju8)WZo15Wuw%rFr;4=;g0c;+cV;_u9dvWn7Z4-)oaqXlo2*EFoyfML zBUlbvtaow9wKf}CRor557mE{W9<56K6lrlJo7J7GGs)a5kyoDTz5Aov@AvKzb}5(>abyDB707dx>qT?GN5K|F zoq11GD-^in$+Q#w7oNhI+ObWJ?}ZC1pp6Clydpjy-Ss2c>>m}X;SXMn!<{6Sh82GU47b4ifZ{ZB%?7< z^_SHz2z2TRWHQ}tvQ)|DfnJv`u6O%7<{R~F+u{eW@9(@4LM|I_Z!Q5gr1)u{km$V8 z`tqndtHZvW3X(M&Qgp%IkaBKx{?44#$@<&*#Mn>?F%9G&aGCO((^Zl7&sGp=+NmUV z7dzF?I>>tJg1;)yoD>Q4!s7?Ndl zD^U_zPRA8r!VFH+0@s|Ab5bA||^_TPiNu)6W z+{b~BqG$lf{J@`aQb`!42fRx_IRlU-4jvQpJpT^MbrRi$wE96NsUIHw-fc_=JXsc` zUX&k?VH9<@Sth)mp`hHoYCaV}DXvRKBACA(t8*BDwoQqKy8iWPIott%w1V&n@n82- zZwl}(ovprq#{A=N{!_`j|JyOye|7o09eHoA_E7Yy*8Q}2oPGiGUeRzj{Tz4fcH=VO zMcxCr*zP$;UoHT#jr;WO@27vliV+IC@OC`CPQP%Jfc@n~Y+pPbMn|lbUx3C@Tk8W3l~E1rXXX3~sj5g7*6uER`e6eDRTl^%b~_poOLbk|Pkn>I z%&0?|St;sva}I5u1&D1Giy$~Bj}K1K6uCm`{)ympi4gG{t0k3UyqUpxzf*DkvHO4UqgPm>YAsCoRK)X))AD_};<{Gp@P3Huhz1Sf zu%&XV`Vl43XXa3XM`;^K4*$DXh@u7n)Dn@CMhZnuj0pdQ<$O$e*!0m6a?-Oe?g|nR z5g@fn#w_?6bK%CIw2e+Pf7*LM0ypuOoviva=uZL+QI+((8bnIjpFc>0ETLr0yeqJ-fS?|4Xp1+sU-)-eU9ZRzV2{@U#OXk0@(V z;T})OygcBm{Y*s2-{$-IB9rA0cK(1-kfnL7#j4(cm1fTW!kerXo98E%diL$g4=KM$ z^<;2Vv91tL3=bsi`QdmmN?z(WqVXoe( za_7HrEHO7=D=y@2>iFh4d+kN~mWRmg=pxT4$njdJ&1*6K!7dzu0}!XIL=%etw!=m@ zY}2l;vnH6})_Rq+zN7>{`@!~*Y6wz`bsphb37R9Yo)0d!6O0iTEHQFyBJ}KS*{#Cn?dc8 z8p7@+`gldj)gX{bwbg^~W;#%});n>LVaV&eqT29qD!17qUpvht5u~g6wWjt(KS%Nj zYh?Sh;{a#R(X^`dRm{1Ir}fjLl{9b5C%U@Zy0o)wC=}o}5AlgjR22E6JbFG1BZYdR zxNG9A#jBqYUi+OTjk}^{yP_80b2V; zGY;$Y`6)rL&5^HfB3FVzGtJ}w69YAm5|B8?_YnJ=rd_3^U2G0{Szg?3gdokICM6|t zL3fQ3e;;NQ;&~kywiEJC4J1mSYL`8)td1VTM5jyTQ7rJTixFKFup@Xq&VivX=PV-N zIjJkccCe&?p#FZTVwYcQhjEAB;zrwJJ-Vl%xg1ocd?0I>9WDO!e1a*j7VFYGe+91k z<`~1k^;ch-iwlZi`v$=)KZ~oDAk_D;nWpoA3gDjuzE+ZYr zs3J_HNtOV#)vl7(-=vci<2ZwIa?Y`OTF-v#_8Eqq7ssjhEJO}8%is#sDQ*wFrmp{i z>c=ytpI3cZF5W44Q2qoztRsPZyCl*~wGeTZR@}+_5|mI!m!kvjP-*{2CBRMp&7k1Q zoHCJ>>d;5+)-8HB`Rj{CAI=^PdJ1rA5EkwmqJK-uK0HXu4nCz!ibVJF%0jK=1e|11 z!fgDEWd~4D-#of)Tx}9umqKfu=oOTHK48tky1!(h6_zf`pHlT~sMp>&a!?PL_=+rM zc50IudY^-`$k2wZJgoH!cCvfNid9GETDtP^u-yP{PbZlE)!F(Ag;J0#)UPq8H zpg!^S-5PoFp^U==H>K(1Y%yhS=nD3q5 z^eKpNu|psDuB8NOQ*0=}{FkN9Z_uH_r-UcVEHP(2U4k!y6_rPS#~@#$`}*eV!1KOU zXwY!Od78BRt`O9S4WvL(ZnlI7&p_>hy1k%KT56z9k^;AtTQljfWBX9Y4#kkH}<-}{MXfHM4NP@3ol_>PzpNMiDwe4zQ>l9L=RGRLsx_?drJ1vl&86+PK6ojUWHqzFY!+uk<1# zTsERku6^V4D(<2SqvL+UqI~iIYb_I7NbzvVxTflg|IV`b4PCgu4R^T6sKm=B3%}b? z$l){J$Q_J=Hn2HXc;2Da;&!Tv4!^hv4n2^|&M8WF%RD$w+|b2isG^{M!n|5g-~OdS^3#xjDY`RjY2fp?t^39EpZDW;NK+2TIMBfB7y#mLz1 zu~^c_STt47bU9@%R$?%lby{v0y-6%*yw)q+z_+~ z?oEJ8CvUu2OaVHf4RXCZwjTvVF&>St0s27IrT;3ALbt1_ z0s1`glq`O1g8{apeE8if9tb@-^i3J)tjA8x!7o-mNT5uaNH0p+BK8JX&nUb8chEOe zYSp~RP#SF#9GmAtx{XcoZSOdcm(ps(X=5y=G#MfVH3ilh0Y-j``A`r(ck#6Ti~#Et$%$#dr1J{Mp2?mj%%O;R=uy_!9w^G>WA@()shdw5o41M`R>SEos3 z(Xy9G!rq28BWIeL}+N$@~~SBu9kx0tCvzp3k$ zSy}8hvDG#!2-WJd7^c>&Uc%{iXh?DuA?1|i_`7;WtQ%_*+=g02dUz(zg7)`Mi=PhM zUgGSVlkeCQNR`UEl}vCG`G6P@;ig1>qHWFk8&LD7`|m~K;7v*HTaJnh)ZRYhxT&Xb ziw-w`B3~cJ;^UQs(=+!3A>S0HgN!^K&{9IIn7`v z%^9QYWZWd-aVZ}f&d8TiVwfX2EngIre2uv@ks*6)!|CNC`AA{Y#wc{pw3<=agl_!t z7s6Mvg^_wAew*8UY4IHt)3Yax&6^ak3GRoFBW@g$48(*g+cTq8WB2u8yv;3zFrBj{ zk;Rr(UWORHIc|R!Veaf29c6ifo2;sH&iC!g*qvNe8@vGB@+lDL^QnLDc2k@^O*lbB z-p>!-Untm}!LBs3a95?P?xp`WYXNZWovQ;|z%-g4j?V)aeob>jvoLhqhIz;@@K*c{KQ${zPh46+pkr}pX)!%@p#25M z74GTn7zBrWf>VPM&hJ|!6`14c&YMlHPpZ^sd!$oK9Q0GfvM|v?Q!Brf2~%#q&41?h zI-K3>v=^)Tt>syiVUQ~G1%!sF-`16z#uo3D^2~H^AoD2m34iU;(CcMI=jYGJ*QGv<7nE@j*W)Q zbPon!W{J76=U*#)MV1CKtBc1v^H7wF0nKqnVrU%2A0ZiGO z&an$}4?i-v1ckTEtUkT`4xSRSO&7T7Dkr+?#qCESlI}8s2!R=5wWgRV@@><$XwopY zx)mo%GxD@Ns=5|6@^IOv3GaG7CodD;YU*7n>AaU4dL0#iNbhlU;9KzGeTO9$4F7Tv znjuOE0-^bvk0TsX!!iOOsKL*hqwjG?xHHwJ#5=mVn_Gv!d^J_U&Rgu<6R(xrf8q?| z(9~2o~b1`db%@{ z=Tyv&FFGshg#pKExR4t}Ml@rV>R~Sv2QZu`sVHudy~kfyv)qWy$|6*ACH1v6?|0MO z#Nn=UZxf}(`UV=jc}bt*oCSyn%!bxeo?d{wn}Z}Rf2n4#L^^-tU0u@JfMcaj7GU zGU2SD{owFY+)}(bql6f0>%7sn02(o?a|@#|V`2$iGbpw5BVC@_cH=4^~_O2is zyUzM`0Ql<3+nI85Dl2+pczd-ol z76g;E3yzqBveF^zzzSQ5mF{r?8+YC4s#%ae7ayClW?WF=w!GjybV-jy4!atZvF|cd z$4+w{bN#e9p=YJ%f)(bx@LMzKf&DMGl;M?%upg^a>0in7+HF>xbUEmT|18?t z1W~(Q@Oe*eBp({Pmi-OPn7~t2p;D^GMcBGJb}%?dSS|%saJ^DArsiw zvYr&0SShVAx!^d>Dn*CP_L`J=iMlY+UzcSoy7tb5WPg`tY~;ypRF!Q!<}izIlk8 zky7HC&{T$;#gFg-XBL@fLXmb6eAgpVT(P|#ld?`ZJ)Ve{Dyi%f({5grH`(fYVw-~()*1>ESut46jMyFTE8(=hFzj3#abQ8MC07j zTZi`IzUNwzeDtlv@n`Z^CCQx5^`50hl%9cBl9U;JzMZFifRgBwF2OCo@p4bx&j4>6 z?4DuyGC9k&l-XRl>tmXM!@f>d+3%gh4VP3%KBRuTVhlIr>V%)afouy9g)F5dcKb-g zsW^H7pU}T2z6~3QHXQ-gB(+G)50=&F@AR6f2mH7ojFN*PxuJC(Rj>=@nT^Tq^>7AV z!}#!F-gV$F*%$o$=ZYunT(xhl@NUl85%j4b16?37cI+mbXzQJfAr>i?yf5M8gYz0H zdRq(GS{C4h?Wy1ZqRa(J`gnpd!n9k2qOoV`N?6WQr>1R9CHu1rvO;iCJ@K~bR1tK& zJLL6|91Verfje@a?1q|`LU=I*@keol@LNr?hS4-@b)Tuvn@9wV;=BE#%!ZX;VA~u2 zGNKmnQ&|BH=Vkyba3P`l#^MkL)LfuD`Dq9D{ixjGj{_Vi1EI8OEfbY&56_&r%OX0g zv#o(uzYRrqd$&b!(bH-_SRAh7?b#J$w9HXWJZ((H5`_u#Bl_6uCycPnr*30LI0*Sa z0JC~w{6yOV#-6*Rkz8UhILzAK-1yv-*t-ogJi&jQ-Qfm#SXyzHo7p3cq{#eu7Zdxe zgvN{{!X8tH3D4?B^{hlyB-m4XTh0k|#m6GAk{je~x%~Z?=f&BXQ9{4K`5wv_FMlIf z0T*8pvDo+!r0DNR*el~6uqg`}J9z8N8p%x-RNlF=uL4{V@RoIBqh$9pittZebA}&# zcQ)t~MGUi^CWsCMDo)pZm%IHo&4%_7OF7*d#XYHQ<#tyIw&JxWqv9#O$Tl{V_O|}3 zSvZB+S4S?rAaXey=HiT?=-4Mvu3qn@&&`7Qw=7Z5kM$YFtV)^kHwfL=c;CRh3AHaD z%(;~41r_)t`It@W`3+qWmiJK;u3@X@2`l3r4f?1Zx{XO`{RSx*DEkEvn<2lT4YQk6n&acbUa}L^z=4a=Zb%cX22K|K=~xUg0777-f!dmR zasXr#*ZnYSqjkkJcT?&@+?JR50oo}znMH7Uu-Cottv3xw8&ZK&vJ+t|z?AFIIN>HB zurnqsONUEb8iGJI*YTV^d$}$7@)e@jo|$Sc;nuL>no41K*ntv^$}8!bI%jJdnOpl5 zF4$SZrSVXllRs5heHiT6;d}K%1a9JNa481G7MCE$8taL6QVUN$zzu)9^b179u-BU~ zldm7)JChrfs>LP@WMd|13hQ|V9DKEq0PX&F2NtQY?hri=*iXVnQx~KUg<4;SBFUJt#mHGfyLPv!6x*Fgnl~6N%W}$I(DZHWuiza~kjm znP-9C9sKx{=MHsy=rw>SBI^dM-sRcWLj_6(tZU8fqCL({Kf`@EkxPgF)hl%hx#>CV zNlWdHCbR!BhVbVgF|9gh` z2KkV|9X`#+rR$*f&5VJQXrJ(U;MZh9$J8`!>GmxRJ2_2)BygUd3|qm;d^o5g8-7 z9|5OC(8yFAO3h6>cVGmc&X`Pwj^%gz+OM+VFX^;uY+W0YyBZH+GsBQKcYyOU?YgsJ zA!O|a*Ymo~or|@Q1G}}b)23YfxhDHf9tJ?p%t@X;c*c}=*D8ecqDUD_}8 zCa?!Onthl4r*>CL1}T5H`zdHY^Sj;oLAIi*T=i*>1}%&Qtghe$KGiD<9QmVALWGo? zoL;m~K3_3bkYjUk&q;_SsllMB_HU6x+530nI8h7h;T0W+>%dzetDzEfEeJUz>-KAV zey1!apaH`_g>#DZ20%Lam&e#f(fOPlT56Y70WC6gE8lo2D85jwK$kg=Ln0F?vjFKL zvBi64&H5ldv&NfBwHgfz5GbB1+^*3=)jZf8? zR1O`}$10i2G!r=T#PmH_YVRw#`drwVKdSR8*odmvF%}J#z8b@esGkV>#aNn+U4DkW zDMj;BArmPg=A9?7$wZQTH$Sf_v`-y^UY85M(3$$tUE^=M-h>B2bKVK3E0B z5qpSMp};6Ei9KvhkZAgy2P!rqei;$n#r@|(O8Lz@sie?cG{RV+1k2#L@j3K4_;VD zjFuAisKcoi53BLyOCO1k<6FqdV+oy2S9~h_vP~ksV3OuY9~h+kN`4_adyYrvje4NvGzN6n5<_gWF5t(p}3&gG4drP$?PCB(z>Idg}D5Q_XoQBP} z+eVPGf6e}Dmt#RtMAe zf&O{-Uu`-$!*k}{*4B*kADrsJJ+m+JE4;o*^nc&;{QTM>?XA4gTEt#`Mww-M4}t_q zgeShxDo5}*+-mAiH26&Wp|p)deVq?)si11$qH;V-_e}eqPc2=~UL^_X{TIo)u-X4X zvd;HAEX@0ri9DcJ$1B6}u6}F7Loky!t)`JA{PlgxPTMmp-Z}|wWns1xzL;K>>T2Hk z9PHXhnQVYseH2iudq@!<1Zf1t4rpw(GfbCbV;(c;#Yr?02cpfM2pb>Zw?%$wWLbb7 zw-*nFPlaxEktut)%4>y~@;g8IL2VrqxIK>5ZHg~9S+XbGA!0}Hu*!p8jhbV^cWZW4 zQ6wtGRnJo*qm`*EV)F|yej=Z5AeKj8Xh@et*y_+E=jW!iBK(3QamC{r8MtIFSfEw? z!t5#HHgiPx`IqBD<6Tpjod&3am)M`Wi2KyLY6%a59E<=7q~MuOUgdICCL66pM4D5o%*l=SU@a#^oKx zsalkS^oq$U%Zs~&zwMxaN=tW>6N;I=n3#lSkto2$;O`V@43LsVg0y2A>C;oPVEmko#1w7+-CvhpZv>0YOuRq|5h#IdpnQLa`reP>Y65U~-WF!<_M9P0E&E}!dR zpLY7>*OQ9Ek>V-mOe~YDF3FIzT7iIE$B+=8YqO}=DLWN7CoIVaXv_T>zGb~>U1`Ie z3{+exm;Ox6g@zA{rU*t2DwKE@7I(ax$vo&cTduN|L1Qp)RAw(j$>BIAGjniqysg^5 z!*%GK-sTp*UCe}A{9(5Csj>nOp9iMH#)6E;Qg>?1A60vJaBLIW>6mfiav8M-Q&69D z@&gPqvZRhHU>q*7%LE&femEn@VSeU>Bx4YNZEHr;q)CkZOr&L(I5DK@1t4 zV#dqJsxo$pkZt06lTp`CGOvRg`Boe)^r8jKhQZ0@6Vz{|-mcdO-upcHs4x@m?|&*S zJYy9hP_jZC&F1hdVSaBxL4hf$EO0)*+n#f}-&K0um{^TT8N{&NTfXb~*;!es3Gto8wjJWs4m34*Hc94C5#7&35f%oJ&)swQ$I0T~+YO*J4SsmfJS$A1o=3Zt z-7rA+4JMN%XQs>{Pe?wFR~~Rh$+^>(jT%1>1ho7S$(uDAoK?A6KP60Kcgdtmo$MN* zoq;4-j2}^`CpNjwI8#wTY-6ZMH**TnEOW{&s8QwGm!mzUqh5MrMH{s#zs(L2DLtG_ zFD!UBfF#4g;)(O5PYyYFTyoSr2=A)D)zYio>dAT%x@jQMd0)!Dw z3c^7HM(0M;FJJ_YKDujvbI(|pKi*`0i}zIW6r(Gv4WDUm9EX5{GwOv{DYyAzb@_!} zqOX0FAOxFhtgYj8PZ447Y;vc82oDYNBKULE6;)udCjNV)8m|1-wy^f!sN+T@4KAq2 zK1sBwDSA``mDvPX(L$$Rtf*9@f@X$382r=$o0*h`tam(8K&!281XZ+t8Rs10wK|sg zA`&B0lQVisT;9FY{>AlMh%hNbCD*uDq-V9B0E zLUerUZ)(LGPw<->Rt0STW#b)j)`UDZB3gT?u6YeAao|EwmEBbTFjYNPC$uCt06dQAbJ1o2VH2&22Km%g$+*p} zE|ktQ@K>5oO2=Qe$wsNOS;(ltTKw3MVm6(xWt8W+Gs*9%8iX2ST(RXTuPUvb`jq8+ zaK2vn(}|950cx}B*noFrj}q)MIr~Zwr)xi)_1$ailRv3Dl?!(C>#7*V^57!9>Tg5) zrERq%6)T+>YbH+{FV15^Q0T9tn-mqmh>>J_`bk}CF<>^;dL>lL)eO-vI>6LhSzOPe zt01zX3dbm*)j;JV-n3xx{&URmU`|ozf=nhM8{p25w}iOzWWyEr68kUVfg?1@*y9#C zW>#5k!4~ush$TOCzx()EKdcY)0{S(9uz(%~)Zx=WE}>IR3EcA0oK3^3&>T1&H5%}| zKaHRL^{`6)PUJg2uNF5{_bZ3Cma`kkDO^3xDhg!!)!9_Lnty%^MS<4_td~I&(c3wwo}zwc~N02c4Y^ zxc1}mbC%~nkVrm&pT2=~nJ^KzRDaz)00x9Pi~SyLNO_GxksPe>EzZ?ZK|c2E%Ut4Plr(xL#IdPa6I$SR5mJ03c>+M+pDb{vR(M$`2TQ zRQ*?@Y-b(@MTVRG;_oG|k6@DCJU}@A9}a5dY#^bRD|9f0eR*iU-X;6ywOcNb(*6Nu z8iapnI?kxeqUR}ap$Ir-r=%H3aq2L{dn&d0_SmNR+PS%axtxcufhetgg?TI0`tKBP zJb*FEFT_{J0NvTa?{-~RAQ5xO)9YAv>p6Bk|e%4)=iEAefRtEtVU#i_&)Wp-0!{%c=ZuOVv@>48~W zLb`cVV-S=sTK@y9jMN&#w5@e@61mGQK@A0>Cb(Qqv=0qJPUH1*|ND4&((GZ;4%^p9 zs)M;1KRy}~&VB8F7WkUnD;d+AGKi9BlEH}x< zWwq{l&Vg;K7ksdv_*U^XvdXwpqll;It2KhGvGo>KHd#yz*w57`0R~&B`&**lLdqRX zfON%49m}-y@YMc-%=CF4rq%RFyjV4Q0cw>UemIx^6$JU_Xq?Vr%=L=q(tfwOOwNl61w|+n5OlQBuIKM6D|u-%M4v({=D004=?s{ z;4zTpw)PaayCCyI)9inyc5cLvB{P|*wyl#h#xVlgkps}(2OHwV$Ab`9sRD4#Oftyg z=nS9=6ivUF2L|y^sljKHDM6Ia&_p!YKLaYaAjH2i0*hDoUh>uprTfGJmZT>CNvdwd z&klZLrh3=kumkL-T!03R03bJHL2CFjMPknKl(mb-Zwo$@ku;h^)SbnJ2*pgGvS(?> zdO$4aDe%&b{~=NF-OT_G7K*lNc}_A|t%~)sgRGUU*MCAoDjhKI@mF^d7>}5phE}hXw)CrZBs4jzg!ytdctYO5U&jkHb z6P?`@e^%$5hX_prdzR}!JQ>Jo`Co|GTG*-VpW*yUj`THHO_iqp*hNELqDYDw@Z;XX&RvL3zLM0(T7F zBhx!XYw?JIjk3|!3vj@7Kl6?5kery{V`7M~BOHGLg7wqWW#Tfr6q*U~3MqNV3E(~= zk_-doXxM27ED_b7mUBDu_Dn^4juUhc%Y<>CiSFcV;oOy*lJQ(81LdEN{Ry{O+u)HG zdnWfmK<`5SC;NN(P+bP$b?)-=k#6DKM_(MR#1_lX0^=;*sA~Bd>esd^o{ZA5z^t1<;oHk!%HJZa4poy>YrN1uLu2(^m?6?4K{hKnN zoV9?bWyI%}m-#%f7{=8q41oSfihv;!#+u zju`~ztM}_-r+{mk1*ePlobWFzWG;E8IE&k-Y*;-NjAv19$=-c@^cV&iwwxwLnfJpI z|6Ad(KDqsY`a^>*!=+ozn^5ddLI-v4o9jLAwD{lSF@1#S(+b}csb3;9%7)Z>nyqmJ zm8goR_DDiObbSpKl~&rCwj4Q$R##_xPSn=5n^DgRJxkV8_$%#e1|77ojPu%8510k} znWoG%gJD@Q9CW6ZG^PWux|~YllboOiv-0ikFn1&PJNaFle|bKXi)pG0zOc-w8THT( zQBnG?v9QnQ(7Vg!RGc7>(fEs6HU)=rwHvZu5#9GBbDj~7>+llPy&l7LIL zv2361ZO^1t2i(=LQ2frBm9oIC9$}talOSOsHSq*i>9jG=`|jBrM3KfBh53GBLj+Uq zF7Y-BHD1^XLp#H)caKKdAin=LB!~|lnCH9pRNc3<4lV0`=0862p@UJ!=*_SDx)FWf zS`;EVL?d;If_!d9iHG+8Q^`ML@{gVT^X~k!p4^SVKg;7kTgE@z=s&K-KTE-#KlzXM z_>V{ZPn7YGSMbkL@K13458!Y=0{;XE|KEBA4inyKUIg&TCRa8Nsc;knFs5lvy*xt~ zGs&ipB`R8`yUJKD&+s?}2Vue^)%8v|O*248BijxW4IXK&V%9Mxk0nffrn{gUzba%h zSP?;n_3{Fa3H_ze==kJ!3e?R~G%V3hfpZv!!xjtXt9Z($| z2EcW`K;Z_}H{{I|bJGf5THsAD5qd3@w)_wX)Ly53sO5J<3n$`$_~u>b z^usKCFMv$3w_U&;KUmzxB;4>@w%^?(?-9M5IN*o864Hl9zz?%6d~v4riw`bnpNFOp z3*f(0eRyEYB0A7`)4>C1e!Ckf*c40Gm;k7w@J}5uz$mz_S9%q56>9jp1?(%Ye@TDY zl@GZ`6^T&pipp#QMf3lESG4)P@5OP~UbCiG)9wD0X`+|=;nm4u-QzyCtE*Yetvy;2 zaPy7Z?JjUMd$t+R4cz@6{T`4*w7EL1Owr5jwC~cYxuF$_f3I-6^$>fsTNxTisFDf? z&2`zkJzH5(=R3nbKT}qNc?_eg^E25$6&@X$F9by$XAVUjFVOPiN{c}j+fzM1iI5CU zDR2G!OjF6V9rMW$_qGEGm~^S39`&P6clbi*}&IPX2Ue4Mfo2~lT$*!%Tvl!+p@H~q*zbx#h6Aq#ZB!+x+{hM9j5`Zt z>aN`?4g1sMxeji;0^QSDTAMin!R@BTSq#s_-13qL*LYcFD~o<4Qt+-=$_@P7z1hoL z1Gd}NEbN<@O3%;hbu(Mj4!KR8by@VjT^VX_I@5*-?bz_!G*3c!!8fxd{1IkrOr6@_ zuOFXg`y2|=#TbgyV^lgiSgn6eKOqfW9Jut_b4}bnqIQR1qnIR>B14b^pS!<;?~qK|kr zG!Qz~$J56JiM=@*qu*OR@=w`@1H0@Y<0>@pwx_1CdDlLR*L_{{E0J-<>2&9`nJ(TX zZuZk5j&58;qw66L!K3XGD;apGq4VNg`-NL$^LgvGWMH3M#LFV5gl!*AHjiud@Xb8E z-6g-kIe?#X16^~K{@7CRJh?*d5|))boOd}C^Dv%ZE~?9g|Hk7|#>YYsRQahr{^dBL zIvyeIM&en{(w>-JQc`0B-;ncXImpY@mvXltMfk1j3y(qBy|}cU>G^ZV>NJ5##UC7` zMa`&uGQ2BO?eUPe(87*wagShx2#c<^@Mevs-C)v9#Y7&LU zwY+}wMCw4VGef z{mukM`j1EgTZP*+ZU2?WR)gJ(kPYY82U!7oOj=huT9Un}XFG`g>! z>d@?PNtVTMk8E_HRbV?HHOBm+MO~PFBo`o;vnm^xkW|;N@Cj z&&ml}VJ;^DyU<&dbU-SW*p{^1rR3ZRl2(Sf?7sV5AGtN@Fs`4IH1FIb@Et>?!K#&= zv1Ph1F?~DNBDM!-ntaXD9Bz24&^`J&y-a#0tRe{G=OMp*8D|5LKfYqt>6~|(aKHiC zpQb=O4?(Xi2Ps@Xm46=j}5e=Yfi@Fw{0|__ddoPBL7r1@#JRima7t)Iq(~UekwsVlT#&Za|(+= z+h_X8kEV(fCw#XbDl8@q<%eh9u^P$FtJyMd<7Gd-JN(zwO(+bn|N9nJTB>p>6(w+Lj_+KYQ{v zkn!;GA)etRT(PjB=i$K+b6W1NLvLFV=!}?^E_BX$u_^evW8ERd^m2HqqpF}?yJ0Mf z(4|}yJR3|fR2$KcSzj#nGlLq~!d4Ru&_{cRj3wUQ?JQNw;b#6md2f}T8YC#u^GXCBkZs|*SOW%nJb(i6HZ zy1OgVp8$7}*XOT%w`(qBpOSI^E#S+w9@sv*zVK3L3Nky0<3NzZ(|oyJRagR%)?r)W z;gaRl3TtrxU?o}K@y!!HFazq|RG;ZtrUM+b*1@2TQ+i9O8`oa4S+CRbL%4n1TWQ;q z=>!HSKcsmFGj8{pWkA43L;?etg>j?{DmSj@x#)Cg8f4%d;96hgKDQi#X%nwd^Arvl zy=}{>ilMxd5lUB2->ZYrvp#Pc>e>{2`e`e7^NRu9h&o&|=g#wvB^rL@u&GnO0Zj=x z+1;jgXWmU_-;K|c#~I3x2m(%Ek6Tj-@!JE7e#ZfJ_3A7ib^JB;V8Xgca?M)ASSUp8 zkZ9spP_|lkvWtwx5ANC`^QLMxn~u3Qmg_EEo9%_!X-v&#*KK(7 z(5!2}!+taCevkKZhP{WF<|j^J1d}0pm+1MP8`6z6FfvoN1XYl{g=Qj$AFl%6RnZ?> z6HUi?)N>qyJ!qge!8telJ-f5GUY*c}PNC@S(dvPQC$2he(SdXG=sN@M0v}tp5j?cv z&4Wn}v*zC_&y&5#pyeQ{e_bH-%zd0w}4pk#}k=HMINX&5k`0BV75iXvS zKDT7^o5yK`_lI9s&fJCzzOA5C2!k=HC)sAv%O~q|Cq5f>h~MD-Gi%+SPRp7@>ZxYYGiU^gKtC1SU18m{KGb>PuBvTbp3=*dyZFsf zd&LnJxj}JeRsvS_tJf^VA5c&FFFERXQ)PR! zSs&f3^P+wM6e4+kp{+n4(aAWpR;sbPh+loQs}D->zD}tpRo^X8!zlN5YR4E&{dGPP zeBysMS=0y~R`6Rgy)_;2yqEo(>uh$C1d6`3li>xb-iMsvzH%8KQwvgQxvuBvu;8I% zyzw=f3ZCKXWvD%?A+LI}Ys)U(q6fNi@Mx8S!-^^lspW4wikb0`H?<} zM_Zz9#nz>{u+@Z03vyvY3NBmFr5nQB;kGkdw|&I(B|UZ8^H^ruWp}2gcGGceyT}I(~k;b}*1NW<2EirZ0JHs;$`O^wG)2SqXa=1+d+U{@j+7lXOTakv?Mf>&m zs8K9Y%rwn`f7{e*)A%NYwhy$k7QJN{rn!Zc#1*i3FlCl{`{baj52?Yjtu83gwRCv5 z8n?X<#3%1thxds7WW__;-m(p3nv%;?`~R@_)=^b zQlU4t^PD|Ox{`9PQ5PCqseD52(AF_XBf1yO+a@F(*6pDxsb`t+ zqq$9ir@?OW7OPZG!_xR)<%nRB?5hJtvd}MMjo{+}uh$fA-oU=QT&d%_y0y+jlp zSb-*H@B#mnai_Q5LuA?<1@TYKGgx71*P?$uW~;3suzTe1Pb}~kV8})^CCe^w&IBMF z_wBk6$Sj&;1ANhjyrz#yYs?uawR{E36;5FPDMH>nUGOAcGZ(Oy)ZLEqyj&u_xm**_ z*$S)FzTIfu$3=1*M_}(C^_b5AxW#q*XbHqJ2uR;EnvEspJb{aCxx_A3HTBOvjSkqEuXG$8srUNb%5c3x=X z{7ng@0P~abo)zOSaouNOX+~(J_k}nENPLlLy~2O_{QD>OtlSFofAHwfN3R>i>SrT3 zD27%B_6~N2A1q=2veq+0;ou-)CV~CqH48WU>wmwp{r#1XkAz9u(8}1sgoNWY@S8jd zlcKYYAqkVJte%;nz5@x9f}@_p?Jp9RAB=$qDy9a&%UsM{BuwIlrp6`?BwVZRTHalJN7R{1x-#!|jI90j*Bw zwa%t|8?Qz|FStivMV?sKGYrF_GL@MO&1Z-tK6)lT#3(N(6R0}_EF;Oo4paSQKRU{p zLAxZ8dCG{1`Y5u%a_Vpy19=aX3MAeLLNf`dd>tu;tpuQRj$q`FYz-~aVp`&Yt#e-XgH>U)y=o;H8G&itRhppN}#o9TP+-wRA{ zhmlIl9zJxR!hHHaM*sY&G+JHT>;5)zfPrR;L3z`&##!qBdcHkCa4Q}?M5+EC{4#pQ zNcNnrh^E8tNBGO#qIq_V3d>B*+5Ha>ynJ3^ny16w#O>sH-uvX~ze@b?FU?=+&{ci^ zs?YN9->{1|NNwtQFecl}(f!!S{yPm&{_L6XzVw3+j9hmnLwh;dln-JYg z6}3uHy|I*Q{HKjk)<4k!f{n^%&bXc40{^IS5yF)}Gt2+xrej;w`mXZ?%l%UIYmE>8?<9jN>X~}}iV`8}^*?I=bD~j_7Jys7a~t>12HaM? zf1mXl^Z!0$;yZRr#{=E{ftNewK2RcgBYV9p+jtmVdf5&9$5c2_dc9f7e>|$NaJkB< zRc6Q2vlDt@42l3*ErLk zV#Bl0%^(!te_Z9Tpa!>V)RcL7%jWNtK@`S8EeSKlyBcI7oU&7sLKHjSg{!#*T;J+WVSM=>v~*2@ zBVq;GKcf(-m^zQE3C}t9NTpI1tj8K^KPo)zhUwx4@w7jFSl6L%zgH+*GwX(xVn^Vn zO4&Cz;~LYwe9rH{?W1>HExoQN_viLJCg!`d_j#Ls^Lieucv7583OtRJsyWtJI3M>2 z?JzL5FM&Em*>0ERzzS*|%_$#!Ah^iSM}DnD-!T5-X~w_B@~dtBP`RG}Mv)1kCw1TR z(*6|*Z*38I*jhl1^@0;ylk@K!%V_WC^~J6l)!Y*XT-{twwbk|82_qag%c++*G+pqW zlM?PAAvK`M+hylJDZVuBM)YY8baGe)7lr2Uoc4P#H=NDmS@e@m8#nHMR};h$kZEY! z#2ZgSOin&@)jl~b^h?%K$+afkFXN%=ub-XegqII+4E(5vlOyoX7EucSb~PAD3Mzl| z$h_%dEY*E#M|U$E+h~y84uwkSmZipW{7g6!#qUJ#nJZq$23-K{sG#s-Q(L&a_)3wZ zD$wrtR{Hn44pn?PHj@AH7)4**@6Q0akGn3ndvxKzdI=Mk#--~VF5eSJI1E&WsoCWr zQ>J+X%K3+CUYdQZ--KAx=99&%1C$gS1$=YJ>|KFP^n>E-G*4UmR@Uj{4<*nrJIURaZvltDp?i#*}Pj*=Y@|I24BA% zMB_>q>rJ?stzu!sf|BTb$Ii+wX+z^_!`_tk;g`(o$plLj(guU{9!io&by3}YZXNgP z;hPjKC-LS>AYNe9*Yc9@j%AHdEGeyMcBjpe0sQRo7g9nMFGdxbAV4?l#LsD)o5>|} zkhs&wEsv%ykE4biy*L?ft(tB)!m?UieXi8*Y6XRbLXi-Pc-CVxlSnMXCdHT}S1k$(%bj7|W}zvKIp=bRyK)RCJsJc=0mo+vcI&)ihUQ z)(Q$7^ADt2I<3Q?BB)1J09J4WXJ*inSI{PZH&@8YIANE)D7Z`hWHe;{-3sF~ zk#pcg(=Z%#b;%IBeaz?>BDH;~Nr= zJRft9vL);pN60V_zu2rtbiVSS<+Y*Vl9zllZ|@jeD$i#+%2`JzRT3pRUf-ytFQK2f z7PM>QZC6uRC{Xm)w3KnL`HR%8MOS_Da4K{(m3HXryT{czp&y6jd=P7h=^ek>=5RyV zoWoRf_CyiK2PuUyybzd+;G!V2II_yUwoSar8#2LG37EP%8J;WLOT~uBOA6#g4Q4pb zQpG9j)pb-4=WL$G3Nvo!6j?2OcQdcqp26N&|5G({*zPmU&V-wsgYEw3k)!CB`ELtj zTqR4j91l_MT>%O6Eh}Q21sBvyTrs0hkCf7BHfZyL?p>p|!7?i*!<7%`nsL+Tl|q6} zUFxqNSqepQJ2u>p%dZc{N4}^+3`ij;w6EU}NQ{ZUjK_U}7T@WAXBUCSy-1fsqNzzW zc30HSY{1vyy(KiRZdf%=a$;)!&vu$;&z5sVYds7$M zRqK%$878K&-y;-U^42?322*oYW&mH@xqI^#UHljSumsY7$jaNG_P>6IsFeR;3d3`H z;s4GrKKut%l*69H{zDGBEdb28gI7h5d<;$gJs=_ZhxAY)e*V`Dkv{GpTB*`4dxG{4 z3L*T5SAmJXHR0%|2ro7=^xIb6aTwRVDO+!7eGmO=y2S-h4uA6M1=Vt zK70hV`LB=UGwFX|OPyKu%YA#72MBQg!7UQ}JG%r!w$0wi|3D(@pCzy=ONsvZuVo|yl61arPSBV) z8vlRfq~H|H(rNUo3_kzAKcwQ(al-ji(CSc^;E82QT)2B8)*&oVDSP8ZQ#BY>UQMO2 z;gzUQkq7OK3x~?7JXY@wQVhXkgUR;jjxXFVv(=_7r%xXbpWx}cTK9IHJUM_VwC=*4 zFzoooRw0q;B~RLd6ulFAEm+uC@mb)2?~6)#I|Jhe3e|D0DDd&y;2c&=HhE-}+tJxb z@1HjJ*|LsCd&PxI%0QDniIM=rw;<$wB1hiyb!q7DfPS&1AQ4cBdIgE zK5oFc9=W;hxoN-oGb7(M^*VpySkRl>EW&k=gOQj zUU}uqX_V(V71O3fjcF|E&93K3D8ali0Xn(SMMvmDdF5~7%Pal&KgvOc3CZa1BA!rY zD@~WDet{3ap*RD3oWwe;HrZSrbB)WGzd$$0b^b*NT)}4m*L11jX>{X!)2?%6TrXU% z!0+ziJ$g4 zVl5GW8IjW2kz&m)8!~!a9R4l=wI1$1&WLnCBwhIuk67{oeL3~&H$lW^(<%4{e5SFQ zapw6*Xtl+#)e9k|4rboU@E@uTVISyTbT@rHjO)Gr&3Kb}etmPAZi(CTg>&|$>8r$I zjY$#u^jGF;G0{(#Kg3)THx#|pI$vtSd_x&)RmivIaIkHUe3NAJT0)yX>1KP2od#@+_}OLYi?GgD=1K*(f{iLq$V8T(}(2iT<{N z^Hm-T}uo#Nu3j8 zLUo>6ClyGKTVG$}p32fG&6<(e_TP52e=a3>($E&F>+LytY-ocQ)05TlJh7San;fIx zYWe3+hDzFe;UeT}8^awH9+NgLHsI5zQwS6oI6Ds3}0{+7=@-t3l1B;zUpG{s`aKY zY%MP*d0t`p!!OU{P>+dg^lw`Es9&aRNHU(RfaDXMKtdcW)zc26HY5*8-SMALnT})u zq~6&|^ppA=C)8aNHQZS3{3SLP1(&5Z~5nWlMRqJDpqNgS2uX=+bH#aQHuX4)5c+p3I5jn(_7p4W7B zv!Y|1gyO7L%wbue$ZksbaNJ{(5AHNQO+pdtB@d7QKie#i+;#RC>iN7UI$Bbn;Tu4W zu*8zS9xN^(NaI&se6o7DgEwHY!r@EF*=dPwG8XHl81dw~wP?G_ODz(AXzd5om521p zF>%8sMP``0j+OF>02;60c)cb+kkIjJ#|$%;I3ecI2zw)5@j>FcFX|=GdMtQ6Z45md zAjL;xsgH~g(jVm}z-u}}18ygdsVugzw6iY?s#0KkEFUm&&6$T9-N3|t;Yk8zN$1BfTW$Ap%RHR&8CIE-SOXo4IL*|1l;opCoH2UmX9sJjXkd0u@2#B z=sS70Q7*0n4oZv$RtnLg6}@5t-{qVK3BejMc-Df^p>zk4mDc6b8fySw>|qr)Qr7dS+~@mYM}EjIoy#sduG~G2i@huw=Q)3y1hMZAsclR(P((cUR?Z` zbfB$t{?AV%&jiBV@+-k@Cg_Rpi$m_j9YoTUxk_K3pX;@U+Opq=SJXqM1>y;%BE87p zD8OJ=TFR#+ifGMWVOkoyIW9ZQbxl%EZcwXihGSt321O!|8Py7*eh;?HBp=Ahtl|d~ zyn1!Zg4hGb)s!EC-AxVEV`Jp<)qn*B8NG`sc&A+Fc_h?#SOZeMZW+>vfB6K&xbjWO zyxKM$D##Hcb9-wmU?A{h`vZ}#>rm&7qx7Bhd?_!Y5|Z}jlu_%11AF@Pp>ISM-XWy% zG19nsh4?dGjWz4h)Wj_=XK;<3C$!HhJQrWdLcFO`KACQ|z+=;kb@RCjuAn!%QK*2A z@=QRv0gZ*4;KApIhQOe<*QZZOZH71Lm5*FKV!u1#H9@Iw-d4+__f^R;^PJk@l^ZBD z_)@yC*O^Q0vIDpH2dylE^8<8VI4Tq~?VYEP?nOasmmLn2*-{A93%$C2WWI?XkpY2( zwB`C%I0^uTw-fRyU+%3gwdTj<2E8pchw{?cx*RgZ?+%)chv`#66IS6RMAKmy8*n0Z zsgRplr5Fw97r;%-HiNOGo?R_J{`odCv5}83yfig36Y?(lk*mt`?5M!S37sC3m{@n_ zyk^1iF>ga|7yZnsc6OLz^l^R+0|ENGtXTJ%(d2};YM-+$Ueg+@PEFg8K>b^&BRD{` zGw5xbAI>nyPvx|Ut(f4WZe&f_UwUWk2qu)ZBi{m$S=_|M)T1AUhc~L6&9$fuQBwM1 z<;(B=x9tN{BM^?3Z;n=O)KRrMj5D4aKX-R&DyVY@aBJYhKO1I&h_2e~N8L#5 zN~WhJhJ+(U<|r}xj?)q_$aM{Kh4El6!xAwgx{N+0R(2%;(Ie>y^ED%^C0!=N#-fG14^5@2|(otq99SMFam}BfsZTMmjb4j(@S}S(;suysk@B5RV#c% zV#*AV-?s&9qr`{>+mS~p(F{Lbilzz`O37pd+sc;vZFWv=zQAI$uj59!oJD?53NPwD zA-M8=TTgU$uqCS&WZ6^vSnsqK(RE|SNMj!WioTeyVWCkTmF~bz6yB~uXE=5FA2cy6 z?25U!3CoH~?==t01Z7GKFy5CX38GG|D|A!{`FLkr?xnQUj6OOsXPTK;Ft}1^T%B1} zruH`pFE0X>&Zq4N&elQ^TMo_XG32!>l~?A_-WflamMr|HF93Q>dSkVY>*!3Sp27_A z{N>MEV9FZya^;H#a6vLNa@Vh>i}orz@>tiTkUVK$6jTy`^&ckhiJx~Z^F9q9e;AHh3qW$_4H6d0_MeVZLv|XuzIY7tl zXL$0_x%al&j2)7TCK_S{0!8%U4eH@xKKbmvRvnBEwlwIDj1agg0sZpMb#YQ&O(*lo z&^CE~qwu>6ecI#~8lZjU{Ik3C_+7h`R;%&&Q$Yj`u=rq`?Jhi`)bIcQYH$L=H?N@4 z=zZhsC4IuHfbWl=kKhYyAqu4bfY9ak>EbFE{^o7e1B7?a*vKNW$S`jM>)Ut}#9##@%&%zBlG5{r@(?s$cDoYgjk@?&k$+et%|)h4Rn; zBAaUusKxeMFR?WG+<4D3ee?r}c|KcD?L8-xoN((U_o4S~?3|AGda;Mo`3i$=%810CY7J9jOiDQ0+E3@He_I z8q+FYmy~33m}u;y|F`*9W{uDdp`(K6ZTRk@7@b7Q^22)Wx_!vLr~~h%j3UPT%lX)l zAfP}B=^=2yn|7Wb=G{-{Vu5a&_4%QroS{CKi5j0>BI-+U{Y+|0j@`ais#c}B0S z-R^)w@1wWiIz`kBsRZB-7bm)Ne?`~*MX#-_jM**5_v$B0>t)HN;r3%%S82Q}xdKLA zg(D~iQm^YA&wjNB`fP48idY3qZ}L-7NxHqU9g0;e2-T^2_c{m`4mLMp`8%qpIjm$& z6gf^%wKx9Y zF6WG@mTybxIH6y)?7J`dFcTd%b_o&!M2b8{NrAg=Wn5!PO~Ck@cL7O*nZ-7FuvSyV zG4ne-lOBnt72BN={*QUR5#Sie3W!&-*p>w!Y20^bO8?xW+r6_)gy z^}%5W)TPf^UzshM_Ba;&gnl7_YG27Ju&6p2Jmmvx_E&24(ao;!p@I4AW4#&ZRum7) zm@B_U=U4CEZ7v9!!sdh9exk&p;&xt^1Sib zE>Kk{zr=z1Sz+~xhi59CZZLfpVVNtQ5zm(9Az0hc{;{E-EM>e_8?84nHb#}RSMGv4 zQiZn73cuIt|AfBh+k6?Zut2|+b=;ChqO%f&{e`~uRHp6kDsflc;dMCk=2@sSb%|%! zN3n*P=K=JU2(K$hp4s=9xii47v<|*F= zNF9aCyUTjF#HDJmX5CyC=Sb8iHEN-9gpJOD6r?vk_D)xgfM8~fN`9&*CiB&-?&jDr zjh}#4c%*_3<=0f%n$Hu+q$-GC+$_pWf*ybUUW#cjMRx21JygcXIL9V)SlE;6xP4X z=~j;^85$t&@oYNmrsJ-8@4l)1^0_HaeF{!Kvi|PGg^HJ0-IEzdOqKpP{(#4GoYiB; zUReRcry+<3xJ|Q@r43W>d9B_WJWOq2CDh-0-Oa~J=(aB3-&}fH`j&WOYnw%Ljx?5A zuAWl`1{o!((bmhMMTsG`jpJ{K+pNYhSIoy$N zc7=y;IwyS!>${C75HqA0trr?!^7f7zWPLJEhSU(`W8XY~=R3z!a$YZsfis$DXga|)iSR!0PxNjSu4p8Bv1u+j zZOZiFS6T^%fXp~YH=AXAiQ_L*_{xR(&f*fYcwWYfUr2L?pH;HTRQEPN9KsMl^J~B* za`$J{a^PtF8r&>#J>6Y7QmW8?mmprHDfzykG56Gt%#5R;$Mlq4Z1$DfNNMX64>*v# zI9b`#8{1%H$a^dIA1M=W`LE7L&Z}4k^zlSpt6qYZ_2>;Nhidg!DN|1M+ji+27Nf_t zhG^sPKHpuTXd?b#ZVWTWkpi;Sj;9j^=3Dr<6r9!At(1bc_e9;;_w7MWDZy3j-q)Ao zk1G<$M#;}z1`Wp}t@f)k{M_AVK4X~6(NdRISK>qZCN}V~yW%_I&3n3x`@MqkMZck$ zqr`u-Dm~Brj6cP0)ZU9GeYZ)@0jS+Hre^ufR%w&R?^O^>o$ZpSj2^`n(8ONBf$+7F z)kZ&7%JtOa;kixZ(`Ll{*hkM?2iK-HOv^IqFr``yo1QJ3^SPS&fUR1cwzP~p>G0o& zxe#}Cmwbq`iYCgMR$lo^m6^yV<@KRjhdV~?r9sdejF*E;*Fi}7n0@Wks}UZT5=l)I zrL12%Ma7kjblxE5c1YiDY?UbhP-X6CG}RXg+vvh5CNsA``#6H~ICT_-TKQbJsNrj3pByoimJ%{XAiuULa#vo6kcuwgD;L-8@a4 zVmXDco=P0*Xnh@%{((uva#hPeb4j0~A*r`YI9IPZA!G&ND_SpCQE)jkBJ{-XJ7p%C zZC?q)9(TI-j|d+!85u|G_;;1!INR?qQ6c}9W*+5`5* zNt$&~pEZTMMsLtfsyTg>Yp^$3SwO5&5S( zR!Hskc(22k5;yVF=-q;r%`j#|`(n1!NYn^o7oIJTm#H>UAwM^4OC5SmE_{Wket)F) zfyd7qkVae3h@FY4Be0;RB6`}5e4J73QkVT`vUr(?w!-{@#I5^(2WT~p7ylr$TC`&t zUjl}PY3?64{{${RgQE1$XfOe)fj2CjfAx{2P56&9=s9cEK9|rHKKtgYOAt?T*EfY3 zlX{(T%A9;*^s)t!`0^-Mz+J^Xi$u09OFqHd+Elaolnq^unw?ZP#fJxD>jig5uoOvo z{k)}24Ch9q!@IkE#GqGlgIXE29zcaOn4xEdsteOTDQRr)Q|~ZmK#uzdmhZ>KGPLZWz>>>4R^$j{@$9ok#nZ5MS^6Wz@gZ z+>j-K9395eI|sx+m%?)ZXuSWAh6WBmBkAcY9UAJ3HAA}Cu3}ZN=@5lypclZBgAmyA z4F=b(&H|$7o*}@^QFJ;$1F#McGq$st))`HK>BG_A@ZMFwW(N(KKHJ`Jy$<>ds)5@t z>W^GvFN1f@Uc=YkS1K;bAz2jFPcAr8H<1SYi^43aP#bN0so^x(ib3lK_f}HpW9D@WPo6Xp_`J= zxWqDso-E$e^Adg)Hlk%mZzgXwCFwAce)*MI=AQBktga2la3y6u3e@!yQMOP2hDY~( z0NIi=O86(V%{;)-+8cP@pc^Pv>THAS>B}4Yz4_jET662N{DI0|0Y(U+X+&?m4A{32=`f-; zA-O;LJl0XA4>#cCT{Mb4M-c_IA_`9pj7<9`a8!hJ8Qk2!S|k zUf;Fwue49xd?~QeGGb@Lu{IpN4g$vBCE)q>|nlMq@Z$c z2Hl9HNlBq3+A}>~u9eKyAAY|5BCbxIKJsv>z?Tk7Z4M>Q9Wy^EKSfB35#=w@Ab==- zHv^$ogUd0Ls)^ilT#|`pFlM4uhY4|%XA@TCn7A=6D{!S~{e!r${_79AXT-L_sahFt z-mjcW(9`F;8~Y#~?ucn^tosyNa5M@QzGgcj_03Kase1qGHUJ6_RwQ>+`7R%`Df#kt z3BRWNv}^XnK>@5RhnuAm92_j*bR{|7n$=@6r-Z4Ed=Q>jfeiM2=bF*I74b16xBiJ9 zO&PKMMh^`RUAF(_>&hQc&Mid3tQU{_$TctS!kB)9%on$-H~~m+u(wRMNvJ7DYb+rc ztX)#Sq_F1d7QMJQ-RmqFA2l%WE;(VBq~?7)0DMTLNjhmkFmjvpWd0`4(Nk?+8AWWP zZ_!2tH7%n}+%$U}C7u$Bep)wS2O%ysEFN8|GYj}ccb5X2yanJCf<7G;H-H|P+U9hx zBvUxLt69#((-*nTP#i;9#g)y3eti##qjymUfe#9PAiRyo(e^v3nxNDWYoGwlP5R!Q$CQbk?3#X)LzjT*d)XDz4nB8AW zQ?)smn??IP-%24ejmmLE(T8gsbt@#QqpwLeWJ=dVv#CQ=>U)V=#~AG5709C=MB)+o zfC)h|Y8XQOcbN`BHehiWQO|JO`Nn7v;kJxv!LHm^GkH`z3|1`qGx%9t+r)G0og$zc zxT`s!FNXO5H62%m1gSUMfupiUs>#ANPzJ=7OUmSYaL{Le1xuVqr*Agq4!2YamkE)x z8?ov1Tf7PzJxgxWNxFD|to3P-23fiq+6DU{G6hvvV3^5GgIEG2O`0Bb7VQv zq{_hF2`FeuWz07Tksi`|{PNi}UTN{_CRnp>{Lz3FcC=Bs=8pc#pW~gwN@cLA0=EV` ze+k`1)-bX#)}7V>5dnMq)Np*Xw)|vs>6q3b!=y{7dr_$!`(DH8{G`7+&GUoEXtckw zEk6^X>q<#8|CsOkxHFeW^vvLUoJOLl$f~#m6`}%Rdi_y6*8D+1H}aMii}GzT>vkOA z6FNWD*fD)IXB(n;U%U$e?`g~EP;7~01t=0E*JQFsVM=~|+)0g3GL({c2yyY2tyyQx zoT!)18s&)%es21`v7OjHS?z;KV?DqBsXc)2tIDE>w6;_K%uCn9*I1UM+dq!+KUVJ!oj`;pV?okIR-!gt}cX4Q5p zb>p$~yZy0gjdE=nbZ>HS>66x2R*!@ffj0^HK43r~Hs2&4Njk7J{Fd*aPMbx>v+A60 zm~>j>&*LPfh?Juki&vxb%HcP%aTay5J7SGFO_F2!0BymdqMDPyrn(Z+whQ~bGmfWt z+NKfW&MR=2b3z2<<^2TFVKj`qb;6RPnZ$J-t1WtNFFSk^z zE)O|NHw1Jp$E9voGeeK#1U~MEUvzp4g4LcPDnHMzx6*2)p6)JS9MTlEP1cMFT`%1| z{3Rl5oX@Y_=Y?fd3utj8Y^R||dRvGHgNQG0Aftn8@V$WZP2(TWKL?p<+GY-#bi)qm z{P^_7GP<94A@(Al$I>8>_M+WstwmPJUIp!fL=`rBgDl{nN^caP+BrBAe3nD+V;SEZ zZ&wbCetgEpqD|KT=dLua70Q2hgy?BMaHSB5%n?W;S6ctew@{--v(M0mnoY+jpH`)e z!JE(rFq5mI59Ziw@Mn}7fx{N=hfpSHYSpmRlW!}>TN6D~eD+(_7h(d&y~SW4?d9hs zL&uxzD1-ncl8V%!Y;9lHeDha|O|mV79^j(@8%P9zJDidp+r_E6%imh$ES$H5&I%9< zoWDzON~@PqsVL?@;Fz7-D4(`nsOoOK$Q(1ZTXWZ7<2_GHi%@jEY4~WX?DLp=gz3p2 zVTFeJQ=}uYb7Ha9f)GQyq63;7Eq<%zrTr^mYXh}KFC|X8);M^xYePxU*|RGpy#^_P z@FSx4C*!ww>NDd5>R^y(jFm@U*j;?^z~`6_s2)qqcTg!+VIQ zFi8iFxV=qPq%G9;F=5XiRq|~1w%RN>N;`iK&8G4y(3fz^beryy^gLtU5}&pqeZKQu zyqxSO#*YXBlmp?8^gcR61a(Kx0en0daD4%Do}cTUsN4dfpz}+I*t+_QAA%|nN6S7G z@+@dKwdWXvOiLC`cL&IEfAMthSvt?F)qt2yRd;1`_l|AIN-0~t$8!u}>=525O-nKJ zXHSGSGi7&te)Kb*aml}kIDSt~3o~QeUja2xF<9H?gn^&FKm8!^2GB*z4SN(Z)=6B* z-6o!`@_57h5UIKOvrzs#XdwDk*}!Jo zx830?ZbNJ?48}R>*_`+MJCrwn(0t#MM+#FL>m4#}F=p36;FWwTH|JUec2fi^D(8iN zJOWgc1<;1J<~7`YNhTVLu(PvG#>!*Oo%xe%*1a42s=+P`1|pSxgboh{b)PJASFuJv z!LDP`&0KTt?%d6t#v!gE_h8aGoVjcYH5<1APAm5o7Zo@ZC16!&!F1BH4_6E z@wd1)N+PyxjGLoLtlZ^FUZc8*#5!|&T_Q6ElWCdbwY!~ui0xL*bc0}BRF(24yYZFA zxyu5Bh8@E!HtYI2!9u&k2F_!l2EeLVNR==2cCd^#(T z5H?!dAzwn7Yjx2-o}ZEO{ChP!!DWsV5A^dU62V(6!`Tfp<0#=c6Owc(^B1p!4ouzG zy<-id1a&PKeb#-#t;9ntnO%}RB=d+~o>Ps~yMM1xHo@&IacQW9p{KjxOIq_0!g8S3 ztiT~iaC&jA={o76^TaOrI2L6pM^9*>dTtEcl?*&Uhe=!^SII-PIh}xO|5}P?4yv)s zk{b~=?Y&CANAqGLmjx|LIkt?tE zdR*l73qrChi}yFg#&jM&rMDc5IO;5oz3J8`it8xUPzMJbNqT%=3+*a-NKOG1Ud#fh zwIA*3A;L9p(-|6F!}W>vilc5x;iZ$A*W|_K)w~#cxx%W@5SJ-sg zGUnx7!X2bUFMwjX0AL78I8Yn~PS~T&A7Y+~{kKIM3IkhT4oy*8IF6A5fVN8X34rw& z8tGZgd6ni6GW87iW-#tU*$fZIHT29OZ3^bPID%%iv~ zaNqf(F&+Hoi+PcIkLCf)Vl)FT^U%iTp_kU*N(7bf`>^yKa2RM%D=Z+7|eL^pWLG_SLp|HRh^N-W_M{BfNz-9dp`ozy+v|> z=Lay{ddZsQmrTXXHe-+~>N=I)PDL`M9(0&lfaj0Q3BeJ^9JM1lAiMlAm6JzPiPc zFn*tj0L9hzC-H%o z)~@$81TLZlV(!|QMSkS^d))R2VEhfT2V-h;t5~SV7O&?S8)vdkf9wCGC)bzG*rwM&kZrJkTAzVnj8BM3K%W{70?@l zfF5T7iXg9;r-A;En?x^a+%7nK4+%37>W}r17Sy@GGZJs@iK7!~!?T?rvt9z1wv%gd z$t3&gWdeXQ0WDMcisIPwip1EyPTovW?OfSwkh9Q=b98^BhT*K{tv-Gu`8vTyoEbsn zF_p%#tb)dG_`-gZ-r`FB-w!{}>#P_#B>|N;8r;hHWm^kxx{imBTo#2hw4h{#j8Vyc z44o(C#$yc(;m>n_zsMsApEFyU*L#C{QHnh?*MTP2;Julh(tl~o)mYxd$8QQG8oVR{ zg_M+w>x=qC7|0`OUe_^PV>UVmDslCBZyLUh5FW?k`>NAdtA^A zTQ4Lm%)f8q%T6Bx)}aAlJ}OL1R1qF>htEgLXLb*R5qysNhMInZcO8No&^E0~ELgzr z%+u}s8xj*6n~?O+LwLb-CvTQFC}V=C3n@3#nD(+t*|0LMf60-GW=Nt{U8)qQ6!fEi zSTw=jS*$hpgVaz-V=FbR6V7I5q$H=*m7of52qV-bop{e}C7@6|{!sODF)XX<(34_2 zsctGE%1w$1gAtg<9e}lJV}Cz)O6GQ#SR&7lIHOhPkJk!fwVm?;4{0uQyzX{(yh^lj ztcX>K#*;bF~5_l7_JNK7mNE$Ap&-n8|M zk*?0~7ONcL=<;mfqU>eV$O$+SH7X2{K=OL{h|8OF{M1sDQ;5K_x}nRN#7@pXUcKb# zX3Xn4lAofOttZq=oUhq?Eg)Yi0^TGlhXjf?^c)Vj(bjp`V!UiJ`=mXey-+@B%t*Wm zaDfKM&Ve9S6OYNYcZ$C>ll?e%OC-4V6lBWEX-}r`i&%8#>29cRPH)l%QMwrlD^oq1 z)%Ki~ZK5kxJWz@*9v4pKAwx$dCks{U&ZgoK4QD6UoOrjPkZyYA2L#laZdN39# z40v)ku|d<}Q{cT36_xq2ckTWi+ag9g)3K?AFE#2G!IEgAW6skYEPM_s_-w_Plk9+% zFF{_qnMF?8az5VBNU!rP!Pa?`3`y-ks`8PerKxvln{1bhbb9tjf&ib&i0?dEbnk~K z@~njWQ05Nav%`kjT8Xbv$(4m2b(bf2luMeY<~mSr+wG)}N;xtr;B{zuTM)>4VfuKf zcJHeihSy8jL4&(&ROXTG{KK&YpI!3go=UR8d~*qvB?7kUV2%lW2gg3^ahl7lLi=gh>8T>RK*F#YfnQpm(vD z;tMpb8tIKr)*q2yeJFVM_7P$z&o!Km$8Nwo9C)RcP@ch8?vL}+@C9v%v2yBqi!GGC&gdK($veKYEOv^zKqPYP5 zF_Z2|H>zSvphM%}S2?pYJ-4IkAW`<6)S0$$*J{qaEvs_SncS*z%681aikGT!in6~@ zgLmUJq37|j%xKn#U2n$m8v<6^r!E`J`AS}~DFD^qWHZ+Sa z+L$lwRYvSdua8RQK76{~3-50ZnFV#_mTfSFZiTY~>qrP#M-P!BMX_V{%!*Wg7>*#HaB4(dXzF95e)c;Ya}EfkKi#0TZeKH+OyF z5$GzRoL--x*9jEImSF)aQgm`1aMs&sUf1O*o{TC=F{V4(`Drmm=UKg_E5-(C+`_pA>&z@skAbR36(v?ftfA91L!o z{c5`hsf%)ekwkY~#pk?7x(YA>KuI6(u_7U?$}_#6Z|Uz2x}dHZKs7%A=e{SamoSi- z+=)C)ltaYR9;cRf~MXUAIw#v2Ybxg&m_?2_6sM{?KRW3k^A5?KaxeQcKznh7P8bHWAoH|bk%Q1m1uQ*s{Onw%DmkN$F zoBwnrx44!Tugy+)Bini#FC;{{a4cMzKckiM8D0IzV_01ICijLJ35ZdoWq_IEwu6%a z2@<^=FLJ2UliuzWuo>lC(S3t7$A0Xvwp2bJZ7hrms0%J2kz!VWy%j>YD@3zyB_?~K zHYl>fJSmWJyj~;nLxVZkB2oH{41fagfuvubZiKikD%@cV(1%hqy0hV@|L(M20_8@x z%LI8GGTcL?r@%M)`q3om&42LG{~)tow3!D_!C|6Kmx>yJWD$sqoVjXM2_JGN_S_4y(kb3Oo~BLYd1pgB*gG-+7SG)=Ey; z$M|!ps#{+TUfCdv=~uF1CY=h`PvC?o2h`B8HxSi=K)8GrtsI^ z*kLdxj1+5s$-T4vMmF!Iis#5N;%)rG70<6VjMP~y7hnR!^#ok*-MfrXIqlzekK}#r7($dlj zNT(oCU3cB}Tld~|*Zq@Wo@dT; zp0m&1=e1w^wWn0|Kgb8t8K!gjnr@@}?R=@&5iGn@{d}XJ+@gnAhjwB`hOTj3O0+(y zl*Ockvdfzk^;o9Bte+5>g^RrTd;*D5ao8IwHodA#;OADdU{2RR%knI;d_%M9mURL2 zJYFk%85d;E``i04Lc)#ra|(P!YX?!^{Z?bnj`Znaa+%}<_7Zl%_eGwvbT3!?O_NaP zyUTnBC#}uoI_Ercw?dnn=sH@f32EU9{i$xli|1DeqJp*~)JU9sEWfJp8=pLr63w0| z!l~$)HNmQOTKrzADQ%p+n>1|p9FjLc+s*ZRS+AF!}kQPr!!Hj5MfQ^ zH1hlbm5YPjBEiPZ0J6K{@c`@z0k?O3DQ$8V22uPL+Aqg^{~c?OFaHr4fuju~qzwFn9zye{e3T){<=nb4LtSH}I)fUf zHwWj94DQ9U>^lt^5vhDyfpkjf_Dy4;_ODM@D%w4szs*OtI+;Z-)EqJ) zSJohum~i*B*Ab5hJ_bHH#l)Ic4rsRxxWh%}`nx|qjnMM`w(SChF%> zq^hgwaGx~(i#C@2Fp6N(h%>I|_X}XcuTb=;Zy=c47w3I~258t1SSS~qS_3m|sj82e z4buY;MMV>uq6x@4rA?lN^gQjP{*8mmAqt`ppzYPK_t8tJDQ-!4ebE+(5`#OgC~;|6 z@>n^cyx*`IutV2alCAduM%ZBte)?V3ZD+ZkphC7i=TzEEUUlpX88*t({s{z5hTPaI zhJuaEqoiVGhj)}X%w_X!#U}1K^G^`$)ocA0UvHtO(DkUp(-Bmk;5bKW*9<@wMU)!l z@;s`+LL9ARprpJhC-W^E*_V5jpOw-+Rrt|lLk!d#9Tgne~{f!}3?*LylDRd7gUS43#3+S+86tRrsbY3{PxA1>H(x<>M#Wt`O3$e-!;HrJt0B-MnF3L8OboPSE4*!aLi z&9-3f^QKnT98N_4=}soHUJDvnT7K`MnmX3RD`ID_i~OVL>`nyNa1q6{#0UROVlx8?`Jy#wc-M4YZ?9P~|EM;4 z6D9(iv!p}Vj-lzQxtSHjZQ6(KMb5bN$Yi;#ExU!{pKv&1y;Ay1)D-^1{rIdP{|k{j zzCUNJ-S1wrpB;YMDM`L^i2cxI_B6ljt{mL7v!ps8|9Dy?E9Ie(pun>*t`eI;#**`Ds#$e%jAT(A5eQj?LJVfh`z z>xnD*fMkhYu<}+fA~FxN-P^mshd|3fHDht8P;W5#Q8+!z2_~YlA%58&cTPn__C5%i zu)(xDcJw0)3CLheeM;SeM{@G??D#XqeM~)Sh7-Gs!3yphJbU9pT#*)LO4OWYE{T<9 z^cv9vb)imv^q*IzTMacfgG5B&&)i}lw1qY2RT>f3z&m?jWcv9*u6Fg|Y_Lv{ka==A zu}S+1A~&4Vd49-p?~7Ykgx31u(kJX|v8whp5T**IVKSX-24lM{8pU`TggKf%-}%d3 z=E6piD#M4N+6-gJ(sz@nbxsSGXVim((;Jt?C^u_&J;}@61>JUho^TC8oPIJmarTDe zo~nfFyw)%5A{ywT-$m-~gYKJ2iXiAW0zntoSo)as^m*wC zKGsmKfw-&%=}L~9Z)oWneaP+KyR;SU8TWSoBviSl4FsK%RDDi^C&@bR#eL6*>ZGnJ z`(`7!Rke0?59xhGX}O0WK6%_$cc={Qpe`9*U5gmTcsu*ewOA1OWlH@w8hsG1lWXvE zZqqO->rx#ZwEcn}RafHWlG+QY_AY>*s)rAFf3D+Al6L-T$OWHEM@UVYMW+{y(AQSj zx=eE~0^hC0u7*4dKA31P@>zj`{e$Px<$JPJ%}0=L*?-Rd{3dXxJA|V-23>Bre{r zy^B!O%28RQe~Bfr>d^R2f^eI(cMl5>|DaI%SCKm*rGA&o@{hgE zoyHmz*7)q1Ql1C5{#*@R=k7it-|G~!TxpM}sWm5R^3Jhr+Wa<6+CPTP^iZ!|PJTei zORZ^%Hdy=Ggo^f^LSlN9kN78<5>qWDPk;b&bp`Q?aFVM-9nNdo%ku*oGot3_?thT!+r3e@i#%XXBkUQev{Apk{6E`7H>$_(ljX7#b?Mw z&=&UDzq*}TC*~bA;)5)pbK{$7+j?uU13$?ciB%bZs4y8>iM5^24{94bn>XMiX(hT= z?mip+ZfDr-bfvr8ef1qg|0G$YBwc-DP9{l7ajSk^ac7c?G`?mlC|li#F6`)LpYow3 zGnD0pTIO#H<&}Orl2k#9JzKq`0tT8HXtC(#@}p>Cshp-5VkXy})GrU5R>#)5X&2zx zxK`Z6*Uy&#DCa4T-!AaWdcWYODsz3;KCj%#{Oe;A*I&!wQZ=)W8Z^_{(4Pp3n=IkW z56zizEgy`eI3l_PaSAi!8XZTL3_}8|10DHg6*LLIl@o$Zaso7 zs4*;(bfhw+IfpwxGBEkx&l~nBNhOWpwc`~eZMz{@P1S4M4LTSF9R|35d zO|t#vWS#1KyhqfO7S3e71K~3!{&O;9b4>dg@h>tRwdMq3bjs(876aI~Ys7WicR#M;5$L7_0g@i5 zY}*wNM*!_~+D*1x&||>||Nl4sug-^q0Gg1~-ic84K~t+8j~f!ZcDGRHK>t9iO_6$c zj4$OMVCxMk1SJ)I!s`8B(~mvgDB=)`%0dLb0L349Pr;&} z>tvv|#cUaQofAT;5>}IwXcJdMm`Q8JkivkD(eH7@*4& z5i0qsSas+2G)*CYFdVZY9CJsK+b&&=sk7(Ete@C&r;ol5o9dZdy;peuqi;{m&bhAY zl3;fWz**a-rD*;h(5IP`zmrW~Rk4@KC9-bt6{A9;9XnUSgzDoVvs5pwWF}Hy*$*L` zl@)@UuiKeaw#0|G0#%b&bzecGKm*q?;f62 z_JMq%C$k9eRdK;4!`q@NQ_?W+$3}oel}8JCzT%^e=_qf`g7s|sch$W_!=SMmWgE+v zLy(i8Zvr29xd?f}ASv58Kij*n;T-Kmoim(!00xjrD1E$2=i%xU&rXL8tsOI@N$^B8!L$=P=d5ZpIA_h{;qzcO-ow7PIPp25AqQ5v7ov~(RdQ_ljr){B zDa`0*wB{Kov^oj6kiOSx5G)T@{#qtF?5rSZ5OL0wkFu_x8rpwf7ke=q8)bno96UoS zw|;UsEh|uvk+zO=vZJ(_9Kzk@G5EeiVuQ@_EtY&7**PN)RckwnM=`M%|6?*nEj|om zKCD*8rPNMFzoDx5S|-bDPXOaksj=J)tjI!ZJ{b)UHlnnyEi{j~9Y>a8y>_@sJ*(D% zCU7p`Xo2#wG{P0@LLQj4@x8AIFnxg>%9tnsuHW4_V4 zp&H^jqT#!4S%!;s4c@KgcdkW1-hKWkeGW0q^T`FE zFa>g}&2(h`(+%^Yj17Yz?ynhdtg9cB^WFa=FDXDr0*Z+WOYV&NN@)|;3H z2~T?vIZaaZtV%R^8A7;RFk3UguIyu#rp1$0HvOd0c(182wQsDK_*O8~eLQm^5B}XE zAS9qj#Z$Gf)l`+tD^Gk>G|&EN#?O^rjK#NBciNi1gBL3N4VQ&9ZHYVKRO z_|83z_B04@1~I{ugW^YOsnV(CS_OpwHfs zv0g1N^tR zRNA{ARG1NT5fh$m4Ro7dtpW*%2~f^_8k1d@y-XMZv3LMgM4JEA!T)gpVwYG}_wSdf8lVslvOAoJzvB1*cTPyazWY`y z9ejP#wfStk60WceC%`See7aQ>n!-Z6I02t>T}lqGi9VD%!60|Z^ZmB}x4f|%Jg+_b z^M4cku*7)YeXi*tN%XP1w|9Ae#{wgvtA7qKji!4y`xV~jisAYJ@%(6I(nb%U^$cCB0W zvA{RjK(uv@26umUyxU>=3IqLr@5<4*@ zsdS@R(d{z?l-L4unAwaf!TX85*6KY^9Yf2~&ore=@aXoB6UUO0&5UH(HivQZskq)1 z*|K9+a)tUg`+Y_3mhXC!nPH8DZ7n@WmhAoX3os_mHwzH2h0m*psmu*I(EjIwF)S|6 zU-ZP~@}B#=n&}F;;Q?95SMyDq`J;TaZCOVN!!)JXbT+YFl_4FZBeA=;8U{85~{FV3%2%~3Vf8lTMPaz&z%p}j?1P?LZ z(rR{2rQH+gDKA&d;?^S3T4hmM@~65U&wpW>KWM=Zu@tN8HFD*+=BzH~d=WZTA1Z+Q zyMe^zQK*`BYx(Xt)dd{fo2Z=qVD>wHZQUr(qARtn-kY#opOtPSfH&<+twbE>2_ii^ag z4gCnNkYkp-ZckDGBm%Ow0;9~(F-a>jnS z&%=c^6F`1p%O2UlOoMJ_?*xhTeS2*R3wOCyGO16#lKHyRdA(ZA-b#y;v96@ji)@L7 zu+EjJhe&7XHfQ;w*~s=>TWJfos>WM?k}|WjUWmEGZ`-7Q%7lkej0Z`DbAaK2<^*hg zvO1m1eW((vto~A+|v|{)#?~ zTStXJDxNdQt+SKFi&NqpX8=AS8@Payy6~mP=duniJBz*dt*ZhD)XLj97Yu*+S?6kI ze%+`R?rwN(q7m%mKrFQ9TkQW9kBLM`IW2YvMz1Tvv_?(xq>G>1*SugPWqY%^XB{o` zRiUoJg&AH!JC7RUJ2iFOPj;hutYxPDt>%lGn$(agdaQcEuFaRfs10znhv*U{f_Gy( z`0y})Cs<_r1>M;|Qa{Hek@=?14Rc%??QyjjV}0gmE%=dg`kUF}gpOMYME*hIm~x2~ z0Yu>+87Gf>VA(R$r?~!y+Ap@XOl78K?OoQ>rM1Pb=y!1)90j6KRdW_79jia`?~*%zg_6dX-jw1cpf#xYV~PI#AP<#-SKVLySJ`<6Sf&}T zbT7!PsHIy17`~%ej4Nn^;F`fSwo5N|1??{@`aGOL1zUjVkx5GU@zNIxzhMHln!V9`;YLuu zr3^AGDv5Qd#1smYxO&q9#SM)r%ToT!b^ZV5Ntrj^|Bn^-@l$Uu zffqJ+@H_|Ix=!ez;2tTzdAh|5G|H~7~V|MvQhEX*;T|IGyGio}nT*>8f6XvD*YtBxODrHSMx+gOFLk^o|a$eXcRMJKpqZG_> zBFMp5j_0#j^wl(~kGI;VnA{qK#}X@-7x`6FnUYJytg{V5?@Y{nOSo8alwfg5889F; zC94nSqq(jf?({3{(z-y^?yz)AKoKCAQC?e8B=uYHdq@uGp*GDw??I5)37l;ufRQ6RP? zhOmi9m74A`#hSwt1Wm0|@=q};GUEutPz&oWssJ**pG9Cna*5ut{uTG=RIR)FQ=C`A z5=*EGs0v$E*Bk6fV`SUfduiV!;AATk8Ud`ER)j(NBhN;aHY?uoYts!M-j-X*(D7S_ zh2Kr&7}7ra+%jfDu$a@^vWR+!UwOb*!}zBzWTzbCUkNIvHR*|kT3FcJYQdw3Y)9SVbJf^;`-eDr58f|MiqQ7R`8rc$qc5pr~G!9It)k7)GIV6R1D#eDVmV={;L0?&qdd%2k2m7DW;D6 zXPu?HvKp#BUr_e|Q{C3(iqT7?W9p^SMYEoL*Q)^Q#*^=KDd*dT4G!3XWMhpoE0GhG z;r(i@PPnF2gUfkI*8}=XO>nRh0xQ}-EU9J2SgI_g>GINbCPZv-KgnTEs2rlILmf|k z=%Nz5u+#rq7Cm1@Ty`&Dh{FrAblp>m%rd3&QS-}J;&Fq#BAMgA%+~NudLfwf$`=+W z43blM>Z(h-2pv=>BEO|3|NDGCp;@(d3thx`nvV}8IiXr90Y{!nb~noH9^q9sy71ZA zJB`M_(#0F3{1~9g=Viv0ojT-v|9QOvR7_Itd>i=1hFVb1y0;qG7;AZNR}~ks`WN$c;IG5Ydmw*CBW z9Sa?^nD>2hxSIbtl%Zr4H#u3NXQvo|G1oklau+;YOq74upo2gAP@nOk{x#>EWt?0^ zc={gp5&l+j#I5fzmQOqn4JTyD(xZkTu*r{)d}*L+xY+*ZZ{i(t8h&wWltIgZQz6$K zc7`qbLQfejC~gEERPZVfdy1 z%04fKmx99EKXShMdjTMiO#S^Y1se*XeG=nyNi?_u?sk0l?_fMk!7EAmEk|&9JAh99 zcMtyef~!!X+H04tP52Ed*!oR*@vr~&c7VJ=cIhpzp!I{n%GXEI|GfY_`uc@iMBVX! z!=sPlE&#Q{Szs>f`|&_sO!_2%YWm(k!|`~An*2ue>LX+&a7%q~CGzyMU!K_ZxKLKt zxEz)iV^~Fa>zbh=&RDxCB?D>>{K0&XdhCo>9WG}wbQ&&eJFjtdJB|6Cd!1LQ)*TCr zCNP(Ihi4F2wxo7!e^tAl7UA1~Ytq8}w|Bb~YQlGLd>Q;C)0_j2{=Gz0c#dM7_JimZ6qX(Vh* zrLa12QMZ4_b);_QR&W*7OPAX_Lr{nAvaD3>W~sv%T4_GZy4UTpv`WG%y|+f^27cW? z$4_YZm-~`a<6QqlMoAN$S1!Lc+}&ztb&8U9~nZO!zzuL;^mA zxLY_I&Aup8SM#wI)x%tG>vubIdQ>oJr~*_gpGgoj!Zv3MvK*u-6Dl7Hb+H7maX?(a zw(Seh#|o$2C>!GZM|>IxjMDlr-nWMRnFy)M$FE&sh8rb>pdNs;YY-!rTppNB<_@~%5S`|RzGc}W~UzNluF zkRA?GPI#|-QiUD%ho|)f7RZA)&hvcQ|8$Z71~!szyUME{1c#n!NoxUwe_i5@=C^`9jZC{apT#&&+9!I5>Bwnt+^c9 zcx{)~wcb_jb+aS0vo4`=l@s28ipBfWJ>15GLz8YtW47?-;Dyucq=qYO&X^+u!`tsv}q8 z_VRb#JA@;F*t8V{0qKHHKiHrH7>Y(K{W)j5IGao9(i$(ecKae6c{F?m5+zT|5xO)pE?jw+9qY}(zMN-}ww@Iv8BCC2AS{ANbZSCL-Jy&ISiu|zg|{zkvx z$&|B5GDqv4v)gx85}U)`$}d)b(cF(b*?l?5S&vO@R4+ktgY2k^sY*^Sb#85}RR7Z;m%U{@ zMDMdTd=k>Z-#yNpIA*Eb>)e9A752>HW30rgfm&^BODAuz^e>_4Pi=I>`aPuzBagrH z)rsCGy`JelJS3;>Sy)3LaVyukQhk@^aec;CS@w$9&$`mQ_8R5cH#;ROzl7x25`VSl zH^g#p^f(i7;}@q-K1qg8V7D$Q0-nWo636X!P$6-}127_(9(~XGAAyyc5|ydzpPZHT zdRuGb|2*8a>|RQ{|9#9*xmUm&S^LVw&UhE@)O-q+4*{b^BIx=~ZZ{F{kUhR8P<{Zf ztgikt;TnQV;K`}<`Mo%yYdD*eSQh6F1}SXGb?{^$eaFHTk$@qjJfvC)Mb{YRfUGnO z+$abLJ-EI7jUUF?=jdBE!9LGB*m$ENvx@YdcoIs2Mi?9@n0&+;#ot=eRwMygpgrV7 z`%&Evo z80zG(_u0z#x8_$8oB0~z2{D*>)-&jXT)~@Uub0a(;gNE6NT0Zqaq)+BHPyNwy(|N; zMg#pg3TyO&wp4^&cl?qwkS-bT3yTQmN=xc06vL>A=jC8+$SU)8`u3P>(FNQay(3K` zl=$6iueL+-(ke4lJI1|1!;;II(2{KDlU;=MWEF$9)p%K8uzKsE0DPc)1h!d;p|{z- zz2$y3(L}U2nQT5@`OST0t=<1wn2Con0ajt&SAuT_7m%N7LH6A0Be zqBu3FMA8z`z1TXK_%+a&Y$ofz`-!t*Jz%u=!|8N~{FT99nhTHp6ZqXa$ke!d18X0i zTBMMuc2{!CC8bLeHS;68{n>5=W)K!+PR_6HJi)2vGhvAVT$cRoNpTqvunvC!(I&nb z%yrgBSMl{6sLS-Qb{CRRg#hv9X_BOh*+(tN!%FN`Nit-wp(%O_*=UV<4 z$<$gSJBHdrrlmQ}j|rAtDLUaBGVzAX_J#WWW5^=`tHsXLZ;;XYm!5Bv4l`b5e*B@% z6>?fI^Yxg^sa^0O3gWs`kR0{qi!!$?op^A9Jo|&SH=0H!58LdeBMw$xKWvRohlB=) z*)J;J)=1IkBWb)rYi+LoST5(}104_!HLpRDVN?pW=fx6H=W+@VlZRgIPtoLVtyMbq z_HcRj_0(mjS90e2a;`neKv>WfpMIqoEk{lB#iPjT>fP4G<&Bbg*HGVav`Rv6If}i2 ztb2+#u6`p>;TNvWNJ1_iMryC>%th>vM!tC_*1_T0j9gz%Xi@O24SsM)P4GT;YN$fc zX{Z44z4v&S;(5X!geWXiZ&*XM)7eSl=y+QFXF+yXRda?|97c(jYEi9d2A@rjB zFzR3D#g5+PE9^$OTE!8iGk#~T|K58`H$N2aGK{J<54bw>5M-h$KzUx)Foe8uBuQcR z0~X5L0GP^m3jOA)(A7A0?&!q)W*h%v>oEENus0ckL=&@}Hpw8zP3ZlWqi3^&_m{)j zp%N&I`&TVL4%*?;_o@OSGLz!3S2=E@?uh~9WMFUbyKF8Y9bT0Fy`8AS8`OVMuH!Bc z*jxEQ8}s`T+4@(l82In$*`KZjM8Hhj`f({z2S+U8N@0u8FO4cg`$G1cFI)H>Jh)oh z(WO*9ib?L$wN6uhMXQHeD3v@?#4>o+87ziXaGj4ps+Edf5idEsUu9xY1(uPqVn0<= zd)V(lk(sFwYq#7lgxphA+d}~Yd2C>CUTZcL|457ijV%z_+oS5%z*ta+rN>~j=DGqg z$ji~@p(Nj86X^bmL)`*IZq4Dlsg;+PtfDS4Uc|hFCPAB0z}haUUt+mD%?Y5m-y}|G zmtf!jqr*5nxON8gn*yuaC%f@pVyJ8qn;O&h%ZHkPK&jPqy34iqigo|Egd;j2JKC4v? zxRY@yd5WG;m8(7Vq`|7Sc2YhI1xH_ z2=Iw@%&(iKysb3UmgWJ)Tr(P)FcNSsX=mAV2!CW2H6iTiKV@8mf^_o;!0UA#?*E>s z?Yg@FMBOyyIrM0oJp0m z@&t0M<_h*D_{!IpRP<*m3X%JvexIa+UsbFq8olM}Usx;9jE$>&yt>gZt@C!!Hz7p> zG2hTxwP$A7(WWBs#hl>qC6_;=)xCRAB5G|ezw-g-Iei$iCtGny zJY!vAVXB($Eoix#d2S!))d$u5S8S&l)pVrX8umlSEkjK83xG!C$kaepI%Qm?o1@#3 z_Wi(K$gBG+jf;;J3L(XVrzVl)&UsZ~$c*w=DE9DJh^MsP1-v1Pg856UvuVO|(pyIm zkSX`GYCppfQs=Y$<8@Sq3SY#cC)q#xSISet^2h*y5BcAUd%}8x}8MzDqpKt z5uc#BrtPz&>w4v!W(zhzCLq%B5WS{ntKB?#c_pV?=&b5-(f91W5)Rc&zuDiu{}khNLWIlF)gq8cP)ZKWU{ zq~H($v|4hHYE|XEK zXf4hjFn_6opcS%i*T=f@5kSmvdr(a$o2b|QJ3Ee!Y#(H<G=}rr=a9cbkjkApeM;_;2k4tSG-5z!>e*U0Z#ONj`FGMlqGa5neyf#Gu`F>Rwtj-p%I=9qPDwuJ7S;?b#+qj`qwU^)->={smR z$p+(D8B7{J=ohYH*Laas2>^AVD^hTaDdxyWXX0;huN^p2RJ!a-vP(Y6h<++XcV|C29t;_-KUG+I*_x1|5M356^v<3qalCZ_GWT zwHcA4ZD;vOKenjt7ovcVKDMZAdXWbB1VrUw-p-bRd}CT+_BSF=5z$KAJ}(+`^_kA} zN9ix|{XYPe?Y^w)7A!6o+1aV?i#=rM|%t8o-P`PXq>$SBc)a_$SmX-6sG44tO@i?a~` zE`hK5{B>Oa-yepK*et4{4>&dGd}yC({*vs5dy*Rb&m00WdAsNHxAo{Km-TPXui&>s z*+2ejl?OyvZtL;&T}V%CK`GOm5+q2EMom@&XUC=SqU%?o45uqJ!rQDs=H z85~h=v2$nJ9%dB2kgBb|q|Rc-zv3Is3f%6<+Nbnv zZ0q<5Zyu7PXd(u?Wz_q7fT~!3wLVD(&!MO==m))tU!n&6Y#d7hBsnYq7Iqbzm?RV7|G$eS;)!iBP(Fp=Cvuv3Nx5!n%ryF5Fx~ z??sM^hb(p`Rk)*Hep87m-U+GW=u*y>d3~c&Otmpl^?O>E)npA@e>Ij;Z6CEE;|)OL zzk(hBT>FZ*{ro@X;}6@l;rTr+fudjurI?xi~^w zt^Roxp>bzU`96?^t^=~r3{O`0A0(4vbUv~OQ93ZveGX@z4*e;E(r0Kuz04{*NoY1u zN7RDxM)K8$1q9JOF#LYfpQ=!t=@I28mp7Oo;bSPd%9ju1i%UP_=gCvCTP3lc z3nHSEvAfa0?;DOrJXWN4P>t4`(7zoNs`}O83pK5;hiakx`wU(W_4$UCeAhLn=xn-Q zL9qqMV|h0|tgz7m8RllHmxPfphlY>7%(+j2P#_AYi*=tXs(}-d$3Nx`*itdLGx>b6Xpz`Pl{RmQ%H$(7?)WDNV$Je%EYUn{NyK4^#f~h zwr(1CO_&QuU@iSys9>M1ewd6Yqpj{PO2vqp!UZGwL)~sXi8>9f{xIwYFR9M- zOwEQTzWGfXZMs2#_qD?GIa{!hDTvA?xi|7>~L z6IAytpWEg?MI)x4=14OMG*JrC|2(_l z_wR%T3Ik8HiRs zo{Qz-_p&A*7R+Bz1lXVL4jDCAspOM1EkAd4^^;26q!ITto+Ul>Y5*GaQ&e0=2GLp1 zCI=;C{O!uUWoBSnb%KaU!iswpEAP?IIBS`H{6uBQJamEg)Rj>8qoFtta6+7W0C`Yq zIrH`0oZ(qC*9tnEv99VSB}1j+`T~{+FS)Dbcg9KL&M#((S?QG)F+U|p#0giD_&Lo( zg+omz;jd-5;F!MDnkv*r&!tq)Ul=#oo^{u2Pr6QLz6iOUo$S#x!zUf-=<j_5K}!J-xB2y`N7H=p!2#)4Mt}FrO3_ z#kOOJ4~-c9W$$3`^EGrTMZP` zIk!I|V=9X*U?Zb-8~$~5t`Y`nV!^<&K-*kUJv`hB#YHQ`O(WiHYvkr(C~u9Z7e#rZ z81T>La-AhY^b+wmNiIu}NuW+Yt)$#Dk z=#eE#JP6eV-EhLN7%Zf(du-)@!!TCR7e&_XiqywKG3dePb~82sG+M)^%0GrgK^T{D z7KR8#AH@BjU1yds93`F}D*(@0w1pE>Pte=|N6WqsQ;mXOtL39EBe&Hc;iSsh>oQyK zH-SX|84_OG?+Kx*9dN#K($XRRRs%5BuXAggbyN(69c#Xuu;Ct!OPomp)i@`zjK*%>@J4tQ!?bLn)N_Jx zzkRE8(cn^-fHN=WB@|wc(hsD@NU!?SUj8lo2F2XCY4YS>NqB1DbR>imL9g74m>P!| zz|mZ2q!;HQ6yWvaCfI9mdrbb7T9*KJ@nk%fy z2<8;|r5V&NT^71Je;S{s-Y7lWVLI!+-nPVU%vN$<=bn2}=WbfjZuHi&n4gbNL!QWk z|M#JlS^MoL@!#*NE7`r>1|0|mD^J)Ak2d~{_&WsW*Ybf9gJcoV48z6+W!j|*OMwc% zgeKh6=4iV2MborB z5_$;^akx9YJ(?f!PkO{@`BK~I3{~Zxg(_is$eLwLyK#K5eRz|rDKOx08==s6RytMd zy`0?C1f%M_w;Zf7rP%Jb>-vP5)(>v^+#W(x5s4X{r!3~@uV~ShrQGXhY&vL&s&cPv zn1M`pKdJQCVoWeZRk?$3fUD!CuO%)H+3s?t5a5R*%+NkZ5@XBcO6i2c5WeJY#|vkB z!)KYT23hj{9ci2XK=yprLh+i~Jok6{ocqQ+h7GbgEYMU^`-IH-|QHT+q_ z1^vnX3xYIdP87fI{zp*Xs%nL`yT%`?IdV{xy_jQS?{epB`V8d1N06fJ33@;Uq&hKJ z(RKFwHE;xG#`~R&{I@5^jY96rXRc?{7$Zfx6NVTu1HnECem4#E!yG zokASAryS1l>>OMrU2mfcw+*Ux@pD$uAD6;lwu~NCGy+X20)zuebrqlPpvmGtRsxNn zZcGF>qt&5Tzdq4x>;z?`dJXNyD0U1npt^8j-S;Ca)&LqE3jgl-CR6D-NFWws^cd2wLI|#3vD@;EGmajWAG$cGN<4jz^&ZtX(%pJI9ziJEC^P1JJl zWmu&sz9rkaZqOCI*veLK@MSEd>defF;2uovkUN>kg9>HQ7upb7aB*ST#}K99u@a~n zov)vnRC-X%hYdrCbkXdBo_QzFyM|;dPGdv;O69kwA2zP!#0Dgo7x}f%rH+k82^)?! zk$SeLvl7b_Dj=76q7^|>_MMuZllrX3c`)h-9_H!&lGTWJtVPFLnx>Wt@Zz@2N{oBA zl43r1uh~{}t_5Q;3<*2R7B_OsJB7k6V&l2@sMHFI@T(7B&qT1SWLO#14HXS?Ll*t| zt?ahl2TfGGkTQa6ix}Tgpa2E1pHOPi6G0ps(6f+FGIpCArp7aGhV`d?!ym9pYl+%z^W z`JS#&YufHlzGnvCi|-i9Atp{%Oj_stBdzl-jL7l2bDkjtufg{H;gmzQd+aJVn6>@DZ*9e zC|qROYhumS(GZODKgo{w7|{@)P~p;>mptdrQvfatmSdj11^VnKk7J<&8j7*{+LKhB z1NWS--R}W`U4=?;Hj8^J$y)zU^r!BMq8U2AMYnWmoAps zef&V+YDmT{&zP8~y^tH_qjz`h<==%qy*kpcc?Z^9S!O9TYqP>5OJWH~bl8nxtryCgfSgcv#f> zhlZbE%5J=nTLm&v--L7|ay7;Il71lGJG)t?07kd{h2f$EQBc%1CU}N==b(A%AE8lS^ zF_{#*_sjJ>WW9GKlm(orih;u`PRjHA;fKD;Tw-LVZ1!po$GF1)Gl$6t!F2mCWGD=O zdmDIPU+O%hdD&30&V!fyz$6Dio^CLx4%I~$6*vG6A^-}bn!XHO{w@3sQ1~;$2<-oU z@P-u3-V?W?%ed}eL%6sD_>q2K9x0oND3$`SdJx5=fik-c8n26PRj3alP+cSZ$+IuB zeD8z-*G7$*VfFF~(9;v_MyAIm|J1~Q;h6zqhMBbPr5?}~^c0Z3l%J6_zl_5uUV;OS ziY%7E-w)VOgiTT*&C7WEiiak+tES@cr5?}~4^uFI{rkO_)%^dPr{u@{O_#$t#M#OT zhH*=ohN&HrBNV3E-4-vY;`Ml=A!6=+dWu+F=FkOzO8{H4q&Q8LO&mxY3 zv-Ru-ZZAGS`G7f8QWZYcznzU=w!fVitfte>z@o;=tyjLgYJ}zBSW#iWHrje1humvf zJMe)*VNQIqGEE1M{Mtuw3svrav$l4wL8Y7`j5;aBsa zy_k2z&aE%)TY1Ct&p$}X_lA^d*3~RHx0S7BV2e3;WF=tQz;f`VzKW(rK~Z|wT%y8- zo%YYlq>Tj(A;SvV9KLuzp!IVO5o_VVyR>zzte3O$WCClV*o)H#2ZtAx7V@0(g8ss} z8L|L9ux)3R%`D~zt;fzZBORK|{osz-QPcZjfr-Z%ck*+PZADRpwSxmMgcd48CJL^{ zj0{_VRRA>I!bP87MdQT%no8ixRjlfmP4~d~k;WpIl z{7epb$x6ewK|4W^#Rz#O9`{LBc(QX&Hods5ucT&%ko@>}b15OtGDCS!OB0V$)cEba z!R6VfyW`phgT(%73WUsw4y{=%a~kjGbKm46 z4lLb|{b{wRmJx#?fw&!x6|?(^6!7kUsDpXRpEbb%ixr$hi;KdnA6uH&zlQ9RACGXK zG?#MalQ;cbaI>KL_=f@1%4Y@}nX1V3$YjOP<0k*^NaFqT3{O-fftG2EB;4VQ&6ry5 zwVFi@9bKw4`_j+HC1#uP(L~13G>)v8?uNT2rCvt~5P>@}r5SILWWR%wQF{PNxTp&U z8%Xng<>@<8G|Mh1ViwpdEg?Tn_ekq{e9UHTX6b*lIHZ>vs?=3i)@S?kFfgA}$Ysoa z{HPMj2w|N)%gBO;^=%v%bh8=$y535_nXQQ?Z+vt!QqH-X#Q1Ho-NRK3bHeF~Quen=D8Vgbx0VY&zox@X$KhMZ2m03d?3I)< zpHRSnHke$;gK07}HMOzWDVn{3=|<-z6-}QA&B*-_hhmq?R`MUFd!~6b>X`NwCso}h z0Y5mj8HzeS-6|>4q~=>|7c0DRe-M%$clUp>_m)9y21PJcI9^8Yw6WrZB zIKdr)ySoQ>3+@oyEx5Zw?vQ=h?>F(dGZa)Tg z7*{DL&BdAqAEbn1X7daNn;9Tu$Ophbvjk@(#FW;_NH-q#a9?!A!3#C;eX5WS%c@l> zGoW&Qmt)ML6B5a` zsT9y)=zvxw>&T&mDuz9rd}y|NzbZYD3)oL*OT!5_zf6s@$IfjtoED5YW0mTi9?9Pd zoEhTf)ymJR{mL+XLzS7SsvA>@(41T~dc;QEuYt@j@)5~j${UXwSaGF*gj8?zutU*! zx4LM1-2ZHT66G=VoMV4`CTt;h zx@DAL_IATI4O!|qRm#=MAzM$zvRBw7YtDD<8MYy1;VYo$fR!6Qw4Bd=31mV54`0&S zD*Ds}^5J=h^76juF{L{+xJrx%j=t8oyWt~VpNH2TVqe??nXeUiZyoAh$-fyXOIRni z?(|%w52GbJ+AQ9^Hq4OCij^a%6O#Iru(KmKp=;d7vzg#EMKbNk(iFGHc+_N;5}4bx zJwKb)ljJm-{Sa0zk@aB8+1c~5BmOTLDI_6~-`|{`eU+Cm7lxu4?R#f|#B1|&c;l;e z^KrdcP)MCPiQeUZbtO zeg|<&%ci)V)wWMFuN>{~Td~%gd$rQe%x{DQ*jI>Be&X$%SIa$NYI<2YNv)vLVnPG+ z!2!&NLx8gvA2)d8x=Q*1sd`WTN|tpIug_CiKCY+xV(qQ|%FHW$t#ggFqDkfvl=%LT z?xAI0?E=&oqnd$YFTTUV(up@+9|Eh)j2s*%`pAyjnQFrqc+U#^E+>MSYlA-_wdYO; zPG?%Q>+Sa%`?63r&-~EbFs{xC!>#=xndV7{Ujob>Ve|o#PXJ-Kwn~UZ`P0S_5ho~W_5|WSp4zP{ zKmQ0J9fs1ip#;)tw!eECfuVyZDY@wB{P$kHM1H;l+8=VCi{!M@j%0plDqKTY{%Mb> zKzNHfvEwNbw-V|1Hpkm^jEnqfR0yL}9MH;rYLxp11jebF%rCVv|Jv>+L4X24W~yqk zrh9po4Py;{IsQC<;-~cfUNf4$6B41cKmabe3d`g#=oJkXDChanl~f3;$s8^a-s8`$ zfjUIqsmJ2t-%@w^ourl!nEp&s0DK}2-()W98tkq9w`TzLdV@nP?9^_#sH^D@e_&(?{~j4Xtl~Z`d24hw z!g6h7j=$BvY2j-<9t55+=Fv;(=3V!vaYzH~a5sl@OZtoG3S>aDJXB!y{}ELJj2Kct zJATlmoBV4&uV31{zlLCcu?t_U&6~B$Tg)KR=eGd=T+Am>vrOv5LF{+EAE6@Vp--2Ac8> zkb{v*b?kJxLtKUV=k1ulddg4C=sJ2J&FcGXL#|#PLdvw-^y#06*L8 z3)XO!9ieuRGy#_WCcpEI=LQ*`IeTitIFOUN8{I&|-eDF^n)V*}?g95T&_S=}qq*9i ziimGi1T5eTjHp)FzH`7be*ZE~NTSTz@m^l6Q~|sLFTSXK0>BF7oT^vlb9#-Rg#9wh zbMFC?M{c6B^pB8X7?WO-aj!NioQ54Id+u)h#vf*2O+F|#bjmE{>RXnjKrKK3TJvAS zz>Kiu|J@13zsHfX-y?Sq?8M|W=8f7q9A#a_6@)PcQgD!$n2%I(usey&-31q!J)fB^ zZy#aFi&-%s0ArrFwK0xy*iW%OUTyLeRrX58^9DY&0>RUTgc!*z5T`pH28U8g!KP5OCUf{6sX8I25vOAeuCc6Uj<{&< zMi!SPiyr0KoDq}6&qxAiNeQ$Y&H>A$Rv>n3XwXMsVd?_ZzbQXOXWdijy4(8D6e@pR z6`#Hu=gKdu!HgcbJgfquoB6ubWLExV%}H9y8V2~tTMmI?QoHAjZ3u^ z$Pf;*mhWl@WHwl`x-Q|hOBM-3t2sTjx2dhQoJa%fvImDQCa<$ZlCs)4*ty#;ktgRG zsx{NRKxmhtW(r>9cJA%;!EAd$QX=^Eoaz;lxQQPs};q6#fjz zoi^*k!E2<_%dTk>gddIUHk9(v25m=6q>*A1!pWHs*7@D0cysDk zv^9;x$=BgD7H*q7lveYmES7$sJQ^>#5Wfe;-qz2DSlJH@tIc^Ib6nwv9OlZ=H!fod zwN*}Qeyr0=5i|?mMewgu^XEr;8f1Li*x_SrReDx zhatBaVoT}shUL-EZNUVo?AoEXN1vRwA_|wl*N_z1(cRzS^fQZC)4fYIwE6ToS|IFc zvVwc`=^K5v{xE@z{i&OP?h)m7T1pXUITa~ApmI6PXXdiCdhcQDW`WLW$CK4(SxfoS zF!v|{c|31t)Zy%q%0pI@=P9o!^c~E(ea;K-Ljd0Ybexuzz%v*BwoEG8Mree25!cxG zwziYlmU(nZM$A(#PNGJ`4931L18a0b3(|3s!te6|Pi`u;c}&A_zN_x!>9;YCZXl?vDQCy>H$H&Yf=JtIRjiC9}=p<+-0C2qaD#LNK~c5ZCbE)j|#<(Yvwq}ooN8<}oo zck`Cp^ymJD@6Gw6#&*yE(PRtP(ad5(nzK6RRcv7h8dH3Fk_ms~uU)tfwt>fcizaGY zEfVDDU6V`8Y+vp7g)?l?#&z=V4&Gv!(??pRNHo_Tj(T8qzS);4XDPAjrxl-IA2ue^ z@p%Yyk*GJkb=}&K2VT{m`2nT)2<^F#2{1CDxkUSV@}u@vw)wX!f>n6?&NL+uH8Bhis_n2;l_{BnGnb)PlSb6$nvUTOmD!L>(oDC+ zQsw~D$4K1G=7B+FPcfEvCC+BU-$eZug8p>wo61mZ)(`E2RI_)pns$-9kTg!4v0pbu zy97%{jfI_hiiu}69tBetrq{}|_Y{;Bz8p7`Xl5PQT@OyG2XrYMXu&t}9Vun=>=+Ov zym?_zJ-{Hfo#^)TvIyq9lVu@Fx2s94j9s(Ca{VJk9gqa;Z9$Uhmd6)G#$HdYAg&AJ zl(|6_Cx6T4P1gM8h0)J)`@F0LhdzZz=?AprIZZh;3fv>h!Z?;@@z0<0%roPZcCzoZ zt*_iK#i?o7SJ%lzhES8Ee^%Gb+Fp!2&Ns)mEFNQTddn6F1jxNT09!%UMrw68B!bzW&q?m>w`LO8 zJRUKvz9uNWqngnkmXwxFOb_|nQilak#vjI!t2Q&|Gmgq%$j5OD(!uPSdP?l&3%Cp2 z95+i1C5k^5m-B+q)X|k%J*r5oV|G`hb!!qbUd#6xz%=z-ZlzMo6bF zMJ2^Dr0qOE4l*ZPPI$LGUIAM@viVtaq?p|ux+%mCst=4HGOuNm>=|XJpg#eT4oy_R zdTh_MZpzh{+H+iYHC(R4%Gh?Dk*bvkHB(vE8HhLk&L z-F39I-9Gt6Xr+t#tf>?FB~$Wrh7Gej+GtkurfihHB$B>UNvq8%M#SdsqnQlONoOqHd$X+*~>;`&j%{_!8zPb)FI5xTCEe*3Yxx3DFi(TEe+J;wyKR zm88}h=2Ny6iMW9?ku?3C_oIu2{9rFAlt zE$nG|w9h9P9WT81Nc@zrS+vYS}lts*Hw(9MRe_ADR z3{sv~75U}FD2}8!#uhK>%@)Fys5G0oMuOF8o~`K=fgqeF*3-xmb8HvrbfGqR3XOVA z;n+Tw$QwO*5;d+yOG$14(Y9~nom}tZV(fA3|E8&|9Ea=1en%oE%;QEmF>J26zFn9b zpfIeJ-B5sgp3RnSWH&dBc&*(w`%Kohbvp8Y1u=X^hZ1XHI~}orue)y-`MehZ|TRw1M5Vt-yVFCZzv~qOt7fc zPEBds4E&KA6aJ#lI(LxOz0pN6Wfq{Wwo$HG>MRW{%pfnC!!CSE4;X)(DQIGIEWQ|S z&P)X=mvcck-Q{#tXykGwI6jlr+_@=DYt0jCO%fX`jQY06xz*&~IMt=Lw=pOqoS2Qa zIpyw_=u*k9(MU$rkzeDUP`+cvh;I%Pg>@p`TOnISWT`tzxN?SW$B$ox#LevO%VSL1=`v39`h1@KgS?z?xd}u}Z~B8drJLTj z>`Q0ohI8kVAJE=`vTrclN1DGBO!^!f~!q&(@@5 zI*{Fy$Z#Dp%<>k}l_e%nnv-`b_~k=+9j4zdXa9JmaDkM72%kfO$E(2>VG8Htfq9Dp zAeelzMCmLH5P14Kr-gSo3ZSZN{4*NF`W8g7Y^ulsM;Bct(sm>vr}=u5jr{eCtFG{3 zvK?<|Pm1>?N3&brg#Y1SXHkI1m!YDp0h?9PZy!E$MIr-~fy0^rL!PE6v}NIl9}P_I zBGqwBFae7{0`e^29R~|+14L!N^e3$_T{BUM>3_e=`LO$g`0mnp9uD05%Owmp-bmnE`J6)SnLlLO$q) zFZ9Jr#S8`K$kzz|X%a`Ty_K+k^P@7q}rm=X-cVD+7B6J40Q|mosZU zGk6vz0(yd%6DAgVR{FoLvi|ET7Z(AYq@k6ug9!l(8*ojYfKJiH#*lzcMMlreP~U-o z4k!Ze@cW9GrLHmX4`ovW;AU2KdICC8LsMfD2Ld(*HUc^UYYS^T1sh#`LwGtt!_TJr zh9Y*lE(CO9Rt|=CHr5up4u%8{c8)*?{H6}}vW9j7)|NKbR)$tUdjdLPQw!iW;P>S| zK|_6O149BH9{7Ke;tYN?4*nVp46HNrxyTxcHHc5Q!wVep6(Tmh&OayrOu|1a;U6jZ zM+*Ltf`6poA1U}p3jUFT|NEuj77yFE2@>tUnENkA{ENBI$i~F*m$lFScWa-O{(r;T zXQKyf^gpeAMwb7R);=-}D#br`{y)ynKaSo%Qt*!y{38YbNWni+@Q)PyBL)9R!T&c> z@Q8#s8R&xhcTeKCgYesvUe~RJPsz}%`vY@nGC|iiJ=H|lp zrtnSlk%S=I#`NNw=La?gM)aoDDb$BAOaJmrAi|7KSn<-MU`u^xaSS zb=l&oRF}znf?84elpB!BuWLmWV>N3SjYkRR7^Bxy`BY6|&*8-jFNTjs!6O)YKhMWl`YA(0(1mRuxrE|Cx?G}8Fz*=(xIEs7y}u0gFMdV;b8 zRe2VgU-|~UqhKsMmeQ6gKdX`i8PltPQ8!q5&9|;d)>6E)nGAye-qI=RAuPoI-lI~c zOS3k!qI}PL!#botmWIY-UpF-1YcW|>P$1kJI@mhaN6-22RASwNCRS?QBu3xMBs(Ji z&P(pF&=d+&tZ&+3>@YYY{@ZhtUHOAZA~GNIFl55|sYhKl!J=|z&g>E&^@b9Ae+syK z1a~wx<*Dm%A#@g0ouo~hBkrvE8qoRr$bO$GVKlEY_BvzD>k#p>URswVuN{26{N8k{ zZW$q;d3YW(B@FXjYchK#*~#>B*Y@$Z_Fs2#|#x1JSUcL4u{fJXa(f4%?e zT}CRLMFrK5t8dny2wHV1R=pJGa%Sdo+P>=hcWdST{NU-}BNnkEVs=PL9nM+JrrE#} zZgKj9k-Bm{)rfMy!pTKORF`C+%h41Ol#sy5XTodEEFQekDe66xEpPj2K@vS*RR08e#Hnh|7W0x;H9~MJp;iD^ehk0 z!vmnbz*v4?R#apnV0fYE1%6g!BzTEe$pb_9Hw^vKSj-Z*hxK>!-+#~(urmMI*Z&yq ze}T4H|97Bm1||^0U(qfWb`bEae`DD2e_+`EM!WwY=Z|{;YUluDTi?*e!BN)&fX=0K z?ahJd{DZLn#J%DFU*O({X)x}JJ?MSN?{53FP~T}$rp~yA0>I!uDWO{`Z z!b13j7dx2xWQtmQM~b5n2;v}YXV(-`L^6+_QBqc5B>8Qnn zCFKqqF18(NfA*X8ERX&6Fy${E$xva4zaM=WP;Z$k=8qz*tBv|rd*0tDx9EKL`Kk3v z7>p;%3!K57=+k6jl-GY89kz7fkb1adR62ZNKktqoE*B1_igHNx=A_61Wb!QEvZB%d z$HC@F@^bHv7N<5PL9g}pPdB*7`-5-PpGsAnZmZ3vsa9`PzjI4w@GN^_j}ni{hC%)P z7^DCm8Y}0ebnn0y=CS=#9}btxnVyHo6R4zTCjVuv!`pJP?&FQ^*qfQh=ck8yt7SD6 zl|tHi13g7k0o}yE52}z0jh;Yws}bvY2MUFlmu}VFgUZ`y8JZ8%#l^);xE-jPGLph7 zaAVs3X70B9Q8T5B^&LvrFXDwu6B3>OIBbR`I=ry^9zR^$cza<>mXGumpn+A{!0GY* zZtm>q>FMm;0M5XL$Zg**+VQs|)8S<-dz+svQlW#Q%z1d?JAgdG&UFx?AIG|NB9NE+ zxRq5dG)lo4B3`5GmUsTWgDNp#0C7hVw=wS_^=@_3t@#kg-&KW6vYdYhY@$=_s1BQx5~hcK~{u*W?Xtf5)bQ_L9|Lh#)4eg1?0FYAHN^o64n zqDLz1Fet>FoP95o*V6L%LA{QRR~llu;OUdj&o%OG+i3kB(ZqxQToCkVg&MzSzv=z+ zR<9SfXX4(@R?{m6QRP#ClQ$Fo;0%wP5mMIwWyMB8AqLsvE?X2xKzH{K4zg%xh!c>L z(@~ir&{a*dULztP80Vi%dQw-|MxxV>x1@EOcHsP10#r!>0;~BMEJsdmUVS?+2`4Lj_M)ajg1a&L%a@>i?j4yU;f)8BWt^WtU zu;F2vi>o3iQHcsKY-k~ZR}LB1>fYfoPE?8l zG~bNJztT?4$_gS@NK2_7vZedHvo+W%>!8#>I$vwO>doDJepNjsr;Sm?_&O--HAsQ! zKZNv!M-;qp*eE%V2C7$T5Z(R#{g>w{X)!5mS3_UjE}eCE!er}QGJff_Nq`w z+UkDk$CKOT?(_1Y*W(iI&Z}yBd!kPxt~q@OkY7?$qSG?qZr88yZtgLn`6S7Nr$I0Q z`o!S>ad;OK0F$QZ-@HkU56&<#32J@Z-aLwMc_?o>Rjl@$%u@$?y+F{L<+9ltU|G!j z38`mSU2PgE=Jc7RN25-pKa!R)u@>uTxSxtc5Z@=~V3=m*Y!EX+mE)bNkYFDqmXPD6 zfKquFg@zCIcxyv^SZT{}_gF?FQswFo4^jDR{EDE<;iXi+s}Jv{YUZV%Eu>XEkq83% zF$dnGX^xh+#GyZ=n^Z4PeeM`t;AA@ z%yjl|OKR=*w|wqA0BduCvE|WdXRFVBe0PDUG41@YV3N`4=tGd|;$d&KMO_J*brASh zo$OTXc4h*dr>5EiR$+^g!BNOiQHcdq+zL;HTOcb;O{UlD0~RRqe8ZjXEfS0Q7OUm^ zpbrCFAscHX+~{Twf>7PBQ?jE$cGV!zWQ)-(@E3yfj+1Tgrewqnrdy9VNv3#zmo~21&T?FO2$UR@S2;2enpzg&a&J; zI;6?nxQfHFxIBjRs#{bdY`MYeDL>M(Xj4Den5@To$5_WiQT#0d8iB&f%*eW^r~Y{S z)UbvZ;x{(MIMbvZ!{OfazG_Q`S*!ohx;i9l#K3y(67eZR8-dWv&C7GU8yOr~ef~%+ zA`X@jGupN_Ha_JGcL3F$SflHvwQ_8EU{ur4ydqYh$eE>98$(wzdlyQ%#}3WOGTM5@ zwFu41YV{y^5QA%+{yh9nf9LBvhXc~g_jMBGX3q~*mh6Y zxQyq|;o{4lMT=R}4F+C`nFiTF14{omoAH9N?)gS`dD5b;n&%gYiI<$3mGh}DUCN@) z%Mm4c4r)#=ICV7d$RH1)3n+ z2{9z0LbdnK<)6ybrZ;e`bRW9W2l|Ti-p`fur)Jo6!gbobiK%+`xe=39gbHEah2)c` z@eeW}|O=GmMIULEgxVipY@qf~KTXEt-?F`{UmYa~g*@Y@em!^Q|4r$$uQ zJi+O~jvYH+xKAb7|B_uQsoPJ#D6K2RE|( zG=Z#omXeHRs3*CA(oD`>?o=XSS%=V`hKKPyHm1zDQ|@wf8F?6++32KYq5tfpwrrlv zVy$wZh|Rt=1sZLA@(#2vIq{-7KB8xGS$!Tig&gDQ`8?66Tw`(3{lq%${XCw+OJ=m0 z?>16UKf6_OpG(>RXjlF$H_E%P)WcZ9vE>L!eD}S;sTQB%+bhd&&lyLt7l9vw7Mcrm z&Tf|3E^Wp#^y@5k9eC?It|uz|@7xeR$wW&6e7u9Hdw%HZF&Jh#e3O=tkP`Eb{%7cg zWu5CtlZf#ftHfK~5p+vt+Lq#Jbj#z$XS9@~?mpMA+#RZfSMZlu<+v(`&!2F=5=o#=P@Mxh{LQ)c!*(^3&@wrn;S@UYI zAcM$~2V^{2`iKaWi|P6P{ku&{RMd|)kB7mr3L9r2VH@w=W{iGs*pDZ>GPT;5%5LRb z8DE}FC!i8*>^w$!9xR-nfh3#d4IA)1^LS26XF!6_PJ2m7$;CR$q_9GPxZG19`T3W} zvpyTE^&KDu?P{fdACPYD!{y*D;9*yO(W-=&`YN)_yBpH|y4LdtVi!T==tgI`}IX0iy{jshO=M~DgfGpL8nkmdN z;+HN!6_nXBbr?4a-<~Q>oA1yP@ie+5dIQv`eOx?;KdN+<#!*n&lC{OycG_gWKA$B3g8{aJZJWr`GXW&HkFo z$X0d+pWCnO!&k4=gKpW2e{H>zFZFIE1UBuosH$fUs1AP?K2~EoX*N}uMFO|u z`|353R?h}y_KwdNzOW%62R1O>nCYyR3kH=ICa`w#IY5=ZXybsV&lm~b*ugPG;MlRS?#5Jrx-lGm*(?PC}|_ ziRVoJ)?U$f`;(S_BhIINh9P3)L+SnLiOP~*?&Vhnm~*qzyH~s^Wuv~D^#z8W_fsQ$ z4l-BIltdh#pE8K|&u#_N$Y;894fBni2mTN&E`pzkdVYR>{5RJj&TIxFn_ow3=0{t~ zw~}vLQLACnJ$#N@%+rx1iBw5J7DpS67u){UVj*7MAeFVCpr9g^c78yZmdSh-DKhxg$?E&`q}8L<_I98IhO580 z`b(}+R-l}b`D{53$VgF*>_eEYqBlf5t!7Bg9ZHWXm113nahfA$o0kfN6$}z?VnTv( za3uA+uFf$T-lrPCmuPjn5f&DvLJAIDaoI_mn^P%==IyLSSuO(DMiA*$4=kVgqw68s zX35*ei&0K{JGKw@KH8imGpizZ0%-31ITk_G# zyqL3btkFXN>J_Y9A1(Gcbl@8q0Qv)>T?5GCs38h$9su7O$K{49Q%T>$v+gW6mW*9X z+U4fvZvE){%K0;f?@SC`&fIN_3VNuGR9XKc` zcBd5ZhT^@zg7D<35o4pH<%{&9fy&77V(9v>>ugB{2_Qxo&;|3_e?7bHdcC_998$A^ z9!xcKa3;p|XRWlz%sjmSilFE>H9PDdHL2%p8%cI4SIN%_Ym1CTao&D$OPLpLhaDMDwav~=JqyzCNiKHSLOa#LjBIpZBmMLy)T$M-DMl6(X#N~IKv}=!FxyB z^A5T~ZWxb1SX?|gLAy>b$g`c0AmFH)m-W|^BNn{!ip`jk<5=B%d3ps82P>=bMQ_hZ z+xe2mtmakwqy?9p{87z?$OjpTd8Qp{G}?V8?Keqnbkr`pLxMcat`U!{*4iIWJ5hC3 zu~-iqxXHHX1Dqq#rP;SIoO|P91e_*{>ZKP@64KJwNi$Zo629$&a#mZ|JFCcF0se{g zH;ND3oGup=f%`KRX^IEUci_a@x>1*Y(%PfCLsL^V!?}JzSsCpQf;kU#Y~NgK%_4HQ z3V73^TiwP6gEQ#oj`!(Jj8~ouzu;z<+uu;@ntEZg9~5xnlNa5bhH}=4)V4u}?fEGb zzmIYc2c4wh#3#nZAAiX30#T$i_lYIC>gYg^lS%(9!j!~WYI;PG_{js*jER#@;|Ry+ zZUWN$x-z3^2Dx`QzLeK^lD&%$cCXMW9#O3}8cE|+esZ8~xmj@oCfM+2Z@53J2Wog> zZP3<_;cA;88dQ!9tq2TU9BmXzZfA1Z@br_ zS3&h%j23KH)^Z_T%H}1gsknK(7(1}AJ?aEGa(q54d{4E?SmF#7Ls88Xs-UyKULFdp z1N+rg*Ml;E$(Yx`aw?c@xtdY;H_q1wl3ZkY7A_6ZRJEV=z5^6G<0Qp2+ac;jW|OQ< z-`Uw&x^np$&FKIlLfsD+s|>RcFTryEjudFN(k2eYeg4UOsm9p~5&BX-#5LD^xne0F z4t~z7$;Rf{zM7*TU58FLd6-3lT z9jWMLD7-+|c1v`ab>S*S`_VZc$p!B|OFjWdp+f`F-G_A=w#3aDhgn~<)%9wwQh!=* z2f_t4*E`F!qEGgX=*MDPYJX$JWT?1bPhYnZ7(Gykvy$aO9WtrJodt585^yI`>-jeSgP z%q-spB_O-S7y6b`ttWBlkl`m%t`o}JIt&Rv3K)>?u$a#vvPDF;kx1!&;hQz=CY15U z*c3cJ_A_QO?vEs7WTqBNIo?NE&+IaD!SdjHZO{vD_7Nf=H3$(M4Q+`T0ZVCtMl8tZRXK~x0d6;CH3c^VWLrE>?b?8ntle45_oI$!T{ zR@{IRRDQ<$D&rQdYDGgjStJy8_g{WJ6?EN}HvT+VW)4LqE)=+f%g`A^bEIE?lMW}^ zUuHKeTD0d(yOf<8k=Hq^bID>cCu_k2`5C`@rolFb_Ziy)oZ*yHgU3Q5%wv>&CpMWo zfjrx~kjZsaE*FNti>0a5lyF0Vq}K?sbLB(*QnoS*2sVwQ+xtGtj`Al|Pb3;0II3t0 zmZk&h?8bM>$diz!msg*p+1g09C?lP(G@iR`<7x0)8nv3BZZ*4M#g=Yv!##0fjwQV} zJ>cwoVVg{J?Md_OfdBHfz;BBjs=jf+Tf|#*zF3o!fq>TZB3q=q(6r>!Q$zuW2d|4_ ziNsj_G@J1hBeWiqCT4mQD`@Wk>jb9T*}gkFl^gmaLL2!W3JNg?ZQG(RRJd^)uMv2P zZa{#rppaEK;@2=KQ88$Xv*c-UTX8kB-fbIl6k;}vrdj3K=%|z^`phT1pynSJCv^?$ zG>fLnU$xslgtE39biY1yM!uA=GM#eUyzy9M$$M06r<`wQLLqkgGPQvkbRWIg?3asy zvAIKsGZ|BQK#lLoKxn1@(9CXkOpcx)C?x3TAH12P=ad`Ie_k}Omqpm)h3(~M)8A*m zjotQD@wG6HM3Txjv-u3YDH=&CB5hD;D9>=`#Kc7IN9{*wpiFTTqPmyf7>H8?j;q=L zaf}8c`kAB>H#8Aoe*Niit*nUuw>F&=mf(?~&-Ac8KG+08r@mQ`0$!G%3eZ`EebaKA0LKJ?RwF?JhpP z^?rZ`4iX8{MnbY@`6g1Md#k^RYYnZSgWdj1(%S0hMavLlOoq6evk)9>>Ys6m(S_Ae z-Lm!uHB-_%2{h%G-VJZ8vC#ncUGJpnNu)zUYmf z$`%h}wI1FW);+h2&l7|kw#H+EF`iW?)5Rg)m2Z76zyZ7DTt0xCQzw9P;n z%6G2yK%8tSl8V3xTi7J}A>vZBx+4AW@LV;jhlFf>;smtgZr)N3D84ysAbdVVE;b1; zlf%I{YE^s0Pz<5o93Yo%T zRX&bG6Zqm_)D=OlmLI;ZM{c!z!^s zgs0N#N%i51^_Oux)^u9-4;t6)%?wEZR=h+Bn>Z@!Bana6^=_npaGop)`~6d!sj}zu z;Oc$4o4nkw>GCv;2K|eS3=m!0<>;~P!x8k^&|7VX_k-OgRZLulGDaJb zT?E3{>B}jYi2Bd&XHR%U6Y#yQ7ZjG7H+*Ap-ci3K@16(9q}19kp02yYrU31EcX{39 zuEfjC%)JEH4U2^2trbEoJ~pThdiDj$RHVuNta(emu+QYqz(mQKh7~19XYEdEGO_g& z0(5je{4BHTk3G=czo1m1x6y~&P}0?b*Z4s63+Ma2>Q!j@dk!bnj_5m?CDE18GSBMc zMbNoO>m|X~V)B*dJKRQ_joGpkCYR!|uW$oNlv?JZRBMu=!5Q{Yr z7RrUn>t^o+ISf2e=WvB`rx}_f6TAYUGQQ_x@|iWdi+)3~Pfy>7d2UAu5>D(Gtfi-0 zBSTwqHR&r6kQ~R>hVPc$@tO60%&ccDlXZ~rzQaPW9OTUgMZ*z<1|Vw9Z6Djb=a&3V zV;R3mPW`o5As75Jc&?bFH2oU8qu|>etqQl`*a1=E0jkJNWTaNP${5wI<+<1@fA+| zM9(FM>~G&>o*O$Q(TiY9GnOxg2PjJY z!RmkxxP*M7FJMGCbyX()+H<}A9o5Op4L@@?9UQ)VK7s9m|UaQ&la?N)4*~&~afuD5rZ(!=TRZ#UnDC7p|FhD_d2*!vK!?8CI z22`ISb`2YISFcaYp-AMz0fnkcsw_^yq&u+!&)Fj~1W)rv>qY(g!-Y*5NmomeAHklY!+00_i`DyRthzHA z_!O$T?|Re~is5mY9-EZtE&z?Amxy}fJhT39gR1rCs2q|@w$=QMdqV?jPxO*%6gx1z z$?f0Ym2lGV32ji6`+Ic+Bf#i)eJx7(bYtFuBYAx zZg~!RVVmV!=qf#t@NH-RodqyEeHyG4AMqKA%7{5?lq&dn4o6+pUm5)@i7Hr3aS`Yr~K&;l|= zLOLNZf6y+dKut%W)~hC1Yf5GZf&tQuz(F!Y?VCYa;Fjn*4p?7w$>EZ;Dn+SbMS2fz zV1>6T{={_{FTI52vn5a<({W5lgs>LhqNDI3vc)E6-ZMS`CAi5Z zU@bu5{$Iw1s(L;f6lMBiU-q1)vRJ+yn2^+rB3yPss#l>z-;u87A`mW=^wy=u4hniZ zUZsLK(iyjtfr$cH!hcFUz>*59vF6f~L?F_ENrf)8US_a?TsR~ic{+?CKSIF`Qs~S9 zRF7P+ifB}JhkjV;t-^N!1*n)?^Ys`Ve5EL88VtA7weOvvN{Vd^ut5P%QeMF!Y$(jz zOR&Vmm@SYg)U0p3s|kumgjjiUUN+S*W)bqQ;oNcBxmVhT@(Nl9(YsW*8(1_h&yt#F zv#_dpD9$?X7Rw(giy_8I;=bRjKw!$rq;bS?7Xeygn$XLmH?0$mJ;4G#9!qtJd=>In z#PA1Y1Jew+PzZHrlJJ3?VTcOeRBEm+E}T#C=n_vcYuLA5*+N%)xAEd25(82;ar!JF3~AjF{L|{7NU-}+|rv!@&<2QXmbUbQ;;JfUPXm{NX9ypJDciV!H&dF!3ci z3Flss5SHZP>Z&_$`{J5tXR_nhPpz|ARWh2;CW%-pL0}j2yGt_%SDxh(nOz3bPi*bw z5qE-q;uXA_;716Gz~eO`4fo97kGV8>_9L2FcmcYG272L5@sy)$MM_x zaWRDNQNeF@E>WT^LJ7~%)&r+tx+4?ACBt%aG3eS&_iz}9SN9ic#3n1hSdWTINk|RB zYd^a^1g5Z9PF#IkOB@cnybAR^x;LqIJ83JB`K22ZaAUPlb7zeHiA=cM^=cqrin9d^ zB`!53p3SBIom2`JhsDBv*i!0goWdfr>B92}cnZ4R1gI(pGQs24?kky8a-GSK>x8`_ zr+kB4=aJlctgWtl3yO8LZm;9KP5*(Z;n-Ny)hoegsT5vLeN9Q%;+(Y2*x|$=!;DMk zx^<5mo~I>sg#2*V{mT5K6@2`JD7=QXoljE9Z5%op{A!pduY(b9cb3aPpjh`IzZy>D zJZ=n3;dvNMQ2)H0TVO0y_NzeoD=n_s^nMbY{R~;2ftOBfgcx98{Djt*xQt-gK;m2M z&QOb0eIkj$e4yn0p_>|NK)2Qy^r4c(-3C*Vdy2A+A`*q4Nh`V|Y(;hW17k(U=ZLf~ zDkah8n+sy5DCuH&-w8JkJidRhgh;H252Vwn@^v8*lpAU|b|s;eADh$ThD9!fb4Iw( zXsD2SRA>VsA%JK~>1Taivd}Tnu2uGTc~u$K2|cnZ%5xQ=vAwtG*Kyz~B(gj|-NlO~ zZ+#M{Wx|i4gv?(N!0Hx)@AeYQqw4r6n9>O%OKzAFAl`C>g_T=H_Z^S2k=uZ01DE)& z`TcgtiQ#xpJsuNrq zMARzzmUSdClh^QZe1AWoyGeGDtwE`<@A-OxfwJV#oTAG$t%qpIRcuoEiH zsOd?{#XWdCnBpG^#SQGrq@i|nDd0$g{1{NFNE93|AzUR|$R$?%5(-0x&A#teNOyu1 zAg6q*JoWYlP2Spbqu>wiaS=(e^~vofT4ftbKtGXp#Sc>9p(>%aAUw;N=7(1dYC9Xz z?~})uOIBlRZMR@tV(vl^_*7K;u~T(-R9%Y`7lw2;M}pGj1V`lC%Dr8kR^pSE>A%!0 zF~_6XeD=DgpdxrBARqk0@WG28z8j3WlW?#no20Y?VT=TP!$vdx_ycOgSe_xVtMa$k zM1^ak;>VFg*WX!X^%>|2;kgioN1q@rFw!ZGObc88|^W$?K3pc z%qNpdlwIA!9*~R8g=(Z+p<02#pS9G`823 zQPqh{Zy)C&M&#&Vayb>Ba3?5P*y0mfIoIrZM9CIfrA5^uvNX6XQjT0FGoz1s90Nq% zH$~_#@cD#XAp1Tl*X;rlk+TJ={#+hp&%s=5Zjro7u*W=N_v{@Z-1v`;R|RRG;~^L} zJs4}g>HROd-YOuDri<3bWpE!7Ah^4`TY%v1Zo%E%-6gnda1RjNHMo0lcL|(+zjH4B zoBtA~r>45Adw12|YdvK{iBRscVx$AdJ?Gy%00AM+M8uka6NmO~zE|{3tE8`1{xN zu%xVbteu7?b7jSoXQjR3D@|l1O=o+_k%hjwq`H?EDn~DG(g<$8ygFwDjO(AMqd3GT z`3q~f`$o3LB&=jBW(o>2w(;5Sy@95f{XY(xQPDRGH2gXkM1NBvF|eUFyhpznD;J0N z%q5|1K>ZMnA#FXY&TeX=FHX+^ICUAw-6A}60>KRtTy*0kYiAQ@cdEm&WI2*w1y2+9 zJ;f=ykdq!$A_Iq5&4_~uYeS?A1>~2EWHTw?(9!6_Ea{6P8;VUJ(ToQo1fC2feRvzq zO=p>g(z?Nw4)PaOp=$rAXd31%R!~WixKiphQoeST>JHpscJh3$%`Ic_$|_-OJb-FP zd4ioLh7)1xbhL5_u^33i5Zzuh3yTX0wf(DmfO+WAMu1FN7>30gN^y9lpEIr+F+&&= z(TVM9BXR84y-u<=1k1oS6rZK;=vd+KFF9GhvAGmF9C<8=04f zVvTLdt=7dn$Qh(O3bUjt2SWr=)*6O7y*n&$kgx%br(P_m*jTDB(CN$4DIk zZ(OLe(C2(MG<~HE^y_`3QHElt0w;$EgJg5Wm|0vqYNygTi?*y0{7nelBR$nn$Jok% zc@YbUKF&F3r$77(y)q;G=QH$NDV&7L`rX;4n9GuA1;L0T&5AS5vh=Z7LTqX z8Xc8}3+-+g9R2GzZBZpTnC~#;fn3{!KX7tgJ<{$mB2;?Kf{<+qq9RY<}ar;f8w+RK!&y z!7QTZFES4SnfZ68@}W|GZInUDh>tKcVaALKAdNb{q9;WzV(*hEpv}PWp{wlMN8)`~ zT9!Nm`8c>gQxuSW-KU8PoE7U&y{7t%lFI`Fo%_Yv5Cy7HZd*Jiq}ddiR&A$mmS6{; zVt*MeCR2l0E)$lm#dx-9@SlityKW{209_@|fO> z`t}ZG98qG|-=?SsHHi%~IMeK+)JW4VCp@K?1JHu78nbG!AqEvL?J&rUOy92M{^l|x zvtd7#b09GW=8=n3j4@^fjd-zGlQ|;XYKApTJJ~Tu5O$ZN{;aDHed!6v@Bfs|qE;!xNIvPX=Ze zUF0nr7mMqtWNuZXJ@;&%q)46;UpBu+?X5K5LFZO2VF^$STy~xhHkNMe*}J0GJ+AC4 zM(c>duF*53wvd;R$53L!P{#!Fyvh_d{hfXc>&99|E-QiwG^y=ArClR|V{h1MJEEq= zGi@>?ZY$DXBl_5^;ij#NXBq?>gYnJO_$?8HA?0o$g^IuHsVRc-X_|D;y6FZR82I}U zZ7UN+$M*xvofEV6^^)a`M?Do?i+j9SmKgH`YiLK*H>ASK4yu*kLToSE25cPYzWCAF zgou#0<}cqN28nqj=S!%<@;Hq8INTNfX^Kyzfz5lNA+?VAjgZV~q?z}u3~8RB?$1XRJ&GEOM}SI52920K6;j-{E^oazJ#&Vm1NNu6JM z@OoExsj0?b(q_tsBvJ7O%ZeQMLMN2R8~%ilCdB`Xq05hgrqNH^214trrkc(6u9|2O zsrn5R5>|0TIieNCq53kJhasXIWs+Kukx`OsX2bBZU$;JPhNknKbD7y$oOEt#TSKt7 znQ4F3+zxK;xS;J_c=2I^Eo)E40=>NG+(*Wz&~$E8fR1q-BYr z_Jl!ndVGF@(ZwpbNMl_p`uGgQL(pg`-fMB|R=XuP_Dksu4W(kb+HpF;FG(w8w#hmN zB*!n(T}85}=q6H0&o8P_{Jj{sC!|==9SW~MmxsiH;>QLc1`LO!jNz<4q)rXZJ_Z{X zav^tQJRtYulKyG~6^hv_ zLU)>sdRHkStHE8mpHd`0v2(*1rx?Pk)c!IXSJ|bgRj8W58o@KJJmC4#CW`N}!85I+ z^~XtfiSGv}qW=F~0~-j;6JWV?0qDwGt_?Ma;o_G(J@n5kH>&A>FB9aSRTTJjgNYsv zd##1swTCV|{044O1I=u<@XDZ#uUv``1rmK`?eW)4cSdi!i~jfj#%VZj)~7&*z z72X>>=R@B7&MThb9QoOS|Yo&p-uSqrLbGh+KMlGY zUrUUieF_ZwUkjr}iKYloHNFN-6DA^>owV@Ab?1^aX+M2QdXid41(DAbm2O@rs*hFB zgUh@k(`81!QdA7FeH$oILme=5mh+@tp=03{jtLR}Pq&WgP8l8+ru8_mj1BXDUqx^O zSJvcd_GW1CnJ-ubA;OIaYrFn2|!|LLB(~m$V)y!aksMPX~@D z{Qn*y!wNT`SeVdv4QEU~K=q&7pw3y!$ua_GV7Z9~;s4%SC=o_1^zUz0!pI-eYXRW* zM7AzK+$&VbNgU?CFUJJ*BfcCZV&@CbTr}VhAhU)xK5_y+V?-Gn{t{8oMzZPSOAYx@ z>Huv_PS~HsMI1I*_jFtK-=VgEB|>WI4(QlN#OnWV%LU^r0pJdH>M!=hl0NJ5|6L6? za`I4a3u72Kk^g)gYk-4;K5Q^g=)YcA0cH>(r&7HCnx6l!Z`sE{8TcKe`M)2u@L~Zv zEp97}tTzQWFjFG(>;cWPYwVr@oOq83AxUpRng9zFba4J_(^ix%KR0z-U0s5J(*c=1 zRlUvGsH}!(d`9;NGRfV1g$khkQ|6%cJmkjymqR%~4(KeU{=M9*5$cOfHR){`Bu?H@ z%4d7@qi~h;cBVvWu#f%P2px$ITcKUK`OEQF1`t!`@kR&T4&`>1Wh@Dtx_YEv1A?$> z6^~@6Xj}#tP_2d^Al-Bw%NGe=o>VRa+~)zlAub@MudSUHKLPnoC$Ua#ziibCj&2!u zFt}6qy(nJr&uQK1O+Ln15KtQ^SbHW?CQR3nKOWta+8lS0i zktX&t7ZD&yl{X4*1EirR*oUzKo)S=(`@7$cDnBtbAGYlF;v96G*6bO3dN&tdJ=o3{ zNydJP>O(5?&_iOf)kpy}kpv~Xwn z%8$QXG#A#qeAiT30@Ol;QbnsGdQ{(j6#rhrbj@Kl3VOG5pTBS2^4r4+KcNTNB)bCJ zlb@upm<(yQ)QR2Ty1H&ICqD-0%%|cF>&&|XsZKz2TfB)^%XjE|#|!J)-1%5+zt!C- zr&~K$rNJ~#6!R8RzO;iaKTk7745z2F35Dj(T#+|O;XuM0I=*l03LNJ+zWU8$+f?G1EmE;4ZEtEnyJs;yAcd0QH_!n4(pYK z1RWB3jxXh;kO7tbNRS0bwXi8y!WtTz!C(2(_1rRfqxI{~?@ty~u=UG9LMR!_1nN2SZKpNAR0%;NSAf`fiDqQwrd0Mq0uW8z^LAdZ7uJY{quQ=C zl<0?RD70A3NtSWjpRN4%_a6_N=G~Z= z?+c!A_%tqaAY-q~onHGmsM%6Z2Sp&@)uazkqSFU`7AB!r&rn`PM6~WQ_iZo?o*M3? z;5XSaFp}8F9CQ89DKjMQCNU7EMv+F+hACZR<(d{ z*_v7r#h={_7-0s{lR2>)gQZY|!>r*L=WQ*3h(!nZP)i*+6vny+QV%KGKSDs32G zmK;$Cz|&`!6V$rVYM&?H>wD$LE|6rz80mEs7qAQ$Mbv7z58RJo!}MY#s7kR|9%8ex zfP#hE3n8|n(A*2*TZ$;*zmh7jCp9mD2n>`2T0G0~ws+c5&_5goXRZK6*`x7sCVFm7 zZS7C?oEjP;f$lKw=c{UE`PsnDwnU@TVpA`S(n&Lo`2y&OXQAk?Fonl;j{*4x+5Ef? zr5#WWP2q9=3z#7F%C4{q<^LB|TtfbyViOP`A7L*Cf6eKm(A0k(Hgl@?A}mbF$N=XA zwW0rz7b!+iFC%sfsa0v?SSJQfuX*0cZ8Ua~41XTNOqgOmvK)jhU?-B357ZBY;jsac zEjpw`IK84CH7{|Dm)Pt=DhY(d@En+uI+uu9wT=IsF_zXtj@WR3eX3fxWV@OuXLy`|{9ARf; z00D*naoxG1qJkH;EbRLn&9crqFjzdhq^=-T?AKBiMGel;d1!kAmXm@a2Wm8ILiV8o zu~`!1Y(e1EM))y6N7*OxebBx`!_^7AUOmXdz{!#cFXtZ};ji~K!V2tl&}(Xmh(JOl zp5%zy+10>~NQ42Z=eg4>Nrv(9an1WW&84Fw%W)Y%6dnR=yX02Ac9QiDuY}`)z%qlkM9yDVPN(1hpZ`ho z?58ukEaCVm5i=4YpWA$Bi^(vmEPFIplXzpb7Z88+t!yLBxonmVBh5YUlVG1Mx~&Sf z=X(2H{mg-2XflMH1I5nnexaKKrCRd45*c~Z&fVuT2d{E;K*6#lF83-BlX}uDad$N7 z9nt~ed#t&ZLVcUxp(SoP)*xSB{UqgVH4#yOOo6HwI}$d8M7;SyXeIC8u*re$V<76# zS$M{oS11q?=I4K-=FOG-t?0rn+6PT2;EXq^ov#NpQ=xtKbKDa5`BYS_V%HdJ?DTUN zhnMryUxZr$ZKy)OM}$hnfz@H!VOJM|0?7Ib(J;^4zr z86c&PK@eT+524J^1q$s^qBF95a_rclM@Y%?=vCCq@Y#3)KMT=giA^V}3)$^Av-5vg z#T7D_GQR>7D-~=|hVPY5PS6Vc?*$reU3Fy_5U_6@OHL3OdV~%}ee6K}2~{ZI48{^Y z#ny$M4V5=i9k0N*+t0_h2@WsC*g)?o27~IB}LEa6UR)hQHe0UGT;r> zf*{G1H_A!L5HAZ@V}qZksSYEP%n`)}K^Djxsj0p-kYaMMSZ9pTfs~R%enbU*?!ySk zqXRkP&j;g|6xJ4DzcgWwaK049G``T7p7XstR3>W*|W>5=Pd-P2@HZYoAVsuG4lFt8C|hZ;c%A>&IJQTL+t%P4{EbYaC5l3@H~V->YcI2uy5Xk_%OsxibiJ`t;Ib$Rq|FjJoF)KwvJpZ24XYV>nlkB&JG* z3dC5z-~TrYs%wRr;Ds%+Rnqux5(G?s!otIWkPKrdTDC3jK*0+u)&B(CCSp(CbUInaV zZ?c|PBccTeJ{`H13v=X%lF5m>f*uwziRzrJl{C}>##ISPEO86%t>Q= zh7%B@g_1@mo1nHHEUe3fv3;aDxd@*lsbC|k6-1#1pRL^u%+;O zy^X@tRXXWeo4k&ay~6Mkl3iR76OGH}NqG2OsEd`S?bZG?uHHV~V9}48ZvX0l_uYaa zz*X2d@~yKoC1!m$n@-ahHxl` zlr5w>LX)~q4X0{Ey9j@jRP0jYuf^9u_w!QFFr?2wLFZ;AXJvUDW#Q*#cI2#ivQxZJ z%c%RPTRWx*Sb3lQI`iVwLe!#}rQxOEBUuw!@hoP7H`8%UA(VHGqUPaqR^8*_bFwT0 zk4+Ww^2KGR=B3zg*>0tFo=WpcxHh<((t+0hG$6?YsSqLo4+SED6%|YRcXyFUp-NOI z$<-Gv#WpG4!Cd>TtZt1m2Y9xjFJ9GP1Q`Srw`bwjiatVIdzaHm}bwtZa5DYpDLv3fRpII=nKJ8i+e-Iq_%UriE8l z_(Ltx?t92ZHh2EnWMU~4=HZNwwM?UZOvB~*B$I~kbxPrcgXvoHrJKv*P~)S7pxy9S z&YKRAff-`H`uX_ky3)V%#f2?9EspN2(mU|KDHOF(ot@iSBL=*GGo{&{c543)%oje~ zX(Rg=s`8Y&Ua}Ude(U9KeV5XGRlbrvSSzj7;d||G+=}w5S`5(cz9{!uyZkhBznEvo zu*K=Su}bDlWc91~XRSBQ*2Ag4&PVgrlDzNZ{EhA6toBBsk%!Fvi9-4zxcGq0EAQHT zKPw%%qxj8}QN!8D=<=Ckdh2;D2iKV5!}D^$o3HvvaWu23PUEnO*Iv9+sZrw_QWxt- z^|RmP64!5icj=8Aiw3P(=Kbl(1Ab(q`^99wFq0E zu_~k5U4u&W>AEQR;rMjE=_RyXMAqRw^7v+<_VqnwQi|OYr^A&p6wJiJKjLMA=Oyc( zsopJcx78Y3BwJveaZ{kG9o%JxFYKj?SGjD2EW4*LXGD-2j`s^XUpB(-KDeB}7YeYy zFTV_}6k)m|@1aMq*!K!42s-S%k8-|F6kgJ2b=~;yxM{0xtEBIL;p8*OQ6@UjZDw0{ z=givhi3P|jw9-(IxI9K~zxXvpkTZ7d0oOfqhb1_7^kK^!{0R|^s2T%vf=2=l0DoI? zp9Gie$w2MdB9#KUI)#L2KJ>lhL40EHRK8SdDUA3R$S0wQ>VY&az}>WAtp!m=7Kw2h`m%907q(@h7DDo zrl7Wk_H{grarnxa|7y3DeWTv@#!+5X=Z`xZFBaf3aMK6oMVHFnq?v5`O~_d%OuFsE z{tU0m{P?dMK2(sbn6LxoW{ti|cd8l*lS9rFe@%eV+U7#cK8uh5GWFZfV|@P>yN=6u z0on;NTAkQ45i2nq@z8JCwDT;lMBgymkw%=_w=n2h@|miV*w1(zBW^6qp#%0y9t!~# zHb7g7=7@ElCFN(+o44Bv6Q8s**Vs|Oz&+qW5r|~d!XZ&~X_9rGUf4%lJH?gyU$4gK z1F>vcdZmKF`xN@Bf6c!^t4To}pc;#pu0Wf_`)~~rt)2&Jq!CEO)6g44dEx`?x^Qwz-cfEqL_IUCUTdJh+(TgfK z`1>ze$RPV#_6s4o#}mJv24Hy;u`QW14oK&pNE<589z{2-1TcZaPAgFl!&JxuO(day z#=)_GBh&wPSg{9U3d{ia4oqJurf;tI&PISO?4AXE4!bwS79v~vqz*2{^5E9@y=NY) z2il`Oi?_$iF!E4)g!ej{UZO;sEo_yEcjVs4hY0M~zx`VYFt1@p!C7wH)H`1vgyrL# z!^w#%(Utp9*i6oPB^YL;k5FGF@7+=}`tk`Mt_r&e2zib||9E&5s()K})TBm?zyMpI z$ub%Xj&{>LAWZF{%b)C2Ny@B}?9j50qRK`XZ?yPUks&Qg;MK&g3Vdt@XgR;B7K*ItQq#F1GLQDs2r#j<$a{KzjA%gwDhFK&;7(-(SktM*Z^1+GpIjhJ5L2pmFWvS zh&%ZF#{%%%bQ~tUnY(!m`)o&D>Gy?4>13t^aOwjV{A|CM}9X<{zbmBINV|c>tzxyUuG#iNi5@{#kz2Qd9K?sOgTRNRkT0x7g;DDY})& z(zHp+4)<|}R&FG*A=DGEK^%=EDW>-Qq+|s_tukr+)U;Vsd_LEcdB+-L3EKaROE0r3 zfJ3+QR(D+}qn^DguOuDtTsq+!TjY8k8Nordtl9t8i)EE`|EbM?YiN?KjLZ4tJ;_0t zD34eCc(Ag{Y)UTp*B5Dc4&Qyl6;IoJ2>;C3@ZWZwUH7v&QnPlmb@?fPQZOrE3!)E} zR+|YYGD#y^pzgUWx5f_1sIe<#L*pz#Fw}X!}c7+lk2=yG>Wp z9g5GJq!dr4p5r6~EHUpNzO{>ETKcm`T&GU{?bA*#r$9@M;%IE$^r|{=5hb>(=?qgQ z#0+gxl@t(9tm%HSR#O2{<+xzRYU=(mOV^_WmD+UkB6XBB@a{JRK=XdQ-QJ5a)61Pq z8~Ttk{XXtHt3u=488Ye{ODGh8uLhIv;bf zef;xUEfgX1)51?{IJWDchZMZ}2gT^`6m6d4x{CEzH1q-ZcH`@n2Dn z&^w!D-W;-C@2AkLmP^8$>+wnL=I@JzN3WXU@qUOP8HQ|jFNdlYz140`Q~3x1Llg0j z)-CIe4wJ^RUnm(k^)xlyO(dlbdy5nHVGmaCPi&K4yqhnV>rfCS$_AE$TQ1)ZUhP*~ zthdf0<%20Dgwdkp{$2fRC9+>?H`%hPGDeE;Atc&C60wScWq~q5W6a?DI6%!IvfbF3 zU3yX2tgAu@p+Sxl;(jhuLTj}&a9L2``u_MgB=uL&9;z4tOK8C?&zJ7GM%g@`@9wy8 zHfz864PW!SyH*7^cV01yf`Wr~jZIqYkx?K90tfvF92$Ru3EW%*9(vvwF*oFZ~>n+8R!GfKozECBs<+e#)O$8S2y~TFT((~i}AAnFu zb-45Ycr`FGD)?lE+@C8zILZr#83RBE81l>*m4qtPu`mcxk5**Y9e+Ml-jTx+FGV1E;l9EFqh*&9?`9L+} z%YXUQTAyB6JcpOfE^mpokJn2ft8gV|`_0bvb=@OX4HhPcn|9!B|o3|!1B-B zoR+%Ex96XV^bvvld0r*N_+~wCQ9ND?|9XR5B;+O4BW3Vse1s58-fHG&9{Pha0o75LY>Plvl+k*)leat`&D z{yyHLN>lM0ZAs~U;r}ULby0hNeDmj3Z|lW+wNg(cTm(6ZJ#8XuV$8A&{#39r za*<9b5Gf0Zcw_ilI3shXa z`^~6R=FDVS3MTPwiSXx0uGEH1lXv#NPyeM3{n0{UqAcLx3#m0w-UIB786^qzQ04xC zVG|P*c^M(6&~b4k&9TLTA#VT%!#Is1Wd=;}&`HAfjlVZU+Ve_~ocvgV215}emOd(iT-he>L1KW5D z5RCqCxWvGT;h^L2K2hb9R)dnwrD?Cd)GX_yp;&*`VUux1 z`u>v@7mdV}GMz&?OT$%2`;q)O9f2=-KqHzIIxCqR0Ej1Niz z$~oUxRQwZsdMSn~QVG=Qm4j^^18T$%+f{T0f)@zp2AHtvLPBB=e!TS2`XvCql&Ycc zSf|q&yvMHXZIjfEua(8@&nowD*zn#v2v!&~{|waLww?-p!OA!TOfh;(5=#`1}_2{u0vfLxc}?rsg4QM&$v$M5Lpw=G6{^u6t{qo4z$S5Z$!$_1hhmi;(h3%3`e@7zn6 zt&hQnm;P7(V0BodYP9|TguojW?)_@Aal2(`-Mn4iPNjKpRr-Zj6KQ~+z+&$?AER|U zr>ufck8@)bvyDJo{C%z>QCKY<;v)VCwQPtKHM+4wmNd$wW?%y8j8KYlD1#TEKPiRb zw@O=531#NgPu=e)D`3v<1gjF_Vr$A;Z*}`>YirkMf+sev`r70vzqjcvAjAUFn94!= z9*}QptaV7mTB|)kUuw<{k}}5tO&MugNOD+$24(Y$I9;9Sk22%kF^NYZUxgz^tcru6 zDeq2~&~i-E3O*SZfI|JZ1L37NXKidk|&WLi*ktS4u8V^ zvMREJlhcIq$>OHL`A{CNv`{Qi{=}(Xshn2==kIjhCBYO2P`zN0Z&cm$s;6Zhogdx6 zvZ&-xq1J~&NKG|(PFmPSc$gdxg$cNy5##BR%PWuqb-ek!9G7Ln2g>J{ykzG2+#v2* z0vd(RY57@^zr7RBqfHnYsD|RG^(QFRA{~iikHK+MMd!%YO?qFvDmg&H6a(c`5Q3g$ zVqwVj+^qk^$C&$L6fmv}Je2QMKpqZhB=q9#rk>5+P!R^eT#g! z4@|7>ycyDPP72a%o{-0un63lV8*5ZPbBC310=SgR{Vi0@zi!jYgbY6Anr$U1ac{Sm za&f)dJj=tIv+ga``al`mFu_bao<$~_8#LRDGN9BvS*mMW1__vYzmGH2So4|gEh2j_ zPZjzKp+=T4)6WXN^pb4e8 z*1W}}>?|UJ)^g!DWteDK7FE1`J)qd^vW@+NA}hU3KDS#Vm1cVYBYzbu*f13Ur*LY% z>UaC_lt<{Vq{xy7@^XGYO=I=8)-7a{qez4>{1hbdI? z$H5|y3`aFq#1bfjJzHCZ9rL@v6g4NDqHhmf=*7X*qKYJUSXS8_Mc4 zlV46~Abe}7c;fvYeK{wBOv@GrFl8Xv zOi8pky?3Y~iF9dtVo3lqC@>hQm`fFo=k0Sh0@|YJV!UPvySu%r8z@a=#6u@P$v#ks zSYMVTqH)7v;BWr{*gSZ&kL&QkKobpn9$Ktmi?1yM0$#)41iI0pq{ok4KJFF#-|c6n z$iSgcbjzxZ)L2Cqw_CQxrC+cwpX_4l1Hfl0i65uSj=pg3GY6qW@vdLk`Hl=n>7mkUo53S;o@PA%7dVKZ0HhqoLgMY_CABSD#vYqsKxNyF-ew>vuhyVhEa5MAa|)?q``0?1aNmECS75@j+$!@a8I}vZU5V{q0c1p4 z1xRgq;ZWHU!2oC#=G_`#dAC!n={1DN`V9-&AZNEG;J_V@MU$i7AERZKHFwF0QC5lk z%WiaNTs$1K5y>{7oC|hV{ziX4IgR;sd#i9orD@W9Kq@tT=1kHwOe_5JlHDe;5>9Pdl zF5BdEaUVZb%Ye`mV1+|s`0Ahys015>__UWbPFVVa7e)jm_0myK54xygOW*0qN_p<;L^Xqv3RW1 z+Y9Eyj7>xZLo{s9lDKi~Y+}SX)_QISO?{=?T`U?RUq){<0?Hx-kVa{#&`Cwx8r0<4 zw5UOin!7v4hH*hu`SO(*9b~NgqZna`(Bil){}Dr!^3h9x%%WF7Aan3{6{D;ZLXrf{ zDz_O5Dj3axdN{#ROJni!AAWQmu*ML5%rAoPPbT*haiI#Z#&1n-YYAH)KiDi7oxps! zIycTUrNn6@aPe6@Zj+qCF+zWdR+p0*1n}Mk3oq0j-@8|c(!b~No;5q$``TVFiVmNO z#LM(MbmE8Pa2i;8?Hs4;`)+)5*Y)nVUD{kQ-Y>M#SMS>+4`*YM9+Liix3k8OXYKg9 z(YkeilqJNVV1hOgt8;6?h^mj>fhQM$=UJYfRi0ju5Q9OG%=VNsT+?|vI(iC{o;^>1M$fCwOaOVZbKxJ?y3-|={l}C0fFJit z8%6C_6;rF-TJtqo>w>M~W92Ka!e$%1srh6j4vPKH$HnE52>RDnfwis0yGFGNfSD~T zh6(R~vuW~ECzgPRpMjxE)Z7io0HfDu$;aa(LfkOvwkiG`!o;gDmd)j%Os7y?j88cq z{7kzg5;H7QKh~VUTWotobIPs$WAN)NY^oNHano2-hV`6YpcNi5+;n&)sLh6m3PVBW zHw0zcf!;*7v&_%zDG)0XZY7P%l_->Kw7CM3E$1*U(%-!t7|s1bMQLT2YvfGK6CdR* zEh!Zt3anssB_)J~yH3Y^Xre$3DgW1VE&(htqD_r!Ockn9<_0&)G6>`H_IPU;RhW=K zXPgpp*tCc2ejam8m}N!!5WpOxld1ME&wd_?B7=F-H$R))VzE(6riBOk1j&eC zjPC3ki|s0VJL{D-sW1&2J2?g(TIjf8GJ8RCWPwv!$nFJ`M>i3{AE-TfQEp)&z-{7) zx$MwN#_m8+U;Zo3N6P)d>*58f*N_AK`1lkxZ7fIM$A5HaK%x7Spu>E~bT}#%XNJ&6 zt%kmD&gDdAqvmfgv*~hA;+_ybE0lB~Kgc1nE(ad|_iTe?tQVTVLj52X;TLNci&$$s z|88oo`N4M_p!C_n(Y-%aW8n8XS6wTm@ZmLKfW(OlA!A7#27S6bAc-IMlibb!O>}N` zsgv1W$B39oHnuewGen%?(d+PMnu444vufEe-@~hKk;$Q4662!H`kl|*&=S`vi5#GP{woA%SQGQDBqOj876G2tFz5h(-zSnJpJ?UTp?DxGo9cJ}zNsWG)x zdMR-xST6rZ+TXLibAmom+@Bf55f?0^VAzIwDwDu!C)E@&ev$ZLRU;B?Cmc;evZn(2 zsBgt%ym)kGipv)<8OijNs}>2OMhGKxh}2@_uR+uigB*U!fAMku{4E%_G=_}s9WNRt zNSz%!Au>y%h>*q)PQiibV<3AW_1Bb0J!_sC%AMa4<3~nCq%Ggl`cpqZjNl0D1i|W5 zVb99qB9huop9x0p&y(F6V)3UeC2FSXhg>kjjepts3I0ZIc-4l*7-{3V4&qU=eJ(TOjil;6qb>|97v;FrEuXMaE@0wxn4SoOHA-XC5 z?Sl$aOYW{7RR9yZ^!%C-;lhU&c7+nd&~y=r;e4cot*y!L{+4>U!EH17zRf+{NEKNB zkpI(a%SUK;a#PIf;onJ)U$Qy;1w6ZEmy=pFyq(?KpAdhta|wG60dR_C9_!1Tmj-7Z zh80?zz>!BOnbXF3v;7xtp-eq4Us&U-h9$9Qs?Y+f2POk+$9w2Y`oQxHQAU*;WzppG z;Er_-@G@DQ$YDGx^BCV|E=P z0CsSY#nN$R7>2DZKi^w#G8lu803Wsh@O6R~pmjC_Jy+-|Ca4|?EB<`T8Z)Bvb3H*uuUR;elu_b9ZXGc>R~-bE@x z(ZQd#KDAEqeLt;xdV5+L3gQnQuTZx^4$h&t-vVMCIC#HoWomM~4? zZb&I(Z)OWIHjMYl64dG|#e(O|YszlI3oNiqc8niV@_8S`#OF|085X^T z)I1Cr>Q-4}2gqbVRPyx+haphbQY+`v9C^9@19;aZj=6-2HOb+yhGIw9U|$G&FO@bS z0WN5C%mf1#tA~LZGqszWL;;rS%VP%5&vxH$t|DaF#v6&`>=(NBS}>6+)VYxuBrKlj zqPb0vu2oL3-s(a^prLS03|Kp3W)S-k_OH|{39;cM3cFVc;MyPzg1L-bdIB1r&* z@tcB~=Duhb)6{+MFt1`?pqGx`)g?$EM|VQhjkrQ&D@Hw;NKo!0an0l=1~#UV%9tIl zJsDpLO)@oxc)B8HyA*zmG3=owmK3L5%wu16m(w{PXsi?IB&_ z6qDP@m9KUby0+@hZU`{xdB7klE`+hs=t2t>1FIXB3S+|{lF zf%tp9PKq@mtpR9HJd&>u5_7}4s;3RT&aBJwY^)pI05Q%&&+9Rs?&j;n6-GooqxI5> zZ7BbVXoHlh&7a0cJ?E|HWUi#tdJg}CzoMH_eO&C67uVkz?cOh{7jzoU|6y_ZIzHV= z?D#Xrdt9~;*L1(8B7WaX4?CDPz-ct}y_t|OHJ)H2h6|qZiGiuihPlcDTjWD4x`+xM z!l*7LMmB50;+AJa#6M#QkpTNIFm3qGAhx{iFm=wsku?vvj%`kyiEZ2F#@X1|*tTtNys?uFHnwfs+<2oq z-|tr4x__loQ#Ey}r>Cb+pXYhsDvKBu8hQR)6OaOZlAUi)X|%1hugPJL3iePjS4d4X z2G0;(n691(O&dwmq@vr`fK3TJ~CPwM1ki)^h$LF;+gss@b>$JhXQ ziLdr4%P&52r#OQLUR+a^^M$(Xg^GW!)CrR`2m~z^PuO!B&4U>rx33%_>)yGBLaiRF+u z5PfV;D<)}-lVddU=uC@VECm`J3;e&4Rt*xddvmHik%AlcxQXk}*|=8WH`Cs|53V(R7jc!|JlrM!*D|=-aPl;wH&al& zSiFbf>h*+M?T%8i1?Yspt6gp5*zRBF(#eJ8PivPY^T%zXE_j8II6>Qdn>S&f6>yxP zxo}v~K75}MCKK?mRUhTchT-JFZPU0)-t12RV zUq0L(6a7StI!Y)O`99YcmKHs)$6XaSzU=(QIm+E3f(>?q8wA4U1xlRCjO z|47oWSls<_KN*bIn)?*jA3p7e!`ovl+^yDkH#jqVdxHj35u)}IkQq>(io3H|Os{{Y z^?cq<1q!WuAI$ur-fOA%An{bb5VlxuB)VAn;B0evrZSxA*w2TEL0?VpjfL7NbZ~yE z%Pi-&ih2G+*b|u$Q2o;Iyqj;qylLh74=XmTd-MVM5E$vv5&I~KWfa8*m5L9Z2++n# z&$Gi`d}8}T2<`Qle>7wO7p@R_wa_t#O9eu8lR-&)f0IY@J-HsH7w2Qs06-iOgV*rS zv$LuoucW_SHXgLQyG^G0ww;ARMItc1e0oJ;SIsyaikCeD~3xXi-Ce-ncgI zBBv7?!gZ@&f>h6Wl{}sMI_sZ|J=J{IsDHr)q^?iQm39|q_yhEtrWn`~pMR;3xhESs zKnD(bW_x`suHmtl`SE{7+^KQr{q}>xASI>SSP?e4+dul+ocxN`_$vS5@O4}2Vi8X$ zXg9cOO+FkcoRUt!*Nq%MeV3rH+3xo>>#)abnv;|=b5BSJg@7@zze&7j*bogVATA7e zZ*h7k$=Zp}=l6Jo-zX>{o%=4+_>oU>xqbJ>eP9`j&+Ru?hiexyFRFKYns?cI`s3h0 zI^C|Z?Tv2aZSTYuw{|*{&3(08b|jv_c;X5-Yn&D1Af|U3gOM9f1tY{KP2;C#&X&3v zl+jyf1h-ps`KWe%Cp%O(Aq3AhPo5U$J)qlW zgt691Sy3M8>wb)EtSaVmmRHYs2QDpNDdxtv(>LkUDj7*yAvdrLKFG^L&4dXT!miM2>qzUR>Xm_lnjqSbs#^{G%92@KmV?v~T%iPPG*FyZO z?6^+HCU7*^5V3qWDe&KxrzU8;fukLVzkk zXfHplj&5aQw^q?rgPdm?b=N7vFJ1re2(irH-XebaTqGfP&K!#?T^C500U#A_pX-QL zDotnhnz#)SM?07WA)9#M(`ZmuGvAC^dl)_%v}Tyri@ErCY2O*~=yehS(~MwzEP zo&B|!u@B9Y)t!GE+2+|L$|BNQVv+#W9_a}plw!9KA`02Hn16LIwHbxU?#~>Ns?aE<)Ox@qJY^6!nk>+W3BPMUOA0O z;a1sS3={nC14KJoJX7;;vgz+UUs)uHx9~}4O8#{}{7mwKlO=xPQ@&!^MX6#~JEu&G zo9I0=yip9FaHBHc?6B0QC@uVAB;d4JwcTX?oXbbZQL-qJ2!-nOong13W#B?olY>eY z?u2`4Vddua8+sTlose?>`I)*c_mO%_#VTo^ZUD29ZP=oiPLO_)g|laEZ-0ezDLW*jelrqqWN=yfhrimhaQ`TGbrzbR>d-Wl|OL4*I z*PLN;`k{U~Ei}i0hA&tW76GIL}>=na7v8 z;`CJkwcE6RqqPqC*DJv>*)=!}L1C#*P#DFK4w?9Ce|)4yhPwR-aKHG@{Z)E5QXg_J zo8P8Sh#E2!wy}w90zSh1l7`3*4q|esV1$$n)bJV#xiTiFFu#qHMkJ8~SsxzKO6iTg z>EMDE_VLsrd0J3So>9aWu~K>D!-2hMXh0STy~p}XM6Ln#%Wh@3_d7N~lc zLvaHP@|eV*2=YHEACAyzNGOH_4k@wjdB|D+P77iB6V$FaEbpN5EY9^BL0s)^6+;^x+ba{cT-D-)MJj_#{d-7+zsHLGj8Nx`mWWk`tvE#)6{wmCR>|hUY@_Yqx?ZlJCF{@4_3%-y|E#nb@1qocsq!Q<3jcnr}nhI*&N|K zv&6q+UH@>+pUytl;MBG9AYgM*TpWR*4rCCKMfbd)eQGTAYm7CQaF+{?_!yeNMa4Jd z_4!D*HuD{iI3aG$?JN=_V#;IpMI*w!pOqcPAfMWJN)9L)JSD?TtXBT18IJOaZtO8- z&*O>h7Atk_g5X|S`fH7MILj1>vS z8SJef!+z%r)X~EfrUMNH5esN2L`dbs2f>O*6DvsJE-AT=No%0~95bxl{%tySBfcb$ z5#hUe5xKsi;G01DrSJ3SLGyh-rw;mMGuqGy=kcI@_eR-sX4Ap#@BGq>XO?WP7?r7H zx5?6Tzjs%6lff;^*wRJU4p`Uri64dhp|u0iTa`^de5|~@5>gZId~L`w>&9&PDM09F z`wUwK26-a(x$|qhL*$Rbz%|MF2yN60%-_%bn3y|d=4M?{uI*O7^J`0-=Nb=Xi_Kcb zC~Oo`@jW4HA-Ff@cQVn44J^Drepuk7WzrGoO8H7qgvaD{Hwi_d<0(X=IGd4#gGGo4 zJJo#%(qn_Z@Vy!0y()UhciyD6CTy_(&3kRAznys!4YEzqUt#ENB0m(uC|H~M-1AsH z))q<98xt5HoSfh{-y_Lc&)v^gevDR2L3ZO_E;z`A7+{{ek!=0?dVz!)*`YY38ZWH{ zlTM-tU&(3_gk_3JsT%j`5jA}7+MP%OC_&PD@L>XC+A8+A`7h43g;KYI$+Xb!)tR6g zgYy(}A^Odqk|SM`KwVhdqr8XMQ{VbAGvukX_DjMA-mfSe457R|x9|RTxGY>A+VRUX zEBh!L*0qkcFUp+1jc~_48?dx`>QgBX6yRamQ9IQy7*;Avl&A{OWkfd6Jv)(u7*CC~q5COlj2q=x8XW!2u=vwubq2YW z153}j0wMQ|Rc%M6M?D2i&oiM(@K?J6rrwVVgdZI>XMbmp(zG<0mefPBYkz;U^BVnY zv5^B$uSsE7(1vgFXNPRhc3=IZLU860%fPbmJ5qPJx0H92fW@8Pc=w;Z<8bgYuQR(Dh#Wd&90!o0+p#MF)@56Dh*mL@f>fqI-;C8-!RB&SBp}VGI9!?NhZic zwrI#9X0G*H9*{;$30}NL74EuB53$~p|4|A!adjQt>fi?{n{>uR-QK&P!a25b@S9(F zQj_+w?*@HEq$0^~PAYG6o&4zX6SSLIe}S4%mZy6{{EU`C`p)lH^Nn})zGLr^7P1U( zZjwf6IA8u#p!>0He;$*p)c@+OUEfVjt>d3n^ZrmtLm7UIJeHvJ~4 z$qwh2Xf|twNOyfZL-`$Ds1bUasZu$0{VDyq9-6pe6UhWS-rrtl7JY8ZHe{5na^R@3 zsrI>@z_#g9x_Y%__C2AIQwOzN#t5K8_e{4?Y zvCyF25m-~_Wc!3ujU3sCkr#wk_}=L8%ytWR)nbniNOgu*HZQ_a51wi9mF z5D|l*QoT@R%yT751H%S4$LJip^DrQ3PC#SsLx6cu@2acJDg4e@ot?;ssO5?{UpY{Q zf^5J+L(}}@A9u9<;-~3bW5st-U5$kD_;{^ewfpQ&Z9h_t~a1}YIK%7pS z$OUV?uFNM>$aSZk3D+>C@F@c7fzcZoLnAc6wiJbkim?{lo5;as% zHn`%VBwdi$1ag6UedsRxuTed$@>@=4NL;CxeO{)-Sc}t zEiT#5Ya1F${}C7e09RuOk+3w}Y+Wra)%hd7(>)*+n|(hKY+urq6cBrQ_n_62aJAeR zHvLTRAIDTIf6CTwMocM2N>9tP8$ZNZY-=I4QkUQDy>$CKWZ_L64OxfP_=)NyVY`tl zAU*N@DJmqY6j55Z6X|!C_Y@beve+4`YGFf^K+mL^@LQ9GhroQvgVu3bMyq0cTbNLW z_o3J4#I}q`GDy%ZGjb`h9iH%Q3EDe-CRMTNa>e{=sX!HfG_WWq zx!fGx(9pltY~#u(I!XWtbK)Rt0SriqePgj%$;PUF(q0*)u#ctK!IuOV(eK|kLO^gi zpNZr9VAR1#e0O}xmwn1tCW22cPz49gh$6gS*Md@BMnk}jk9^r10oWk-OGqsPsG@|{ zYmkg4hL8CzFY$*MUs}qKh94|YsqjJW5*LLJMl*zB^>^v|*zn*|CN!5j78FuCnFMS^ z00_AtFiOxC{Q+xPq#-mFCP+546DSducoo{IiC>ddgcRf)CZZ_DV%=}+d#eP+qphZ2 z`>CIfS1KxUfs!z&UB_X=E(t9v;)qe~{df)vML3@oB_O$q&jWR%D?h%2>X@4O-Ag_r zRjP0pZt0dwjWERZ^*=y;l(bC_)T+@@6l%%9+fvXlfiI9J0&@2P$BlU} z<0rBXAeguz;t$U-{TCL(6CDbmc+HN^lcweR%)YVrc8I#UK78Mr<|Q351TsE{NYG)%x9fJ$Wf!(- zR(uP)FGNZGUOVn6>UocQ=-8SIX)cs6T*;^fP1*xu@%79qk;Gm#unR%K1QFE3@_Li~ zMC$(CPvC47!heSZ4HDVPr$HAuw^&{tNMx$TiY8(UdkwL}4d1t%j6dXAVj?XHFTq$q zgcbqC=%WV<9x#dj;wRl=v(z>Uve);Zs$5--W1_&j|3XW(gSq;$Vi$+hs zgv7QwUK2J7?1Vlw+o!1Bo#ytVO(wtU+ACPgkP#r`5NUEXT1LfeMTag413|GWoQa&%B$O$20$Mq3V)4={TYn$THxEkBX_5z3)YRTU zxG!kB>M3w?aOT_ym^ZGxPZO)<_*wi$)U2(l{-r4^Y5wmL+DEbGIdlD*vuVTcvr_Zz zN!^I@(E^eCir2*P0i<$_pb{Af{0acRWvxHvcRtS=50Iry#pGxW6z)D`Oa`TqLy^1{r9I6zNp{5M?(Xi%OnyilA;>_nL)mTfdvpKw!p6mQz1~9qC>_AzxGMrOR8Ub9 z@Hw3$7Ey@>daDeq-di1Z=7kV-j|3LV)G5LV-(e(6mbx#Ea zq_Rm+LF+B9Nb8psLYzO-LNl*SBP5kR5w*_G) zujfnju3pqixnOhB2R`23&MjbmWOUGvH=FJE6B*1vsKWAofO#KD6TxMM1aeq_ipp#> zHhr7!aOt!MsVk`2QvDOep@aU)A5CU+PHb-zi#Y-(K)~+@na%B4vd0>O%%vBHa1466Qnz^gd)&lHkRD~#jcRorW>L=lW#4?T1zIb9)UtM8+ZwF4S+!K zRv-`vl5q+({#efZe3zI36(V{TF{m>^=*pK+470cIeJx?;4S^IP)u+Ex8K909TaL~b zV3U;ekC(qOEw9x1QgDiBmGprxgSPokz831WrwbMx}{ zQj(KRtgJ>KX+04r3F#LB_H!?wn3d9^-iFZdaI!Qq>dQn_|F_vfaX_bHREFA%JsWU3s(kh`bgbLF8|5TLbiYkdfmfh^yE2J) zYUYnr0imfJPC?hL*XCz6@)_x}PV(ohHMg^(g<89}Jj=SDPw`k@+i?Omeyv@uK=B$2 zj|^_!I=@Rrg-LteHv!Ngs@a{)5=1&gc%5)Y(nH_$Wi%*GDC>2p36|TqdbaK68TG*Q zH)ED?o7&X+RL`HiBby(-`)zkev*nrm;kGuo{ZWzF4y)$zmZ$8>_qNo^2JK%AeKVf6 zAC+We#hO*dzxNtMYcGsU+0#kQhJ`m&f@Rh@z8}*d1k@3$t+C>Tt_tJ2fm9eq+Le z*bdbLQe-CDQ~K1J41*Tf9#vbAs1ySc)puB?oDaIR22kg71S*LMRX;T=i{p?ZiZ66I zSNtH@R!|g1ct#>93oIg8*-`;O6l5{@12G+`JcN|5+)@2|tP(n2Og(5KLzjUEq^H4tbYA*_ww=JRk0{c$k{%X59cFP%Jr7TeIwWpUCkS(>36#TPUu81>HG4d5Fve!iHC&s=69J>iK8o4T1 zG~RJwxgse&4+f+puHP)EnOQ{>lzbS660a1j!ZY{W_YI;HeMiqQ{H5G4lenm(F#W%d z>O`d9;GE|Dq3~$I>!Dd#rxGs%y9k{48p-aCo9A2_$D>K)DlkdB7^WZ{3rz`AQh&D& zVi$dFVrz>Ci>!vzGrIVbC8^Wp1f^W&mZJP|7D2T9ZPXK_qB+I9Vy%<$xm+$);cgo7 z7EnlxF+EKDn(#G4pS1x}vND)KLf<+$JBxXV-}UZBU`CikG4=NMLwwQVc+8eDXx9gZ zpP!x%4iE3szSqDF2_ps!ir&Y6|7UoQ>N|0f>o&%z8_hk|W+mRLbC1km5Fj zPSGOJi3FUCSzaG#bp_|b-?@@1&?|RK26b6+_GexN$T=37`e7!1}u(137v0D$hhh{|` zSjj_i6Uwt~WY8?s$*<^szH%G1f3!g+SgKXvv}J#69bBD7C*nAyr+ZxbA#6ThPP}MV zT>G>?I>U_Xim4+M@O%>YVx*?%bY}JWczOD)+T?b+ZxKNdgo^V#?l41@rnT$fB!jd? z(kdz7cXqyqM}Wt3W(%)YIA?skFxcicun{e}!h3~o-foAFr8#HSIm5K-z4FfIwV-aj z{;{vP;U)gv{?!L}Ln(MT0`#n*R2&SFou8loA-vhyG&h7HFizSs$UmArDxbspo3}S# zo@@{o=vVy#G(}js4q*H}2?bH`9bH*HbID0WOpIVc@h}CPj_kw^cYF}?Z?wEXFTYZ^#Uy%>RHyJRMxDD0Bn zF*-4GkI7Pt&$?o!>I5a)Ly$tV%vu{I#!6H zuALZH{67W%E2JESPVGcoUsott)|H-@0X?BZ0D8w5LU$;*w842re`A})Vguzw8r0LnQ(YO_IyRTXxTWzXK&>)-# z|BhV$HyN@>nONBPe{6Y?PaYRV1NuH(Qa{o@*;hV52T$iq5j8XF_wQfs4yv^3g1tn@d)@3pV37OK;OO<B+gJ~#&i0BLUlL#jbc^mfKrKU6T^LHvWs%C{vmQ$G7!p&CqBUEXSuwKVS5W>9@ zcPdg2FZ~k%zUD6j!o0FY#|#V<#$2IM z*vodW6fkh$Wie+*`h+fLmj_M);Go`85@+sX)dTB_KJK9 z0OSvl;cCDvcb0pr06u3E>7ou&0>hmJPA!@6#7^^kI8!Rp%9g| z(>5B-5l>`^GF8R!_!5Vk02ICUc&vL-vA5johdzm0$I@W@ulsPaWE3+E$gidiK8q-` z;q|V;d*IS0U^us6rzk4u^#KS+SrE{FpaE}%MTHI!k0VPEw=m^394OUgZA4_v%e^F; z&*e3wXuDE9A)oQrRbqYNN7%T?<9cn5L39Jutg{RS3F1ZCh1V;nWi2@FKsN^mhsnQ2 zN(q9=n-7>>jIkWE*kUNZv@(B)MVFhzBxH*QLW)^YYATsd+EBs0@Vz9*cr*a3 z9}Vvk2OyCLzXS)zdp99IBt;w%X}>_dAo2Ys;H&t~SO*s4r@dluGakEzN`Q?VR1*o- z0huh)Ke+C`h+A2t7ZGqX*eo$Iy`_Ww;+_z;tqxfvk-p}F=Hl*x+QMcRB1&9C@OYDC zz-;IS4wPo}Q@it0N+Q4@A%P$EhD#ve?Y9Q$r$s;jz3l}Nj8p-qlDFWvZB(Jd+y22+ zm+-(Z^S<$r>nH9A*O`D&q6{>dSeEv5fB;5ul;$%$X3zKE`w3MmwRV|v@(Oy3e4gCA z)DMJTorp?QJ9FNP%P(3UKCNhWff}}z9`k)C;eFvzJ2OHD#7_Pj&G-6&g52W9jS96IwT{=3?bOM0@gPF#!g6U5 zEiMe-oxtwE$>SrT7==VIyeOWm>;+L0e~PEFem}*6mJMng9OCrGC@QF3ShKF)hlpMp zwAuM1s_p`SD)R3u5Hz$!lJEDWfH^j)SBkLXJ?7U=7xaB$As(?pI1b+Ne`91gG+vM1 z>J$EaA%8zII8`<-j(?H40F{^Z;X8F(t**xs<&{64+O$t+3{W&}xp+$yzgh)vEY;Hd_w+zKu#;T6<`q?jVK1$+fubC|1W zP~T8mP+du+Y$Io6!J_)?V*7~$grc18e}$^{J0~ziIbE%DRy#UHv>PuW{fSaF-;Fv0 zP?Lc4VBtPu9JEZ|fOdPw@Lj${oy6R^xw-N}Jr2O+f;fv&Y@gRR*i#iHyhTlsP#iEX zY1_a(njL(KosdgS6bS9shu(S>CAj+{I3qW}y{m$wBNmbjl8`*iFecZMXy8YXqCjJ zkxsSHpK4gB3IPr1kfJQLfn#W&PA?&CQftK_WU1~*tMwWPpQj14ndDR%YDnoU*^+C! zzP*frd|>e5FWTD>S1_7rnr)7|Xw(wobBxKs2PI?4fKj-{5Cxzu;n2v?2n|*$VkP_| z_)5@vTx@SLLW*L2q@F?;Z?v+B2qg#Gs%?}|ZQ>DoA|RrUKmX3Jdu4IDe;-Dvl$|R) z>(HD-0taX1i?!Qr{dtX*U^@%I`B43{^jD`RJ_ZIc9v<%TLHFLqc2PxJr|szY0m*jH zlWVgyedyFK>`L?{@Lt!X{Nc-gf=DaB;BBrD{E2?#@f-HU{Nfq6jIx=b>gbZTWwyNb z<(OOX;!uKm?aEPs_-@R}b6%dHA&B;`&R2=6EcL_?mo$B;6rb5I?&{2iybIBs3A`8X9ZxsgC-abZp7iCb21(K;`M{C$U6y!kb;mP}6Z$Xmq)jlk%2RfY5 zjyMd^E+$d~JcKp7KO5J>2~uownRT##oK_)+N1Fu|q9)>zVUmB=(wI=j1JhIhrV%16 z_osM_6(D<`cD2?tS!^YTB~~J>WJ7jxa*~CrkdPeb_bpEblv`lq?y=!4RMkxCpI#LN zStCOEVo*Je89>}QUHm4F=pqGEmP4sSq=|Q!K2aZ7jkZcA5WeFoW*JLsG9dmhK zq$byCV1xZA%WDXmGuexB7l^*)Qtt$Dx=#My4WR|VVd|K_BsH`kc>hh9+l?6S=(8vY zRtr!7R?x!PtLDu~sBmGt!Oda^U+?~o93Sr+#F@&d7QUw?3}O~D*+m`tr0QUV{UJdz6M?w1|gN82SXGAlQlsaZh5MB%J`eAP~(Iha4VhG*N=ls zVh%Hi%Mioz&|d=3#}2p2w0B7dogTKwgO)}|Mx^4aI>1)kfd_hBFLxcY3{kZvr)@G*{A{R@J z2g&9SNBjFe%&T>|OhV4PEC6gbE*?Qux%!vIbvHNbUJCy>_cTGrI?Jb5Xx|PfJ9?|b zZsVyvMKV;w03&2UUeV$BcWcxP&XaAQSKnOG4Tb9tKxy~lkC0u7D4k#T4jKT@&E`X* z;RxnZiDTIiAs`R1~yNzDf#AI~UaGcjH2J~L?FM(mPq;w1(~0RyAU!Y%n6 zfoOp-O4R1D=cm z`mt}#Qv|Qte1dMEbb@e566`?|1=#M>7xSh!6dOSnff3UO)b}i$8CTz9E~I6JHV80vCF*+h{|0bI3q*oLi}Ox zTNHD+R0GtF7uEPSFw#t&hWdgCc)|j=_w#gQ;Eo9Ij_5*!5KG9;dEyeXP%!pENT;^S z8&3{Gb(uKIIqkkUp1L5~Pjx&6Y9~<_s6GfNXO^lkMbHVL=ZbcBWt@hCYa@s14*^j# z*K2i@Hzpy7Qbm(lVK^$gg8p)Xj>4l68x*dKKG<~lf)Q1*-2{`6Fyi;7+mW5Pg+fuR z-XMq&6LFHVC+x+a;KO345WTKII7&2eemU}x3XwX|2;T=<1UNbV4tT!akS+9Ix&Y0> z+X8XXh_G5HUE=|)!TFaLDY4l&pC!1*GPXhjZj?~re<)^9cj(d8qF7hEr{p~2Na2*J zNTI0FOfYfkDF299sG;u&9`3&VW1@-*ies_TR)IydWGN`A%*J1)t<+c&F^8f(yxzMT zA^FZ_|2{A`Ha2kq>IDly3w7jd8Rw8r9b9FmVwDhbTi^7`G;33DJP04&>mGvj`Drt{ z6+;A_2!OM7W##BI=^AuQ6CPN*GPWs*XeC=C%Jr#jpt8y7~_v)pUCm->dtdtzuh( zHWzsxMS)v^e_Um(pKMYlps-V1aS=Rpu)g>s-Poz#50e@k4*uU}CYr&LWZ6Uv4#rUk zxc^Yb33kndH-n#~p{k(=;?iiV=S@E3v6zL3@0lfj!A2TGMS66p1}H4dUd%yqTd|At zruc?m!}Wu!B_h3^+K5IK^u))-4aLTe2Ti+f(%4nOEtjC-Z4o|-YUL>7nMK4*5>kqQ zSvs*|6t8;r`C=WRDG)K1za01ELAel4KA?m1v%SQ$ukJ^q6TKThhF0JxA}_E4tVJMj zf?pveStuf50;Ye2ETBjS7M(k_I)e5K3Z!HQ1U}IfK*3eXk}w450Pi{E#|Ke>95A(q z+w9-N83%s&a`{^`dLX*c?{H&ogy2NOlAIX<$ngT!z0|%#bPn<~Nb>>#%cYqi<9G=4 zUW_$F)~LR?0fboHImlVgf*%X(5<7!&Sr7o>#0VPy7nrfYc47;=)4x`XB8DOTv2ny$ z{Pjnf^??f_JFplb*>-`dp$e;Nb8N8=vw@KbH6NLf-<07ZI7vARii%j?ipK+6AO#~k zLat1WAlhG4!h5cu-JmK|s4y|=%ZM$29BduJ>KwIxU{jGx-|<7G9V1~wi@p1&>eh`U zNnzhK>xp7xV)e7$iHg-Vp7bdo+9`(X$qqhq;CRR(zRbY|(Xqm9npGvirA*~LnEOw$!s6cQSlfyixv<3U3jAQniob&=z(R_ZgazU8k^X~= zWUJ&Gb^zdg10C{N!%9kpuuwpfKq#wVrZyITl0NK?CHdb%MNL@;)4^~bkt9N!L9GR$ zb|;D2--ef!3$m1PO;^B_i5?lP!pqaiDa}h@Kj{-3FlM5ghA7`*5Abb)Ix57) z#T{R`6l!LF=CTOT+6FODv2I@_cjuKz{pmwBpmg|2`lTI9hWb=pG`*3%>N(q$nb|{q za7y{D#eG1^O@dl~)L=cORp735)|zIYx=miPtHDWTJa4PWUCOn>)}ZaJ-)6;ZPFrt| z0tT;XZT|o>f(e-dGw5f`43%UiXN^Mk!*^Vw8_vokiV1d9KH=7__?&SK3CRwdB^#D5 z@r&sBs+Sm@|M$C;N8X_{$-@!~iOvejcTg3lIR2`r5Ky2!4TDG5-w_>wC7JAG(JK*6 zB?HS)azKab&mHgMHmH*OVAa~MCb6cW@qkOZN;X1>8HEd``3ILAm@~eo@Z3%@OC;{~ zX;n{Z-X@({tPSTGC5uGxAUzaq&_`alSu|U8Iv*VE(5=ed#-nR{I~5=eHZJ@q?W<70 zgT#6fgv#xD_}+ktch~-XI;ert(svU*ThqRu{P^w)AK#1K?=g&w|PMysm8^L2?GbmGQwif?QdsG z;N`DL}VZu@q8M(3cgbe2SSfe?ExrwrzM(y@^6d5XV07|v!|AfVTN>}>SM8%p$hHUz~gwvVhHiKjkm=h+ldV2hYoCHTBN%sm*mS)(kqNOwqgKR5ICI3LZYeeo0C zQQbXs7#Eq8s5<0pNW|U`ncw^Cbzck?zsw$qODrGrg`|T>qKQS>gLne`d2_WPQI#aGhpOwRJOlzS36qNY zOjrRBrNM!kwrBL3s+emUeQ2O(;$S;);uQgXMk=Jns0B4!4QeOTzG_TD!<8BvAAZ~8 zYv^x1`k+|6nd|J8W((qCIDfM)T3h9-?>jUZuo%!FNEnq`H#lmZr2eZhFQqsyCFLlH z(H4KXXhJ=$%#P2l)6ZVRi(>d;N12a@zrBseRE>a%aNK*}qX9fTdVSW(rAD7nPj6FU zhUBwDgIpD&tu8jZ5btNTL?1+BjlSg99R%l zXeb(6RHC+&Nj*d#+KU+6?%*HO&|N5W%ucgs#IxRHb2xQRsAeh|+1L?m;+SYyktB*? z+~5+WIwy8qhF*BG2i$ewUXivR8gIBmnn5f8vzMP4`0DmRD!fzd^A-GfxjNDyrM<;X1ao!1fpn2LLO_Wn!%@=ZB6H-SXBJL;PATCIzrRV zyd((O^s)$z0{_r$-u{7;Jd)ypiF@YqZ!riG7A3#+)|a}J*8uvDertRF%kdcqq(He8 zb`&IqP&T6;G5lk-`%01V;rX>X(7VanK3P+H-#C){7fnVMj-&m@`@XpMXK!rD394TW zWBit@BLY04cS>qfHh}1rjfs&KD8O_3I8vguhr*T)u=bBXe$J=9mGq0fYd15&NJ-6D z+Z~}{w+HEjKmU~=B>6h}=8EJm43~{4;FG6v42|mCUu5UKdC*ZY7JfUMtBVLr3)6Mu zEQFFfR6lmpa70w6E6>G$w9#Jw{`+R$K)a!o@oM$VG{sVh=}J8`P1y7)7IFjyj=rh6 z)a|ung7MFHd_(J0cQJ@@t-4bGg{NOi9z@{g(GrE`$4nYQRlvg=;f{dg+$@L-2CMJD zs*Mu7l=ysJrVGP#zjZfz%OfL=CjY6%YBQlN^{NVkrq1wB%KQMlo~;Ilk@cNrgxZqy z-wz70N&Q;lv8mH~eB}R8;wnvfzgmjjwuas0IkEe~4BP$9dvC!hF~2iyEA_jPn{W2X zAbmpJMIFWeHbjLVrzCy}!mAxwxi~*l$b31Nxj%lqT-WhmM?*zHk>mna&dO znr?(n8RlC0(LS^)%zvs7Y3#AZ$=oHQN=`Nz*LYa?O|^0&f> zJI0we$)EMAIHbvmfV@ZfVjXsVb1tXV!TCfzZ?=H1*JtvLgw&t!^NE{fE+iut@irxc zb&Yh$=FzlGR266TN3~n(e=_eTWSS9Sa4q8Vbfi*gf8o1OO|h<9s!xuZQ|PX6>FYcE zu|XqbuHwkxQ}t*+Dq2b32rebe&foNLzCLUpFRW6Zz%#Yb6L44cE+gzA%67f2e=3)> zp^fM;VbqAK3<-n$hf6t+S4q6>?s*U$7kp8@Bqe8Jlpj2cDcVvB_ zQ|Byb{w*8LR_&rtu->Q443{6X*v#`IVl{SfcLrHdx#o=1>d3Y`V=?dVr4sJcFMh@w zwS+~~!W5ro1J8cs)}Pc`%eA%E{x^ci&Ktt5nj@^h8)uX1FZ+3~A0nNjCgg&{K5ezr zS$~|(7fx0^)+9*jN9P84S;U0K67$^u(^(#{H9izeF2e3du7&-?9bPwN*%pMU%P2}q zI(uv__up9PBoCF)Z*XOwTf0ti2z9vF^Ofo6+%c&6KSKT;LIEw|St$qQ>Xqw44HO}B z5dPCx_yo>oIXkK#Ffdr6Iy^2=UU)=?bR|WyN*U#*lnJRE1TuG(h6Mc64pzzqj&osO&?Unp#EFK zI7zIYJhzJfC%!1IWLw?MUc)oBKR^{J<0bNdeaN3ts^TZlh1d$u;e2Z>wX!PV%sw=W zY)yF=furHbZKK~bf+sIEaPWrz?~*HdwFq)K+M@lip-U)@Fg~`QZFe^cpdhB;DkguY zyu)f1g(k3Jga|7lw!Q!P3|wPAn$j9@u)o>9Da#PxN7&dBb|<*!-tQp!UbtgA#a~fS zt^NJO!Z0Voe{{^BuU+Fn*ddgh;&$Aj1JXZT!M>Drt&WW}k?7jO`NRP3CjZ1bFKgdt zWR&)W@=?xn-}fl{k=puoF9k4XtON>M?s7T^9)pHdTVUs|&F`^y6XZgFA|jP#;)4-7 zglGJtgdVkLRn{Y(e@SL04CH~?>vSYx`1*;ilpPq2O@`pWE>QY|FT{_p;s@UWbKvwz zs-oTh_Lnb&dkBOCKMo3 z@g@Egmb-4f{V1K}?7;lCRUPy>M_9xPj)RQD|CBnAT?X0{B9YeTK1VOLhnk^*s%NeK z4^?j&5LXj!YX)!JL*p)i1ZX_CYp?`&cXxujTX6Sa!QI_G!JXjlZnN{%rwr;7LQg`-My=q&O>DKyK9E8W7ux2mgL9TyJ%xKF7~S z8I^iFf8M2rxtl8bij1bXa{TK{+%t=dlqAZ_*U#7@`cWh@p+HjL|9dXM5;Eo>34;~v z^b{3_M5mOgny`125S-giW-Q8ewu|0x$<^&k_4q8k8!4N!Wk2^ONOV5ihJ~pb$xVc; z{`qcxn`Y&9kW`+yqib2jX2$n>=K+ED)V!`iXf>%;#kR?NwflH`O|D#HeA$>%JNoVV z?%Hn&RWnl8F-Z~pkfV~f?8@{~nToH$!^8I-=knTozxUk3rXm_~_~7%RIl9(Fy5_Qg z4`ymg#_MkN7A^x`be|i(f$Mk=<7avPZvnivA)=k*TgmZPyUj7pCApbp$cdzwyIaSH zmyEI+p_l!y4vj#Otu{J_W$=IhlY$QzQ?weD1qdFy82-f6$EA|Mfk#CDje`=hJqBVG zSZk`Xe9ZBt6h|TC`@Z0kPe|H_Kw(ta*8Vzwh{=b5Lc(z!g31GvPd%vDM4~%uJ7&u% z+aP}^@Jl`$if6Lbdl%8ms=isgaBeSvYS8;qIOSU^hU6>GTi5)^xL z<513kf=-`Z6R*XQ)5nOr52U&eJZtStj34uU^$XlMJ74YK!Kj$#WTg05TJHLT*lWz@hRzumb+D)B9 z@#6&n7W-zoOatrR6^i-r1`f%kr4}SY$Nz%L|K2_$jH%1mA7J}Hy2aLRW0r4K2rWOP z6X|h@vS?vnLW6@@+Ce-qx75uae)I{-a~t%9+N`$Vek(P(+SNqMe14iq?3B}4b2%It zYerV?uZqd$wN9Vj>5TmHDm*n^d$Qa(Nn0gzX1mAOTW>TWzm-1ot2;=ga@l^sKS(Ai zyk~bZb*{r)mQnIp!M0q$sQWi{9_^m|$r1~vl5F{|Z#64kM3yF({g^L(+aN*x$!F7U z;p!n{0K=TgY^4`a+T5*tRF}%_nkz}szAI;bt!yl;^c4MIKmDUnAo`?yfSF=onw zK0DTY`fB+BAed`&>o!_jOELj5mpRAtAr91?$ubi@|+A%Bmw&fU=nwB2i%!UOJXRMOu8MB$wHJY?ctG?8L`ae|6)PGzOf*) z7iww`s z7(6_DOG``tu+^1`Ec&_~|H;+Z(9wnYS&;{$riHn)S;?Zo^6+R=(B6l$qCu;+!L0Pj&`C}%A-T0SA|j%!HRPs5?vo`( zqQxGGr(Lt1=WN}Ya{omsyH)!m=~m;}Upy4^k)0sNYm(NN=_}KTuK7DDtG>)(?G7YV zpT6-D14F|yrOiL|D~<&!f6zKfT3#WWi*;EJNw&eVoNfd+Gp7xOUV1YTf)TId$hQH6oTxeO3I)0EC_u zZZZDz-5!r-IlQS;Vr)oBNu8~CzCAxU0(l^$gs0H3U!2gkk3vsC!l&xNj@ADM_J@Q#0BREHB+n8Wwp|r8nNKj~mT@ zNYpr#O7o4k@$t<%E3;i#hXV@2y;U;_5+%!3_=vJ;9#c;b3qCB4ea#Qb@-tQ{_)|S# zuoVR5h{liJcuZ%EX~A*&x(szxw=h+mNhqjssI~ zWV)NY8*%bX{#nDQv%9mjj$oG*yVF`)HO_SB=fGMuV~B|=W<1BU*^D-$RAdu~lp*Bl z!8P5eut`Ik-`F!90@i{uL^GBE&Zjlj1XubXI!tK5(=93?j~h(*32SZ$X?nf88!G=J zJ9~Yp@)asSl6xo|yQTAQs26TBcgB<;m>hJWVo}ofv{;_bVc)X@!S^$JCw>x( z6)|9SDosiz#)t!>H=-mhgwzr7n~vsH6PzG43xuk16(D8}Dwoy2j+JZ?Dp3-f9Dl!= zDwi;{SQJW=eWj(|wV9w@aFwj^myDF#O0vpe6>cA0c*+&}=CR$_w_klNYBgp32@xW~ z{fR5}@&0={!4IWkv=!H8DoDY7wQjKB=YPYl^=*I%1o%_QJ%Vq-9!VNu2Qv+? z3K=`fzjxg)^U<@8itWJE+DIJm@)91**r$Le_H z!>E~c>*X@`SmDGIc6b>tmxbq@$d~)o^0&a5&Z6tAuQse?WGtx$=Rkzq_X!^-v@`r#yVESh!xgT%Z^=x#>b3+3H`=5Xv%5%XlnTBmrY5nE_FL)3MliJ22vy#G~3LlnTTpkadv zeUHGbCMY+4FI_)n)0?Io4(N7Wu(sQQQ0KlHkab&VcH9&G2swB8(TOT|#^vF>tS^F& zj?UA{!=O^}>fT?v-1v!)kMC{C$7)dhiZ~dr07!|xEDp`Cds=2i5gX*x`>@=D4Zb2I zfwhx5Muz32LVbwu9?e773vbpr-xVs9EEdMji(h$+JbhczM)YxaGGR(3i|P+{JEXgB?PvZ(peNCE+*u*H?9M13NQT1QEf5f9c%1&&HiV zIzcqYD)M-T|q#e$KHouWCG#h1R!>Yqs?@N$if+V64wMOGFw8}I@A z&!i^9^9vU=*vOHq!rq{}2W`Bg&S+rM?}44b=3fX0Vt^v|zN|ig317m@&VD2{KZOb& z9GS?VO7hvEKqi?nh|>3}@#1|-i}N800o%~%ALPorh4Z7cqqn=VOta1+PBdB}42t5i zfKM3O?MVdfUKW*_5`Ea`jETm0Fv3jby(Nj`PAa0Nyy^l~$raHy>A@NJ!i8_CV)vQ4 zNoSC1*c)e6VUe+UshE=l>GIzzRL@(@{S?TkpQ(bw2X@nA+M>q;1Oczrt6}E|1KC+B z7%LZW6|o%1U(w!uS(1v-Y==5B%xd2C^z0rlc*PA9GC8Y_>SDw_m`hjLa_I|6;izOp zhcOB3)*lB3gjy6>9e)R>zW^*YT&T7#j=yn;!WK}8a+P$ zA}P6H>d@s2RrObdO|+q|ZW|#{#?@Xj5+0S{pC`IEcw8=%8I~ig`Yq+=Y4DpOwOgOr*BM>~Ld z9wnH~?*&AcmYVEeRZ{>ixC0P&Ww+eb$TiqP)PV^ZYN~?KXs#WvGyOkn=70Y4ve;{! zbdc5U6r~<1>?5-MUX_xj=A}R4f_9g;?e7Aq2R7(tzm$E0%ZKFAWxz(BQ|EG`k-<3& zB!02TeLv9xKn`wwH>?3LBO{~fL;w;h}W~NJy@u}v(0+uD`)cZ?8*>1!|L&VfeM`4{(QA}QtuKI*4Al5 z6upF@O$N#G{O)Xb8#(+J$8T30_U!x)1Fq5ZkvSyt_e{ z3R!ygCG!WmnE|)MCVSTR&zQKc=kvDQ@Ik?j-%2PXQBLePEtD@eNqXXtF-Mbl1TIbL_gN~)rHI*hIiAD!YpcW7g$W@cv>m|S~vY1@%x16{J> z_)Nqqe}()AdG|i)|7@=Kh<8kxej~AFXU$XV12n5$n zD=8|y3vX~(ckaKnqEG}_E7}GkKmT`5{C~%5TSCyXIHAw&hd}6RvIlH96=Z}Dx_>;! zjb}JJfE$Oi;|uR`_>Rd}u~;z6))#XtYR|9qCh zG{%$z&_qZL{8(bvT)F>XOeJnF<11arq5U0|fTgZe$D;L1ytf_`}c#-3=6O0A^?Ws>y=OvVhKC`E1rCj0;d?3n3Q$N~JJ>>5RkmAC;8 z8|m1@7kMcuIVq`8db$Ch+sQBTFBx$kC~2M?EiA-U8X`M~DEWKzj|c*aQJVe6;>i_S zKm`Dita;%;6PWnI6o^t}R3cUKI~gO1HT3^=zm;m0XB}p6Vq<-!ltzBR7Q^8bgB7RL zslSQ+(;1OQf-i9oKJGC5rUUIKP7a_bPb<`L%cyxD&Ry(OUU&K4{F=$Q{2fk3 zOtGXXvUb6rusP`ew88h-mwvHM-Qv)q-k%Z%vMY0)xd=E6G3MKc%FmbX*Q zYtz5}duMBEJL+6x#-ptW^8VDjU#ZBZv7Pc<`1n=+Fv@>%&DOhGXY-iwxrU(2UXF|_ zwC-Wd?|pFC5vW-%k%IRq8bLM6Lh<`8CTI`_5zL;U%tQZ3MTm6!+2Fg;HaaEnOz#v& z8$K?3I@SJDCJ@_guOrXEW$en>3MS?erE4uMCAH?u{MP>|6KAbWw$J_-JtH5j&Eu2h z*6b}L%!?>~Jx2#{r*WkfTb3d-G$<7+o9pb<^zO(7@FZH$`y;R0)On56eDII>r0ln~ z8?$WN_J6Qk>1)Gpd(G%wTP4 z`bkJD;8Ie2-cq+AciQ@YjfBdjG z+qvZTCw$Gx4LWDpjB1}B@9)=d9JoBsr^-F#uf(voIS!ihbu!bIiF7Ut3boY)q+RzP zIcwkv{z}Rd-I~vXoqB(W#V zmdg+F%e|Mg5S8U99($ajV&^O8ttYfhR!7~TH?h*=b-e!4EMz?AcmPe zMOF)a-OTUA`BIm%Ru0#r5`!C=RK{h7hTaaw3*DiHc)DW&0Q9nkxREYPdGqu|;JiXF ziHuLSZkQ^dahZ~bm6Gq9Q+8@n#^pn8Uj+pZE4%VxQbF9y=TDh;L?n`0Jf$L)q|rrE zi9^yT+0*rlyUTH3V~!_3eE5*vd``q4=4a&IDp$k$`w#1tmGCeYU)qFAmSnY2=Nmp0 zAeKq+yjVXP5t4g=J_`?-ck}n=2RFJ{e994o3;m5eb%Zx9{~z;>a#Y+TXF;Rirw zuow3Jd!xYsg5dnp6Pz5uqmPjX>pp2%lRLk!*neLz8(So@)ZD=Ek9uY4Ziec~TV-{q z6034!Ged|cAa|2t@eg|?P!}|DV>5^Sl@qx1`n*(MKsXvn9W|GJL>wUoP54mjaki@5 zayfT0m_B*J?1-icPUJ{R6J%dELDIB%iLKEz<~| z903Fc`KYBhC~1Blu?dZ&#6$at_Oj8Tpe=ggPL|>CeVItAA`EA9= zbzUCo?sm80G5eg`((dHEWG1WWu|G?zNQ4+hZos}~mBDUu<1WzDr#iN@7Vy^Q6tmXm z>E!X+_|j@15vjAMES~f*gfonN973)j3=1#|<1=3|y%b|S<1mngj{~1psQRS2%%SO3 zLW`FJSH5y&R;ynHPjPqBQu)R{{yse?MX+(>^*$!z!#Sba~xxTyxEfE|_&_;qrHiU5hPL+=fb8sle%gzxdh*Kdd`@V70ZW zLvtP9A!d9`&ZF5PR^=>}fv=5(7X`7y$w+n;epNO=XUje&zU*+uqRlBkuFS`6pRe)3 zYuTI6Yku(8@lmJzF|SE`VD^xt8eiL}w8%W~p6ISLBM-(;U<$6H8T(f#+&jm|~N;#qb+ zZhxobi>9AXl0`&%Pg}I`7n@gg8+)m%7tlpE1jxu1<$kMoUTe}M`F|z%^&;VFvT9N? zB^7?9bp{UP??eP4pki$Q(!rAqHPIo%eyXvr|2AYd(DQ&tKmD5A4pI4=2yb+y*|Nbgp?;-BvhXFMy9 zG#TlrADZ(YcH*BJqL1gyR%pK6Ur9yc=6g)?d8-mU>^wJU&t+iQ6R7$;{X9DMk~&Za zWC3;cRL%ykN_G>=?kB?n=mjQ`96DGks$hOVy_*wekP_z7qfCr_HLzCXCc(U{|6w*U zV+2Z|g{@+97thE(4CG>Atmb{G|Hw2F&?QO|wkaC=ft)=-!u{ugk2tWIzTx-$MbZN_ z*|qoP?X5D|XCz*`Pf{!1$(*;Ob==9iL&Nr;8}jxV)AiLiU97~;Y+wI2=mI?TDUiJyxhi{j}`!mT>xUc*0KLE!}0_ z@6S&;?Wg5O#P&n^`#&f#dz6sf%=4s?NF6c?NSC>hb$0P=j`A{4jyvJ$YV(+*rnKJW zvylMe$Ft?Xf^H?aKCi#*ODGTdQU79=kffH_sK6*NBvxeSjVZ0gQj*rym>wZDxwwD) z$rW-j_C@Oc79w~Ugv|d&N_0y=wIIU?{Dgg z+OR*_yz&*!uWVKI*}eRg%pTlpAZ(w872?I(x~akBGbMe0LW-%& z&M*z_Qs+gpF|!e+=Nsz5vggTa~2#fR*+Iv=&<- zJmes}MxXuo#V}aVUGUvC9F1%y$ehw;KQV;2nil|~uKfXzGf1#*hWo7l}%{&u`l8?9}Tq*4yTW$Q^cZL^+R{Ps_ z_HdH0dur;BopNTHHL5m+USeG4tIgI}Wxe>Xu%ca}5Ar|J398(dX2|1_JT2*|R_-08 z=e<|cTuH31n8QpyQ_s2UjW31p8rTuy8LhTTB_gJeZzjcp^Tx?PAeh{mv6?+tHr3Yj zjNi_xRMwwnphH8Cd)qE|RQ_Q_96BRGfaQ%25oiSi2?i)>gZ;z9OU)rbWh@D2>Y!bj z!OnenZYERrxi2o(BgokM!N4nzl1d0fw3{*1$t6Q0;6tohR%*u-Kf-!bQE3oihIpQS zoWuV3Rj4rfY?7(aZd8Si5t>xq?b5a+ec+>{u+W9^3-W~!mgf%UHx)k74c?Lfq-_nX z!&G@W^01m5Em(r^<_H>qNz)hOI%i{pvP%yI{V+l$P4lL|GBQUax{-Y7dGXMgK`5pz zi>i5f3EYaB-yH->CKTvYDEY1=2O*8a?eRpC5FC}2HlV`z3g0B!42P?p)4%a{tc{?C zD}hJMy-@8M&W21^yr6m9e%FVE?P1kPa|@PXvxsa@UJyH=2Za(7ihl%!22nugkrfw* zcKNJns@?Ko2)V@3x^~`mj~yRpwwe}YHM91vjvJ4U?cwtyBL>-3+2!%WDcU4F%+xl= zWnb08+1=Gr!DCoX=LI#p+sN*es#bEC_Aj{~xlCxcdcC#~zXW?$GM>@99?m(wE?GOy zpQbe047SY@%37p?)+^Gawt#o*=4`&w^(pDG5a*DQKP}j1_&u@5%>i(G^)tY{Gd&3} zv}=_6Bj@#mzCSysfuMc=7dPGcls(7i&6~KhEH7C$IV+jQ&P;>zWX?zM&AWYBiHY1( zw6{q=o&V+O?qDug!(82|oJG0i8h@tKHhcF5CBfqj+CJN1H>{7@cB-kgqalxuy=*|+ zsB*0`*_?fWsdV0EDOb1CiOpyVP~Do9y|h)vN|lQ$w7Ff+*1P~2cQSjlA&l;xfs;yH zV+kQyJA#m-=GV7&jje$>=Od*C^To-VhR81<-sZxnAoG)!avoGMkA`)%uH=7tB>2ibUW8*@I34j7`4BTr9g6|E{57Y?xs|d=#?$DhvJGIF>0(9 zYXC@^V~O|M%N+nN5+id(CE?}zM(E%L1#J!X=u7!vNCwgH>An)%k7F?&A!`Iyq2+}I zwfm*nGF6HD3hh<^k2VmZ3veJtCx?oGYq)`%=r?Q+4h~R>xpDlOa7jp_e?@Cccr^Cd0YYF#sH$wgLa)6CPjWi?uHPU zDO1LR(~S+^yz%%9x=7&eU?I@9gs5oFUJGiS z1-kK*R8oz#6uvf_)&q~sCX)*tzZySK*@ANDfaYA}Xsp@RL?+K5pRJ{3L<8a`aGg3Z zFuMrGLsujuBwEjavO8Y_lMY@~t~D74CL($XMLkjGQ{bY6x~JJqs<^YJ}y^3aYXsO^-}HAA*K4p>|pw8WtxffpCf+j_RhDhjT{75 z65dD;%z97BKUN>0+bxnhs?BXh0#2d7y?OZEc@=Sp(W+bjNi^kLJx-23D=yP9S<#T_ zd}^Gy&p?lgM7&-x*SM&CfqH>302@T)EuRNF^Wmab_DlJQBMpTHagmif(arJhP8lGz zT-`0LBjF|3STcxbXlmEcXlED%wQ|c$(vxy0JV;)iw*i!uYvqZo- zQ^w5h+GlU#iMI*jL)SvL^k=>a79M`2>-?_MgZ7;!gx6U&ueX$O$$RBb?+c(T0>dQs z;r_3_k~hg1aTvMGrmWRIbt85~MMYMYPK=?OIJAc?d?w%Np~K(%C<%FY*{X~J_epA| zzmyX#ElpXM;gTCJ^^%=$L)MDy`6S*{EY+bj->7dIGMyuB^7s2hFyu5sDJb?KNi;1zF z`-OjqP=#vNd3&-Pat_>#o#QNm7hT5beLbC^AMET1 z0Hz9b`@7F_a&mY~I(@}ao$0fR0IRc4$iZyuT=MDm1QVegacM`V{X6+K(4)0;9Ql&U z0=LBv>vA-Q>hqwltx}EiLlgE~YB~ZcvHRpab$Kvcr40bD0n%>@D` zP@`P{;M4$N1XjsDQLlPEzP{3=wS^}cybs#2~I)Wc=a2TJGwrjg#^ zW-TeA7z#wOoXut>CBn+w9Pt5Q1^Iijy?{CGJ!uTqfIA`lnqpx|gwWr|Sgp0;_fbMO zQy>~#)rNfyw8BW~TF`#@+3f2vy0E*xz0B|KILiy~X zfS@00mq5(KR5>-JFy+(~GypObNibF*`sWc?NAok|o4(j8@3yyGYjdYd2bPpwDMT&| z1Qtxv^1~n0GF(5509-6xVzD4b^!xZd(r8kF4)Z@Wuz76c&`W=b*3b(1sj2@l znrL`J?wyWCF_>A0$RHJ-sqZkeSeTic9H_mne2hqPMW-x_W2fJfp_A?TCiJcX#U?qd zj@H}L`r8yZS4ikSnwV$mlnf&-?gV1BEt-3B52#9*(G7^tB%$nqlNq0}%L#vo+>`n| zU!1P;-~d6@>a9d5_CcwQS7H1*{+y$r5fCM4H8gUu4p2byjD?n=R7BkxW19qI3AXgLG0PLHtKJaF_ihKT1K7!KSouy{ zQn}N9h8?}XejL+Vn=BTnI6F_|-{VmY^v4bzd>WyHKl;__WGkjB)(g z-1>JrjVQIv<9e@0(_ze=1n?+onEs0K^Wt)Dj4;WdK=bN+u+O&}xz3XgpHsWq!vhHf z`%F@Otizw}w!9#MWsZ39x%qjCeBYWYYRY0yfp`xrN_i>2KC|D>iY~2w!e4&>uDE$* z;!W%3rUUW29D~ycAL?DUcE<@he~q432x0%kNaPI^Bo};V4NTYTKU3<$QiHrK34h_q z&hvB;42aO`ME9lbm7#XMFp2=rT?SsjhgcK<$9c5cx^G&fYqrHK6VwTgLzF^^yqTIG z3GJU6e>!bFbF)=JNK?hh0Q6}@TYWt)0M5z?`w<~w(Gg0It_AW%V2JON>!<%Sqc2!LP zJi|?}f4k>{1E4EwWdIJ#ywwaYdkQy{tiG;5E&(~w)SlW{-}|bto~k$xjHJMQNk^O9 zA9~Q;Iu4tQuW(^dem!Zl%Vsz!@~~rLERvDY4++;hF_gBBD@L>0iTEUvgnMZ?`yi^mUKVBCWagx$UM3{j?(Axu|~1r)LX>MViqhzV|2@% zK{jdI&fCi=6!jwER;!!a6OBYwHToWjc`OW?!+4j>r^(kCEVn+-h5W#f-Y-pcGk6(o zu8);xpN}G@8MF&1Ap|H7)~mmAcdVb*J6t{Vwyrs6=|Z&eNMtRv!c@5zFZLgf|l}Z#P#pX>UK(6wi;ahEBj^3X9k%i@n>TRKxPO9lXX4 zXNRZJTNSeG%6iW#WOl>F>b4-`t23~|&@=rvXLt+27>ufa9wbK)Z0H{@wA*)&+{>*m z5-e2)_(&b6yLf$n57Ofn7P&Ds;W!=Yy+UasbLsJh1>?Hv8+4lo`C+jQ&M?pW1W?0+ z(Z}@3Su~UxS(u`TfENn>VSo5ShUAmQBpJ4WZ@e>|pF4G={rx2cfZFNYfNJul3`hJ~ z!Cc&}hlCFfE+N9}jsu&SUXVRzgTL0>d;$pOsk+yaiu8(9)f86O`_oMkm&kBUHuNc| zV`Kp$8{7HvLEcPAnBtn?oC^lgz(>&PhK}IpJdE5}Bq@|WKL{_@KQtE307&j0XN7rq z!*qxFRX7HZdm~COw=dPU6pD8T?om;b`a99(W=GmIB^=H3ki{Qhx-iLPN{HTL=x;v`Jh(%k zycd?nkjg(4^n;_O(6UduVHYwGBqOj)U;&FEN>)EczSXPO7srMgP}RO75Cd5oHEW;fGrba0#S%TAy*jgl=0EqEI@CU zHWGzFi#!ZUg|waJ94mumXjwfGlb?|aG8NzFV6wR?{C9#n49XhngN9&LzL|gX0Ozvm zh7<}j*yn`XVI!8Zqf9@67s6v8r#XV(s*~SM`lJRdtZNB^o+o%^%M=( zcfCvq87wi*|GsZV|FP+V{!IUw)Rg?qANK9Q{i9^<`tP6UCo5UP`9&uQr>n&?e`>b*caDsH%?tG12l@7O)qN;-i|!N59rz*T6sV8~q1YP#gn{M= zx?abK#>6+}j;O{8mIJ5f1PSXR=OuRH8ghRbBJ9Ef|2$R*&c6NnJ^YSfuonMM|yy~YQor7q?aCOWou!9galofNDJxd=>ZVCE@9xQT>7qJjAPQufGMne z@0Um3Fh5XLovObU_Qhwbzt5n0g}ymJoU-9aN`6lx;}#tpyp zgK+4vU>3hf8f^SAWUJHfh368FA_(%x2CRY{r#?@-v&uc*>v6dP(U3Ggnp8;7b}CA_GQ{D{>gm9Thx| zc$_G)|1MJqBb{WVvz)1mHoE$j+wBH9&ll+LZhDKwv_wznBpSx9NR1rL+Plf|g1@9* z$OoC=IwCeq|-T`yk0Bo%ZA<)c>&4=i@ChpR8aKp0acj&WJ%0gJ2En=_xroszte@d zEKTwLWRS5|WU0va@f`hq?U(ItMyLMjjw-etZ#3Scb!fsV+)OTE=W5E*;Jy^^#hN#( z#jC$!`fCf={fseq(t4$y5%T?e{N7()Q%+d0`pvc}VU^&ZCV-GPs~TuV1AM7>?jJ_( zw5dnwcZfsG5f~uf12r!%Jg&xKcu zC~;776g;cyV8yV!WWKy!HWM4aCjtd)KUZYMz5(^G;U${!``X_94;KSii{A9dsxbL9 zPCu&MNCVivTp}S86ys7M(Zkdun7;2p?&fBS1ux18_>FaEu$WT!4AM&2V1f*-lx()X zwylu)>JxxD^uHJ>qU`H!P@x188e{j0)Tsl9n7|!!aXPh@poSP)NEIG7XAKN(*iQ^N z)8z(hIxcX(-3eX;jjuK~?R)u6b&wxkFCSY?3Ynmvzd3dzCPFqhw4$DnRbJPnU$(Qa z;-+Qdr1(!zvqTOvU~jJ;>qK@SJ3e(|BHEup#CyJMjMc9854gKTn|Z5(-)^__{VCW1 zw?~7ry&?@D%EHNZ?#bn%p}f+oAGB8FxAQ&$Tc?J>GXMvQ<(1x&YQGap!_W zDw2e@>jJl#H}tAf`sY-aCwUK|yVoaw{n*8rS1q`i7lALhyqi zG$*qV6EY6>gtQ<;LK&VFWo)u1Dh=PBdC8v-K3Hyn18MHK>YeBvI_s$LF1|gPUq119 z&bj~UMUfo-1;^UGMfs!u{;_O@dgedBO#-Xzui3Msw_oLVEf|v!@$RQ0*F^|F zb18@d;Pv^?z2|G?o zGRTdihHnptpYW>w0GBQ(Dn2?i4xQr;7chu_m2Vc%w6WV{Zvj&*Hj#no_;W!554zg} z)EM650!!!Hmc_gxY%azy7NR$inJtCT>c13RDSpqjJtP1f6b_^%ux*YJ11J`@^q1vN z*@dRaS+6Q1Qz?(P!$fNCF(&-1B7+)J0>2_jeDgn!Lc=AEb`+0Qt!=Q;g0;gDRv{XY zQ3%$}yFBZBqlmxCv+H`#!koszzkRpvBQYPX85V{cs&{p-88jFtg9T%ZFU!gi@Kqxq z^+wFe`R06NwoF;#Dhm4a#LHyh%|tdEa#fJNJX<#3a<{MEuE8!!r}7b-PA60&jNoW8 z7>+orh_(p%-fp7%6K(2@LIYIO##c2t3Xo~$&l8VBTU*9RopykfiJZ)+)8sb1cmKK` zwWBGyKU1~nv-Gwbh8tyZPUu)1rLjls?e4mRQu_1z=)+|8ca6k^66JF$@vUK=JX>yj zQ!~|B17WqxOz)j=dnU^{f!@Uozg`cEpSSARO1A~HvquOj#fIehrG^=yyz#FTD1xs1HesD^}Of53j>wqf*j%Pzn3f|Mx<8zV$$%4_xm|bI7 z4kMOJ*pwb_9b`BjJ!F**L}Vl(V%TjfBrIk(vD)_cpq@BwNWqW2bw|4NlyAF#de&G% zV>IbDdaThgp|nUfC_ti={pkb-Pc&+*6#UzIqq=fv@J?o?ImBCiN z+(fR;Ns^Le0j45Zic4g~^0^h_ZgVzR`zFaNw4 z>B^zdG;Vq&v~>)&E1>5U^?Ud|9tfwe4%JyP<^k<1o;s+f>0KBw2L+ ziq*l;&1l~whq~^OIXQR2l@IOman2WU4d0WHVh}L9ZM55wHTItRk9PHFfr1C|^b5~dZ0-rWRZx30c<|AKTeNj4iu%B;eOH?p+~*AI53hrzC$#p+c@bj@udC6%J_vj9>Lu2DqTV~LL9t-MCfWDIOG&*-S z>uJ&&DU5E{wZo&PKrq&8OD<26a|<8~wNXxRcpP93N}_zO)s zB|Ii`Hp*xAf@k94jbF$wFAyaD-Fg+bL*(!9TF0EGZ)px zzlR@_b=VoQ&rMl1er7Mm*$eyu%&tNK?pJ%xr+Ujx_J4G+@z%eSG#W%&{lVJ0-8x-q zn;9+D8lX&lV`wBI#(Z%*+;~5(>3?B8Tk4$7@#&Y$zWF{U;l=(=s|cY*>}+ zNayXNM{z^jcLofs{K57OU!jKQ^rQ^yJ-mbFdI{v^_u2@VC{bM8jXaI@MQJ9h*z$iH zqKE3Pch|~|5;8YrwIB!3a zZHl5uKxn8YfX!sc?G}URInf@)@2l|ElfYfZH)Vm zVTu@`#U+*&7Tpfw5tPJLSVdap@C{Lf-S;Bi>=rAb9Jul}_*dQg zK4{iO@+^L`bW@fc*2Ve&a|irEqoi2GC+b&0Oj?WhgTs#9$UD~!f@~YV&t`^`&;e;! zk2IKMSX=S4l&j#81?+(--!|i4Fx^5R3}{^#s4o%Jo4#}dTKGKY>^M1Wh)}}55Y|c* ztbFFIEFoP<4Ok?&u(%!EqdF4TA(FvSBdyy(71^V7Z>|srqZ3)xtW_@i>zHa{)`=s9 zX!0c8T8s7%$n#kj5toafwd16Rl#$%YAP&@LtZ`MWSaIO>?eip^QaUn0ssU5_raVuN z&=r5;?G|*y`EVAD>(juld69R>2?7SqEQSmBuo2Q9JF;jJSjZIuF`2I>$RPYv?t@~C zq&RX|luX$|>*P*8%X|DN>ByU1@K@AgK*%0mqsBqt=$*5KlDRZb@ZiD z$Z%snogN(BXP^dp2dySnL%L_g3?Cn?hRq%Lj$A0*z&8Ayg=HfP)5n z23^&e;Q#unv2q4#%Q$LqVu&U1&nOjq)?=mpiCNpe4+dksD163=ooQieo^zMd2v#}TnHa%XTU^nH)@Ir8;L1?~z zRf%5rk>Cd`XfYx`($qzUBpS|veXihY*GTnmQXdIGzU5yHMGcJDgnzuzV&&8QKcu~N zR9s!tJ(!RLCs-014<4L`;O_2_;L^CeTL_j$g1ZHGceh3oG`PFFyUgWz-}z+LtTn&y zn}51jFQo4|w{BIPs=arG^6n5Ky;Q`Ia8F;i8|vE34zs*^ML=+=)w+*ITPAv=uk2;% zOA(w8hYc5y;p|I6B_)Klv)>N#xt~;TplZ$NUqpg3Q4b{$Nfh2;NB|sENS6$#>#hCtZR=0dwhe~< zIXQFM%yu{oR`-eRL=WQ+0z#ye`kXNPvxY&uFLOd^Mfu{{pV!GoE9x8mpN6eQCXdI&S@| z1{b~~>$IDj$w^PQ zs)QAqbeOCOdxn=HNovOKzygbp)*qHBCMV{CvFm!3vCK%qKv+tr&H9;BByn`fo;sjnupM+ z+Up~*6A&yq)vy2lk<`A;m*w(MC9M(}g;G^$wXw08Q{;PKq`En(ICa9aquwUx75i7M zbbCmZ+h_kS%Y28YxW8N&AH5)M=)-&Z(t2&`oRCymmCaE-JnJEAQ(YA?gN96>vg^A# z+%{-wRiar>8i-ybe(<(tZ>t=;HoVXgH;%1&*SRKzD~_~ibi-~s%j@jYZ6YBqdi{L` z<4<|=z*WR?;wm(d2|6L6^gYCO5`*e&)*wlNo5< z%f-^(7HkI?-G$eESI|pFZ2nI$shi`^*rC`)4c+#z8qCa)Se0Loo)5ZkKGbYQ3I7;Q z@siq@9257@oG1X5KmH?bNeX@!6o`JegTpxXOFg~StBK8AEzkYHjC+XO$GMya1Jm1k zczgmu*XP~q$TNI_kDTE4tNN-nzOP?BG^5g_8Ura7xtS4NdjD_unjK`l@7h~YT!AK+bX(?Hg2`$PsLq20`Jq@(El_!XY` zntBD}qQJ=4t?%j_e54}rWX5ZhEKp(Li=A{N$4MhzOkh%D2DRGFI3s$+?J@t5zt zr?ncOu)g;)5D`)=VLQufJ%Mg6X)V9{_9y3|VwIYSiS4UkJNwgAjoCrNopWgm8`ht^2_^3jl`^01?MGO7!O_s(7#R%%Q6( zvU~sCalN$@?X2UI$3tLrYd9y#N*&|AK&X}GFmJGuOo(TpZ~NR<-CN>$;!9>p^@Vj< z`7-|D&t&c9VffbzVP4TG=es*=KTL?Rbm!Y#xHp|v$J)o#>A+Es+y*|}=M4(u$=jOF zcg_LL-?b53TADUnujW(5aTkTob;TgAZquk0`t%@+UNwZC#^95^Pjz*$>v#!Nu=z!b zkwkrpKN)z$1e?~twxI}RY%i~Ff3tFz|EozVtj{LXaCR7Zr#-h`-mIaR;!aM~@vu{k zxCaifpN1Duhp*zJ8{{9sp5%Q_2Q#kmCkJ0@loRQ~gl-9iaYZEGH7MO$o(#*s9#0Pf zdC13sR%2>XawOy+14gJGH0xCSpLpleSk=eZeHNdTb0*8Ni9yS9`Ez zrAjIN%2y862!ld#T}sl?G5}7|hlmm@>zh>OI(ZKqC4MbRn+@MWYT^Ob+>S|Zk&?x9 znCf*!XOyP2)LYu$jUmBed>sQTcJGAuW555d>C}g2$H1t;nhK5=hS7|mFBQkIk4s6 zvSXt!`f=mu)k>AOVDm-T_p}1ZRvqr4TmB6=T^_DBn;lCEMih(K zNw!p#w#80Lr!Dq^#VLR6FGIEV3k|hSTQj61hQ&F?b|v=|nNjlCfa_rJy!X?03#;5H zn#$K#YSSN1^jU(g!I#;TrO)zPtwy}G(qy+%%2GPWWnUeQO4m6svzWJAw?P=vUI|Jm z^>Jh;Zst&Y9BE+fG9S0Px|%FWUY{y-1Rx1drO=-&n$& z4iX!AVY~r-#@73iaiCA3z%R0hFD`uiRCvV###8UzOlSMRV#)DR)dR#nGxOKgalyTf zy+&tDtSH8L=zH?H`nt1cYzCcDjnUnK$$9kZ!M-hSJC;2qZMv^VvHPW*Rlen|==Qc#$y?dJAxhx<-!5@_W8%0e=G*h-1pGUMmpSL3zH>_il8^#(2j47*MwHB-QIQt*WeOZX)QDfl`Ao8bSsgHV{l5P{MS z6ox?x__^=C2$7)9fk^ba->$STq59)`Mzl_7HGfi3i@(-A(n0_3Y5YOUUmLe$F(~u29%rk#ObT$2|dyp6~`xH^E(2`2Z@Qq9Qx1ozjFb9E)pzwOzabq4k2+K{WA$3O z=;RZ#mAX`0Wa!imN@=O)9PZ>@FFiS-RUi8x&vFv6qj+`8`etqn>oE~m& z#7pItm!k)HhMRbf1$b~3E3KE}fRZow)v>;Ssj1%a!xMwfv3J@v2|er1WP?w5gGUfQ zl3Q%T{i^R(Wb~FR@+!0~$S+Ggv$Mao?O<(}IMQsrYe_~j)V5ByZf}X+TmQsUPv7C$ zIo)AGGhQG@g6>D40R1P0q$CJX>-L1gmZeDnXOt*R12`igLnsUo%}F4J*#o_6sRawR z7to9xoebp*_!)J`b_}z*}bYX6w{l8yku=R2M;p~@cG(v6pb6s$bDC>ic*C$|} z0)wi^@?eokT`3X&O7-xBQ(N+#VjDsR@p_10)GARbzuWRPb9%jSTx$N581yj9u^;@!Ib63+q zU+D8v+ddF^Jqa$a1)-M`d>)6C_^4FEOkbAZT_nAKINV7$(A@r!1L=4+yvS0;5(XEz zBkwM9F+h$V7<_ zo85}a0(1AZN_AM%O+fFV21mXSn70MeU6Nc^n%y-^9R=z~U@M%qg>_AD$6{OFAgB&S zB4Bkj-_^-NJnyeh%$%pDW&^94&~zkmgaiVCLV^@453p9!$>DVPgHQIpUsS(8H2m^$%yuH4^yu9r*wY0F%qMs#( zTafRhV@m9FAYjzJCgtBRd8ystrPuFl)7FGf>8uhOOCl`#hz%9YQU;1leH3udzG3fw z>KjUAa>s2Z3I~A^hyb>q)$BCp1e=nZyXpGwE*y`Oi|l<^LDSIP{vG`ov#__Y1Z1Db zhn<;$gl*k26&Vj#zF^&l6l~AwnPm!;v;_W3h&vXh0?vi@fz?ES;ha!l`7uI)OEY;} za1TVaNZ_*ss=hZyKbmyt>>0k#RMFZ+5zuN$y;~~Q&69mf&&!(vPsUasE5_Ue z`2H6pSboG@fCq?R$-V%*K`3J+$WxO1U$GX5B|qpQLBRE#`KcXh80@mnF0^(9>jb?e zev61$|FLb^*4}Q1xvb2YLYpBYinUQU-20%>SV&trOT&0m*au{t(K~xzPjz4M$_2J7 zxb#g`*s4ZKZNC-UZt1{gtuhH>rq*tMDgv2DJADddzZ}@$_?d|bC;H*}@^=4cmuI~| z(=DwYLvL2PlwpzQb@x`Q#eMK9@Ccw3z`w=Sn$0e5tmR5q7>s*(dyhkK_L-cHr_-cA zXH4w3_I>N={~ za~`wyPagB%qA)xVg6}^D%yniu59ml+|KE-;LX#Bu>b~KG zpJ^1YgWvu0;C1~^9z1(#f52Ucnqx>YN+Yf#{9_OL{{wS<)j%QiO(OI@bZ1*#=$8b^ zM@}eMEYn?%rh?^(-Ql8eLP;`StklY24!c+0)Lf!GB>IhkS*Vp>F^ckb`|+z_Mu&4f zcfa!wcl4!ft$2Hsh3kxCRPpjo|2`dkcT&Pk^$3%lK(IeirRmjV|lr&hYnf^BPL_YLb)MDxa^T z7#d$KfYwnQ&2A07C$$>q7Zx0Fqcbetrc=V zaw`+f{s9{_rkJyIJ~I|yre3PS#cn>+caoiDY$tm%QOfxABN_CsgF5;ce(u#>$x@MA z;U9Nsh$-cezNCD)1Imh>R->QB2k22J5Io}e1J{ks?zxN^<3ahI$YRJ(3jA9 z$Hf(MjZ){V+5hRTjLaeDLSS)L|E@Ka`rvq)H))|+BoilAvD>2nPvt6$(V!Gn-Jbba zZLrU45UW~1ZvJk}mSg4HrDzl#y}IQ6*%3d`R3d2{GQI$c_M$RumN?)pN0YE8OP-DW zcdF)S9u=ns{fvz*`RhNTitF-Ce;^h|eR|h5bILIIi|2!I4`7Q_tdV!UKuO_0Y*O+l znrFy$nyyyAjiolF!Ur@**<(|r)hF#%cfe{;csAx;RuY|^C4?-j8YY6)V#q7$=uK;L z63$dB8miS{Qc@ygQTOGFYC)Qqn!eJI4~d!}S$(g4vPY)}wfN_|)0~Kg$O`<>oO~r* z5xFn&DpPl#WaHv08HB+8n^7?$14KHIRg-4f;s75MWgF!a3hBs1&ctNUpN~?cbH!ZU z7=?|0_D0!hzF{B4zp%Aufzns)sC8B$s`lMFP}{HH1Guvu)`O>ySs0PCPHhIL@^ zlDco-7j@M?ra6l0(ET29pfZ4lw)9JbLnFXM<(2&pOev7f=V$3Z&4XDQRUSS0lC7A= zU;?-3cS42)|0}j$C%H4XK_fPz!ZZA8rxA`OJDO}Jm6`<8iv2&#VL$Pr@)rWZ29cGo z(`!)GPPn?Sw5LtRhkoGxpihw(bqso`>Fw%v1zqT-qs*i&ATOufW6J7!mq#77j`sUc z&0l%3{xZg?!^{#Pa|@?Q4R5Q46ZxK~?fJM0uFT0{Ek3Yb$fNeSi-zvRpV0+MM@>7! z0CQEsi8)o>gQiBca7dIPx|vj_JHkaye0=7=>iW}U1_(Czbbx)30rqi}*ylFPc#qA8F z;8T{|EE6PO(tzjY&vAKy1)1m@qqeSA-6n4(i8#5h0zu_KKZY37qCL~Ff~<)}Rh-drlE zqnZCMQ)&nUP6=8`4klyl_(4%!_#wXr&CX~a;jGv8$(2+fDDayr!AQj)RX zUfZ)K^xy`unCg;8&}a08g|IUu_{05gnjoDCoR{4TzHyvRQXq>@PVzU^X8NTu}v*gfUZoNpgAzb`aQv`-eHb9GM>_+3G)uby^)}{BD+Ww5LbJ{PoxplzkdFlZ)9$+QDw}F5<Qt_*R z)5=dgC-DZgFi;xv(3)A-DMaw?H{-ha#s${TrAgcKc3huZ52QE zx`d}XTcZSfr7Bm4AFZwGkb4bKOJy|1%R#0wpo)5|pD9Fu?)r0H47?Tke@j#kq!1HV zRZ4bz|6kg%3Iyb>*E#AJ3{`B}XBRDpYimySPsJ6oMYzdN4m)X(&lI|_Vam9%T5Tod z_wLbMUR*V`Gd^TGAPMW(=U&R{b;jV=L;jyosON|GM3}crW>dy`6%5)+|IdU;yFE3!* z#GxO-p_JB5bciy}YCOLnC21~eEI63i-uK@oD8J`W&H(e@B2QNg++)UL*1x)hYfcu_ zJed#NWuq4~XU7hJ?w6~A8ARk1PT=4n^e%FcB}e_uNuX+jyIozqlf zHCGv~SGL)ji?ruF&toEOj|9C0LxnaL#cm1tYM;!$(=q0d_ulEcIPf+0cDU8e#rBsi z_J2YY#Uk4fUAIEt@*0x!_?cFY5*%feoPUQy5NrDL-+r=}d0lmznZAKTa_ z63<&G#Tn{p9VE#@cB1BBj|3H}wnW$d+wOojg?2>19^1d+6t0t#fOq3q?s5bVbn)3{ z#tMY`&0UuYc|();4^>R$4E$9rH6O4Mx4#r9R()VtOWKe&?_bR~`wB?=hnB`MwK`WY zvzmVyo9IJSo?>MJ7pO4IDfidVPB1Z*s`kTRu<5>X$BJ{4@o^`bsn4sOc13X&f|A;@ zDdS4D_GktM>V~EY4KmQs*9khmk%9feqRGfrZ!XLLL-24_Cnglu4489OGc??TBb2h- z!=h#rUCu-xF?5<0BAe2GltyTjG3Ufz5D-2tY#`y3sS!3wfhLPH8Ae_W?NV+6;={`H zSY-4R_KtaCS+&}|rV~bkSFCu|e2%NTEgtN(L-^Jck9k2hOprlxuuedVg>u^{I?!Tj z^xEp&c}2LJK*x=Hz9O5_b{98m%=(q!49)x9>7&7Jx7Qfzu9{6n(>n}Xho?eGC;W>` z51nnX;y3JU4D-}R(va*HuhnhWPwU-H)#F#}!^F0yJlO9EFIL@PtYxpL7#C#ZWToBG z8&b&mPIFrx4(G0f*H+U4-sn0zauB2)lk6<)QMt^&<(v%==^=0KDU4okx468tK3s`Z ztf+|e-rCn{W^AE1{D2FbFeXg^Mg%er9H3xZTUnXJ<5sCqV!!bR4VM|#+iS8vUGbjw z%eoE8DF7tISOkQym2#v`fPo%gm+I}vI+NL~G>X;03|fGs(#Xij;^Ja|fB(RMjI{Lh z-7+x+!oPh182|$?fujcGiRBlhx-BPbN=Aaoz@fWkrs|#bF&9ab02SM#_R9sxGUY zQw}}~5s?Gw}9$Uka3&CE51(tA$>Qmy=&BFGQgfXmByC;sU`JPOOhz~i;W39$U zF3fRAti|YRsVu0?6?A`Xc7OID9T+Ey%2zZ( z%~rd~#7$&NkIS-sFErN*f)2C$-H5sRY8O+wymjATOPyCC8p=bOeRfwJIX*LR?liQu zL~D;HJHDl%zWlim*)@!%tQ6h3?g1gs&GD{Zsks|S9Xa<`TGGCl-f~)d*<2>fKwxJV z98atl_3mn9#!gp4>ozlXd@_K@XiQ0NGsm_^%44IOY*Cz=nep+_xAK;CUV=a z|4?WE6;pc*L? zX-I-&`Y8v)sNI>3Y?!%9fl`jUQ&wbFPc?IC`{%thM6};h5P!ZF5-RX`a=7{vX^)Kt zJFa}xg6a|(m;IFd!e5GfbCo;x-aW=wse|XNpQYHjv2P6Zxh?#^mTKjg#|AetCkLG- zGa$@7*p$=dC+%GAweZ6hcJxTIFa;p~yi!!)fmu0M0vs3PJGi~so*(LC)g7JM@etv% ztI7!Mx4V?izKjH$7m-M%8a2ixlVgvzP&tWdo@UM z)R8K2EXjIm;W*jqliHvKsW~;_VAdIFS)7MSB~>@Q$87VqY&zYbRdY$X<>50n7RTmM zs+uWh!5IqQ8Q1InGeKhvJ>kkXQSQpo8D4_tQroeZw4R66R@_%wnL_lcR%9gLp}wh~ zmEy11%S;U+bJ<8ZIfB|%oBa0yuV|u(UDY%b30o7t9qO(|pV8A4CC`4YtcjJbv@$eS zyF(Wz1%H#(Z?2>O^Ytva*zyrPu+bm>&FQ+E;wI)_-*s2GbeM5~b&xQh7*Ik_z=xp^ zw`4!>#>nc4c+Bh0=IH?)$>ZyLOq^bho5x=#^40_kZIfW-p~Wt7bpGH$Gu@Hz2q6-v zrI%T4{sQ{KFDS7A(G#GdZyTfA?7q3VsV0JiE$iQowFW4j&}&qGYtpQ<2DD)&{{HpF zx8Tuj^;9oODjowy(X?r`d8d+bX=!T{^SJ&hK*~~ZK3*0Cq^UUC?SaB%Q$s_?LqY%S zY@>&ZI#u4+G99L~kul|Rse}0j7C1W+xtr4sehUB2XBZ=fg5q3^Ub;!mYjCu#-7Y@pWR(G zb4|d*x1itst)`>>doE+xm(8`)Lx3BuI_*heyS05Z+S0A56&kKF-T7`&1}iJOAl0Y( zDygCocew8n#a+a3B%21ckrbLv? zo005qqG#VzMpxN!n8u#heY&Di$WDn?jZ}?9Xca!Cs>L+bveRr6LS9nR7tOs5;%|H@ z`;9o~pFUsG%gK7t)WMElM&XNV)Fj`#EI)i?5EEE_7}-{n8TCQDEU#eZUcExLi!!+9 zO8Ba#=Ru*4^9c#>mo!5e2d#J>r;sy4#)!sdwZAGh$;! zF<%DKU)K$>i2@mDk=Q9FT)ODo@A?xd1Q_?8>uU~-3}g-jE%ysW2I zvD#?2CU$pODT(dKv^kLYstf(K{lW`d>KUnD0{JdgJ+g}Bq&^n5q)R$R7T)DP}eZ*B99RlT2bqR z&G0?Wduwl)`2NKjGEl0^@kT4=>6SrYaC;5>+)KoQp|Z9+pQ?-)t*#J`Q5>RYpJQ2- z(Lo}}JNTXxwI>vT(SvYfX^4R3W6wwM<-=$&cIU$ctN8{_x==_S61tGmTCvqTZDPVcT&mrz*Aj2J$a5fjJC6GzxnGQ@FAPH{g} zvR#+BrQEkVqH2nvKn_-l*6oJZcBpwbu@T zLAvEU{?4=_BU1TZ$oHRoJO2|<49?#U!WOkEkPNjSr2R_wwc>6BbD?)&pU2kzsevEA zIu2LUSZf!%Hm%76LcuRIj2Qng90$vvx~LnOssMu-haXr!8K^OON^F-N;hq_)y3ndC z?AY^{T!o(Uss>x^l zj{KH9rkM~+_a)Od8gw$I88gPrWVKkCQ{!YX*2ren^p(|Ev2Oh zKMC)qI_%1N8>-}WO%&A4%ov4An+O&Tw;f@FYx#a-e8g=6(~H^7D0Z_oyq`W;K+At` zg%qVB{G5I8=zBkJfj^s_5Z@fXi_l zXnNQI&^glLh)bCV&L=@vjOvxoL)idLyy5PSq3!(c^NWiLqwU|(R288Vl$7w7AT>Fq zM#o#Y34-ju^#5{DD9;XR&jVUil1(0X!CzwG#CkM)*GuUY??}{8+8@3*hpI8!Fokh2 zuuwbEYs2Z#Z{&8{iPY`V9|tSn=ekoI7%O5YMo62nyiH`AIdwI)gdOZL4e^{U4Vt{% zqN*wNedlxO{T27gF>1n;utjpTl(YZ)LZqhR*LFg5x-tSucvA%FTr((5JQBA zo46p+m)JT_E0)MN7l*Z1oKFgg-2wOR#GVs#GmDEI9cz;Yd`$EkH?P>KdZSGke-E*A zv|mn)^;`ciI$HInICUc74*2eYeoHI<@nWsXM4mVxfEN|T0|V~^79kZk>DL|icnm^J zJOZp+rc-sgpOhW3$Ya4&D>i(F`zJ{$AncP*pFeZZ@!U@|g$k%`kc$Ka&iDRoA_$5- zzr9h;#=8ATdV3Sp8BYx)AC_o+;)w6KUISjlWq%s1L!Uza-HS<2*Ea&tkbw}27ky!_ z8eq_FZ1#R=w3sTjH9!feujjCq4+Rjy1{nxHIRrU|J_GfNl}p%km5IEoE5{E+|0h6* z0T80{T~o#phkQh05CV>O5e!N}FX7obzTrF&%!~5QWQm8%BW2kB%U0e!+sb(tG_w}4 zm5JRCRy|JEV^3E^2JC4(9v)2#H*vyWoN(Fd>~jXPdbtMpuGeRMcW3s|BU>l}_Z_2{ z(%EE_(^0)QJoMz=&*-S9Hx|qBx!LvVA5~KHZ~i3IFGwd+6>sZK?CV_{jAd(xqNkd9 zaG;WvZP7=0%ZtLdH1NqQ07V=!4k;G9`<;RLsBKQYr_r)RTtU)GA6lg=muWR}y4#iC zz)Q2(iZg)TdkTSO@L5N*yQWp*+L%ond#@YdkPTM$^B!>y6GxO%#6^eBogJUvDh=p0 zg)cRiIw7CgW9?gB?v=F-C9)HSie*zqO{<#7C~H78Qil-XEr zhIRla!X@ED3taNeFle~WG|g;CA#<^P85U1_n`hHT?MXd^WuPNHbC`7h2J2N`boIDNx4FwPROcQ}!}A$O-3gmE;?~4za|N#8h0c}~e*`}QAU6IA z1$<-WpLhnLU&kch#w5#E*G@P3%Oj63F9V+yqUIrJ`@5Wu+Y0`n`0S;S?t$NU$5?>u zqKGRnXT`st%X~b)0+1>5XSbL{g*3PXV7{pxvbD8+aip)W|8j^N0W}zh@u$-#JsR6! z+I2k}v=O`?;ZC&Wg57EOh_3@6mX;}g7>%OO_1JI0qySJM*qcLfJnkoKgeJ>?BiA`Z z@_-vXb;`0mxW=P-@{n`Eb3~U{e5mj*#+>+ZyhhoMhfa53Su!}=9T;9oqf)FfXbX!e zphxTP|EY$Cq&v~X>HJ5?H79t($>}qF({BmX8_c4C{O;iuXjwQN0VNf_t8cTgzJWZS z3UE_~ZIpExzEIZ1FmNGl=tCm~A?3j}K?tqhO`BBsJh74xJJ;f7coA|TYC&Q6gH zW5A14rL>;B3U+H`TXV$X;{?h^I+(;j*(d@U7VJ%gUr|W>R+PSB#~ec*qWAT$PDsj< zxK25B(U|@C&~fk2W)kD9w6zu+cs`#e9xkrkg*^%4IzWtyIh1SM;-Kw)d=dundt4~l z$F+1md+z#DgAy#QQ8!ZXA^eR=iX5p&qt22#J8_Q)kLxuL7tDKQIy9E4alzQi4TOv1 z{$!`Mo_aynn3tZMsc1biKWI(GOAt=zak-DnPe&txaLM53MJJ<=!mKD2f^lsOzz+P% zDtwIhUQe zS_64uG6N^4M;^2{gcnk(pP5;@DrF4}x|C*5{e&l~i$LSdu>rpsS)xbXH8k9B1I1X+ zuTwuJIA3UN<_5ywzNc0Fev^Cu{k=%{DTPy_94lECGDVEMh^q2biLoIhiDY7az8XSp zX_BFn5h$3Wv~!ulT;n7?#mq{dQuT*hGJyXJWiD zebwyVR2i9csEx6TG^0{BusLK^%w#yvCI|QO+*xJ=M^2%eD@GocsrOGbYn278@|vy9 z;&8F>g+%B)byS&JH;wb`+RDyOhi)1J!ehD0@{3an-I$;=0mzR?ogJkdVBD=FInWin z8SVFG0g6#1V)PQs|99)?*@{JaXvHYS-!uLH^KXC>2+8XK88wZh{i%u;WHZ^qU_ZbYuP!H`P>V*u zT&16Pd7aP~&^~VQzPRo2Vb|cSg_wYv{v_}l$iwb<_2@)+%t&eC{PM*^c~w|bg>g~7 zu%>q5HVvyK6c&WhJ*Z7M26ifN2l414+K@<-d3=n1C$zwqgB72Xag#^-Zpik}=$kWb zg{cCqICy4LbrqnC_(q(Y;nIXP0n`Yr=wmqIuVoS5uwBk3s=W?1-5z)_n^yG_tREY{)a=tUO>g2%V)$sG zjq#hmh)n0YOSJCMeIZy#SR_#?WRx(An_bU3R$Vn)PD1O(U^v{Wv7+D~3Y)z}|KG)| z963>~8`iDylr?^Fi|V+4ILHV)LRJ>b*@B*+yV8gEv}t)X_AHlRb_b-dD&d* z09&YGoC2fW;z6@08VYn>n*4HU@agRis|e`|7L<@vZ@t#1R+3zcwBkZ`H=WZ?EPh9d zTo<{5OQ!d(-sRO8yb@x(+~y>!rZ^e_MXDz?Ir<@|>rq_GGh!gu&FKu~5J$=^CW-;+ zFNFmY8afqvHDji9oXYa7k;Rr=Dy=(~F)ILE_>$RgyiGlGP>Zcw8iR#K1JdGgsz|Z^ zr+t43&7x|xIMvqK7`2aTCcW%F3Hb>1&6vYELJ~j+sHV6tt>sbi@sk-#ZS7rw6G96h zwmd*0MAFRauql&*tBv@+Uywpmb8f9S+`=5-#VKa`2L%P;UP>t!SOAACV6dtRb?nUX zN*BB3^e+uAfK{D2mS0q)L>~C_XL53KY;3H#U<;u71*o*>)Ho6`>9qsWr7F@U%F4>} z^22~?YGK4IAV~)3^a8{~VBAR~&~#C+G6r~u+4vp+$I@nr@2^vwir!!nYfE$UpE!D2 zGnz~k7h7A?v0Pc5ZY*fzS6C|tAAtFClaV@-LtICCDJf4yg0&V>bi%_cK`xfI{72pp zfM@vW9HvuXkzJ{6uuw*VZeBCR0}doo`qwFO7=ZUONWez|C=>7$!O>rzox|A2pQHdm z85hXxV`$VWVG3{}q&7&Eun5E%I>>Jkf#mhZWHEH$%9ozeIGTDd#$Q)UvDi-jdwdFsE z0HisF5`bbgZI>kv#QM1YPe=Ro^N*}Pe*s0YXN;f*0DGknAV0-|l3W0lWbrDPUD-^#Fts&cFGYDK4f+6g}F6 z4d({rH~&9Y#Dli_381gXJO_t@Nnj~R7|>?m+h1FLsQ{?b-6FvNr6F5@RWlLzgc7pt z3qVpyfM5FoKM(Z$UJB_b0`V~GQ@G^L0+KJ!KQP<>^@`Hq?Ha=G`A!C#vcns~<-u*d zua%Ue>~g$?8O8ojb}H_v%7y4yQPu3}%Im4M>2U9`wXw)TF#BrJ17YWfRE56qzEP}E z_u`9wn#^xf+w@4UCB8*0dK{5NEY6Wf>rk;=`505;4yAZ}`s)Bk(ss8J%`?p6lIF36 z&%5r)d8M-=DuIeeO%5;X2a5oqVq^;;3P6~Y2iDuMJ+Ag^&F1F6kG=24AYR{I$IUWu zZc&;&9xUYCvw|zDM$y@`eC>F#p}w=ls3lXM;9ilPd0CjJo3OgKzpv?2^MKkI_V;_oE8_JQowmXidk@pu4C@0)}a37FhhwJrdrz!ItG3yTnpox{fR3lVk2 z#PSnEh6;{-1e3$RdR>447Xccuv^^txx)x5?M;}ao8WBbxOyoTBOWk@W+ICkZ{v5$YlGb%;E_qd35qyaelJO>17H!a zzbt&f5*`#0dxIqmuWBtXfy(gV|7{P|~Joy|ol} zu%1>Od|7Qt2bdq0v?w!RQU)tRM@!mhb==eb^HNrwh(0G zvji%%WV&oy;!CBNwDPk;**JGcT48KpL z)~blHQP0D43Fx|Jj-J)>aJ|RMLX+8gTvUkD^Ep@e;zo7{cD!)It+4*dpMHW?pAeT> zGR8XbZtIOVI8ea``!)snd4UjwDT@KPt0GFs zP!go#MtbtVBxooyc_3=xd|CuZi>@;y0`wVJR}wt*d5IDMz%l>VmMKih(%W%)&x5o$ zgf(53nDqe|AjY509@{8epEK84Q}m_G^}Zn%#be%OX-${NhV7sP%GY43HcaBMRqE!x zQ=Jnod$@F$-UhFBB{)fqWGK#+a*D$K6tjb_XIdPJ=D=t4l=ZL^-TFv$GaGpN`6w$- zF35wprj9>FE1$Y~IV%nnnRZ#Bp5sUy4VzB3^V1O&N-uzec7!%QKQ@xoz`W@4-P#C{ zIVCdN1bY1+{ur+AJZRrL$TO^Hg0r zO~Ir@3zjPN{(RrM1W^R`*vytZ)m-=cP2|>5a5Vc&5k@~qqe%&`%$o5UH#c#!Xx8#xublafMSIE81(j$AXUR~F|jp8 zWNCDMetvvj3;R%?I95k5IC(HWc6(21LH^!xa*Tp$g!f}R1qV&xrI>ci%m3Bdn2A~6 z1lpu;FBoig**u-4+q6AQCLgsPVfRX)4x2r(EGs}7ZnVQ1d>!E5+V&|pHt>zzhI7Ok zHIxXh;e^=9qgqs_va+P0m!E~o!<<<>ukv z$_ObbZ)XS>?e?#|sq*~f8017%doBn?AoZBgl|4+n)%RGdm>&q0=cXsWbB%{#{I5)} zJPjZ32zt^eo8VLtrvVUgdY1i=O60Rl`;Zd2Ek_FIaxPb)NazE|`Q-zSdu?hjp!%{E zW5(UTw+QC%@#=MaBSB_-y~8)^3_KYWVh#q{s;Z(+PG;pHR<8D9PEMjuvav(e@3JoJ zqofXBTGN>Wo-Q8+cxEGGgdh@dMb;?L3}ezPI4Fr9O&t|EJWj|lDLH)DJ1oCkL5Kt@ zJfafG>yO}K6X-m?hmI&DWkAKz?Gd|i>4f-bb<&zuvg*+1xQ6hNoORntw(*0to|ma1 z`=^)MPK&s6gucHMC*wNwWHfiX7OXsH8L0kZKv(ee?)8(|G$7RzePc5F232+j@^}f%tz(yOGl&+g}(_X%TptT^x zEAMqRT!X%NQpfHMUYtH#7Z16kyN&;{WWRY95p$T!9VJnR?;qC^UDLMFkrXGVtP3S{D~mk7Got{V zqpF7-Y70Kb2E`)}y@#2|8m#2x^opUyr-}Te7YkljN1VSF| z|1`oFW#&(qFHy|h`jq%wEx6>DWM?}q1Q^M`{y4&l1f&)JbH`skAm+rS4R1ph-c!Br z_u_RU^UMEL_Mq)17d-qH?tt{g|Hi9jX-9MHqyAs)y=7EfTemHm5FmmELMR*(+zWRJ z4#7QGg1b8ugb*Nw2Lc2w2=4Bdgu>k&g1Z(_IB)HH?mOrE?rrbgz4`Ngyu&|eZLy|| zIoB9{^w9^3LE-~ybk1$y8WE$;jt0g2ESP;`oR`>@CuZE0-m~`<`m6m_(-Wxp>mYc# zRWu0j7(Qt{c_vYd@8bl?;ik%0B?zYM)d$XTp~y6jvi3*_Q8F=`qJ8IvCEZ#VvT>fb^m<*Ehnk5 z1p~#jY45jgOoMVq)8gp{z!h~57plqzFClU|>b-qhE#QK->_|LD@8E$CX z0Oe5J{p@zL$3@N89oLfZe&)KD1pi=xyV-NUb#q<^nGRh(2PdZJD zFJkEXH89LM9rLfTyaN;!XBA<{miM6qE4Xrtco}ZPq zA**0QtzqJ$@AsO$cMJD&_yQ9f_jE;@eePNwJ;oviT6lc)3p89<&7;30BcXU#=59k) zxf3^XiL-&J*Ers5oscu)p{?YzPJ&~@_M_6v=WH$_r1-4qn+Al>X{w03v3SvW%S@6? zIz7LJntNe#3;qkA?u=Z}Z;1C*c}DxWiB(ByQIXwhnzZ4M!nUWAHeihEnO)pg6FQ*% zuhZOmR+xg78>f{{4B$=%|Z-W1*3{+z12{jp`^qXPmIy@j?P7BdD;v8V=GJv(V%zFdzMMZ4gSbIS?d7S4ZmpRWU^b*S{4 zoAplgmNT0#ZeL;5n_kwxJQ<$l@9?lCXT^ZzrQmFLbv8psbsP$vS+94l!VTl!_G{?9 z+f-1Pp#g_$|I!h7jVmR#m~|vzwL;)0UW|^}(7^6N0{g7*tQdUhCjfRTs9RQgMUYou4gKp$;!l z{pDgP`aX$_SBl@ksL6I04$bV0eAhrnKVGF=2&Z&%TRxf&o6Od#QgTQ9#_6ND_4N(( z+SfnWIXrFpl}Y;+1oj=o=Rhv&l(C|Y(9Aw+`-ob+2iO497x5M4(9p{Q@4h>QZu5V%=CiO6$% zDsgNV#HOv6tL|g)2BrEmXIw0}BE6DsfKZdZ;TRXE@pVY;?986q(W#O$ly9T%YG{d! zjufZyF?u4Bn}VL^JWKoB>oPr5&RB9oCmE|ynD6PmjB6)9$*(swK-s~$i__r(Z9GDf zc+5VD*J**6WEmrgYeD^PNBI$j*U3+!yD9cN9PNlEpXEZY)yOBoIrtRCer1bOH~S02 zst;#tny!wz{`o--&^ytHs=^<407881G4_!}2j?YHbJGN6#7 zv-8GS`kfR+;+Gd&9GqR!hb(HaIDN2fNR5!hw{PNBOvTlfAe+3W;**`n-6}cWHv<)& zB>#o>4_DJ4`7+ztztvGp{ z+m5hp0^t!Bmfp*^x`%V*vGBu0?;SFux}3yC&BRsq>w`ziW<=kPKl4lM`w!+s%tfNy8{2{u_sf!Ln zb~djMjYLVW{(=>%7x2_$mpoQCGn%$1AX~k11@a?!_?a;=ujWXRUZ|IJG*UF#)Y`l{ z`mq^&Oe4KsQS;!&&qcnK?8Bz${K9nV5)YpKK$% ze&IxbTp88#m0glXT(sV2o8`=&j30Mz`xt{3P4do>;kSqh3bl9E3*QA?=O-pY;;J+Z zJns_QW7k=|tk-%b4Slj44)$g6OPIla0Fo}Bt3f9ic{y7wL93J~W=&+8TT(0W0$r7yb?*M;S-g5h+8LFy89(kF)tL@6Bxbi(^o3fL=;QK1 z&YK^v6j4h{Whs_E42!8MPNNq569Ooda4|&?rNv$@AR}B%-@1OY3X4=|(NQx=l+*Kn zVY)}Bl1gZ9V_~FX!$R5z>BD=?u$W>s;v3nBuH*Wc1w4a2i?`+`#G2d0vgWIdCG7lL zG`{JlP^zTMuf}G)wh>{L>u{s03sxpO?m2u3_yYjX{IopoBWA3h`ZZmc5{w$qBu^q6 zUibhH$w3{=7q7jI3IGHF{~jCG$faI1sv0-J4bhzmpiZw0N;M4h!OM{Zur#n<_^Vcl zdHcIoUcV2fvO5{BX5|XOXWwf~N2Un$m`i>w&C^KGHJK*O@%Zfe?xeNOn&k=SXMupFe3S6cKi|+E0m58-Bye*c!P4YEbC*$5NPai?XtCt$#}bhdlSQya!kc0<-Onv=)HO z%@YL;0^ydShh<^iTt-hvyw7KPMtat3V1_Ps-*&{6M|(mSX~DZnq-vtZr*HByJxoK# zJGte;^LClGUKHLaKGnLP3FU8z8jRf}QZy9sHMj*&)5Kr0YT}rEfL4da7`R#y_WsBkPvL3!A4G0w#{hNVAN~T797%Lmh9!S zHvFqaZtLqGGK8X+*O^gBlFL5CrT2}Yo8Y`>E!2y#)-|KivzO5|*8 z1*36MK(K1yJd}2QEaro72xe-_b+_gUU4-HBm<7Lg_7t-7&ZF1=23My5>+aOwzJAT8 zbvZO|-oI%ro`p3rKJZnYG|J_APhK=9ozkxGis$BBeOqqoS*jCqhzHj!dr;A_T)3*Y zf5%lx7vj~Bu&2AoLy@sc(*S7Ua~d>#;8$|7_qH{ZnpI?aySDtI)P(LVRmjR?e^)hE z{qdl;X95YEv6}n{WG^bMLg-QTNmFNcrG-tn=%H~!VB-&$x4i+FaV<(dO|$khR+eMu zX$xzA9H&HI!9CrJlQX#3sH%VVq(qbWpbP9_4mO=sE!L!#o{-%KhzLGS>30;FqT%TG zZphsnxbnFQA=$XS>@aqCJXzE;k<3yv7I#@+zph!k@JTF|+-di4NkYk@(t2m~jqi`q zqO>eI+K)u*ES#Kk{bz*^e#cSG?^##Z*JIYRt(Iy+aA1x{`T3LR-i@Z3(H8}*)00e; z9EGRUZl});u|sgkoFnB57<*j>w~Q8eKG-wMxzvg}Zw_UwD2WGgcOb0t8C_jGm?&)? zTZhff8z8#pX?H?}=L`^xtcvz}v&*y9&+}LZd*wx8X?`Mfbd?R|d)qPbmp^tfwh{>o zlU)_7Y3il2rTgE{Y!`+i5Mi@^l6IQo9uRd!r-v6fR5ZezG>v(6`KPV4+2u7{Y_;io z?D8gVa^k9Aw?Za$Qet&gEpDUA>Z{lbtCnLJtV&pW-YWDkFxT}sVX>G65zRE5vGfMq z2Rq70Qtx4WK}gs-T2s7uxB&EbJS;sezQ|EbHYFo~h>MZl51v>y_uNE9;pM;I)4Uf| z_!Ky(@cY4GRQACkN|cVV_XCBTVwDAtj>P_Z;{P!F`ky=g3JfHWfJSRHriUMrNQFEd zw??wx9-%8!fd$qdqe6$lDc!1+U;%V)03UaxmH8`AFb3e67XO;h+x&!=`HwH6bOGj`(=@diwvr6K~Et_kxfe>G> z?6~zSDhk}%EtC8QGawLT|;eSZBN+BcqLz#>GEJVVwp(9hZNel0`Fd5p2YB&ytK)2YgWhqx z-_J(0gUfwv(#-BHi83_JeXb z`@>H~yvNR5WjP#zWJmqe$V8T4HS42gzAW{i%h6y0{{wzc#Gp*-Ba_T)(wo?0mQqIU zi`@C9XEw+|>z~3Mo7LYwF&2O9MXU%!c(xov$A+7>)T{3dTzj<+~p& z@S47JP90b&RKQy?J{%mC#z_@$zx^$R?-TLe@h5NZrC65$*27Bp`JX_X1ztO#@MO|+ z`(9ax?_0CbsC5sc3oHghzDlb@ctX#M`6%T`-&F+}HK$A0rJ;?Q#FCyNi)?Bu#a=p0 zta>&@zdtY;ycgN)^Zd;(9Rw`wL1#N*0QpAMUd6e&W`WnX!6SHBmR`OWsjT?De0bo+ zh7i9W+(+g|M0v^OO~_*$-6Ow5FP5MZ-(HMSprE+5K`Q@?@YITOt?<=RIpe4lGtt5< z`T4Hjqd}E}lWAcrA@r?^Ij&NrjS!emo`POOTr#~i06qzg`5UV)cRH@T)HTF zF+KNAoN(fM^0JN0^D^0RfIR5X8_!~8Wi{z(das0f-w8{b%WV4N9H~iqC5i#q<(bH_ zby>dxQqep2UHv>5W$bnr{y&J~UySC~HA z4c5F)?M&))w+1X1-x#F1e=HuvD1rBPCRrs z*`J>-U z&zpHpgQf#JMUS#83-u&@;mL>tNPEZTD_66xh)(Qjl8j=xGBO@xbIYgoz7oir6^X_u zP^Iyy^)WBQv{td-^1L1Pctu-&2j!YmF7d@$5uanQNq20n8s9MJ~!CtUq)Q#uJ#iJ#wm5qvckbDwC z29jI!TD}c3TA*8M%geUOE*Fl|ZPh+wzk3$d__EZndE|rvC=97y-&2InXjL2AN0z1r zJ$O!d(1aKmNEK92P?&Wa_y!sf?Q99pKzyB!)#1cpN606}rYSbAUagoJ$ zeRT_tTH|Gm`^p26W>x?ju)i_nkPlxPG*iVhxrm>L1Fdyq$9n5a8Pm(3VjwO>TT+>y zt2z((PS~BKe6AU%xV#J}Sn2flpFym7;rpMmalwMUXwX$z+iyj2NQDI#=#9$UyivTo zyh3m&N=odJQ2s1M5@0J^9M(P-YFl1z-t!}$C>(4!nCsqSsrt}* z0;-^|S2WJ5_viHNO6uc*9xWZGDe%@O;b^3}`uf%;KJ1gnG`J}zgZa9he{Pf!`xtVS z!M3n92`R7%b`plt9(D>IY@N2LmY#nrGFO)fQP#robnN@SWrKJpTIYNg#+^xLPaUPh z&9zYs6SnM$bx1*AhlOGZIyug2-|-vQKhL{BJ*=+8wFKL>F4^A8xy;LT=1wf(^gi?5 zn^aJ~>^iz`@ff6dM3OpJSxUOLVNLOQ}>N2dcTMt3f^A?{AaY}_weT1;0zkHf6; zuWZ(X)AR)`=7zqmghYXASKHZ^j|;;EYgb#EeTTJkm-QC&#!#;>Pk%oQln#fnd!PNF zAiM65;?Mt5nObjY@Xl;UEiy$cIVg;$N**1uH!*46d|laEFCmrGYciS^NzMDHdgde} zL^O@VU9xl`5FLk%W3Rh*P%a&{o6 zro>ZJv5zwMqA#I4lmr7E`5uJai+P1Ppn*k$`{2Jf{GSmd|3BXFiRavk-gP$*qZpru zjPSnX+pAC>9)ns2lT$kC-tX*vf2yZ$Hn8uo@SQ#5u8T^-TRFwbg(qTnNQdlvvkJ^3 zj@CEON*5tekAMZ_23Bh8<>&X=%&`djMKIek z{Skbk4;2G|(1ZkS1%=)?_vL2v`y7xq>yjL{>-V>-Pni}(_8ouU^d&YMK`JgR63nHn ztQcK|-Gu7PCagCW6X4`mmWR!SUiQELG_9O2_*0xLKVF=gP8IYRxZOxyv^(F|8C}Df z)YunX!3jimyfE-1Y(havc(S^>T4dCE3y0!M%Ig;xN}%3nn1N{x<8-7u{H|)a%|Cv< zXCLzU62?XcK5p{cx zz75O=4~5CEyF?;cW9rJ8R})pE_;R(sZ#R68oy}Dy;dGnXrCXRiMOc)!+mbZ0Z@eE}-h=>@*T zMzep9E9EI6&}(g&E?zlVz-?ypYnVvoQ2~23;Dxhn1d^tC*>;TOkILY{?v}6&y^01&@q zv^=|;TkshEk$P5=;YWT@O6oTGIiYeV0)t)%>3h-b(TMWncc8&pKk*bY*(E=#D-P73 z^j+riZm;vFT5m@FPh1aY7uc1zZ~Z70HQz~JfSrW*so$l7NAnLZ^@LdJM%z7q<=Uv4 zX|%^70u&c!Z(A3lTKtnz(pcN9EThsmEtu!85iKq)8?z8z)NLVLcXyPR&?7+YC3Z*N z*Vnh?2>)K@prX83@k+Vnxa*s}2h!86(x7}R?cr(rzPde`Gj+W_Si?bQ%4F+hLX8Kv zy`SlF5uHa8wRG36=&f7Z(S#;xwNt=ddXvCaNazdn<2TGeQL^)5_U0*^~{t$eK+LS?<-t)bv|T16>)15@g@DWjAm5 zuTRy4#T=a4As=$S!AOp4a*?<@aG;oKe`ok+$n5EN8gwv-gx6lkZCgX4t(vv(UO7jn z#6(+((8*uW`F(HCwk7kx5z82m%%C=X1VbS@rUe#p2GXYrjV3 zbJaIEGwJZ1qj9S7kk`S@``y*)-j{v;`{Ne5m~}VPb_=U}`T%o9-hlJA#R0O}=v+l! zkj-&cej8}V&^oNY%)fRE9Y{QtuWnT}hQ~9qY$;=to8l|kO7EEGVW*w`$rll7IBx3=;pW2=QG8}7Bwb-%A!c2))bNd-hR-wj&{b;)C9 z!YyUJvyhKwQCJ4w*Yjh)IFH1YWM@*bcWe=S+nFk{2NS^}}! zY2H1qRpCYBeo+@r&OZLSP`BHin8UWlNUM2m?@0sm!(&&x3e4BkK(`Ax!f+*j`1(`Xa3hX&Y_SIr;NqKz=ysU9Z-{?Zrb-7iqLFKH1<7X%)A`hBZ zo0qkIskZJIDO~n>NsQ)gGKB;2n}O2E^$y8sL%*MJ>nUS=P8+Ofm;W?KsDhk&6lg$X zB#U{uF|TP-td*RSa!n#4d@nH8n^Bv{$9o%2^(dq-tyw@b&*Iv3imzbB-FV>8QG8ojQqez7u z2U6-0>yf0K?z!FNCIiifaO1|+AJtsB@6GtL7u1>F%>=n9Cd7i0!sfC0 z4e5(HdB-Mggi@5UZMuoEvg;XVonZYX!jIJynAF-*Z}1|tGrw81^UYjo>XF^5jm)J# z6|l3Zv`L8QXVqRDV(f)rFv|NzbS}943DHsP?9JCN|~i`buantR0(nW>yg zkRPSe%9ttkprfqT}Rw0?M*UsyV7&6QLU8azRwbRA6YiSfi$3)}&+)cwXjz#9uE3jFDIzgn{u z0ma8oI=Gv@_Zz!wOCt3E2(<+NexSjmmB5#_PhuOd?`QCcerl%eg-LiY~0B<$rf%At$eEcXTN^$q|V^;Xw`UDLYi!Q^`(o-UV9i)ox%EeUr z=Wx7g!#sxWCnr%QneKKDI+{rY1bC|lgdkkp7k>^`$Z%CvoM;%-(vmkfNPMz|XME77 z?#!uF)YW67qs3ZkkdN-=#+9XYa?_w+z%3H0Ut;ygt?^T}kbfX_9yk1b8KK?%1^(pO zmy3S9%o@J=&e6L!T5_>1r;^*-*4D%&CsA8#^>Vj!wdb-VMFAFLv zw!OpjhP16BwEZosxJ}8>SimR!r%B5{e*gG!Jj2tU?!e48%CqIFX_jqzr%>Dtepm4N z#uu-0W-N3q&rV!H-N4G~d40#{rmrd4UMAGRE%iz!bGdm{O%2)oU0f5IaoXe6ToW$| zJW}~9I3=&d$7H;pcx+y~zaCDj?!@WPX@lKt`|bZ`Vv=y^$m_Y$VKpqBQ0 zgW!dFE@vnj1fm<=>R%Gi{L?ZViB#?ZvnJ*-%eo_Lu(I}v zvSw<2A`^ z#$GA7tV9SaBH@+=T}b4q;vgH315MCYOWJKcL4>3+s`r&yLbZW`u@{}!fb1<=#ckjf zd(6yo-_LaV%jMXZlkXqDXgCMIgbu63^n4-=lWxUU$`YPi{eb;B3PdG8JWa#xd~*Ka}9~s<;9r1 zNUEy$yQNxd$_Bw5P(!G>)Lh7owLsY3MmM{26aU^qw|r7jMG)r?G_1FRO` z`Qu=M7v|__Qd-=}2XHGuGD^!STAoa`N+AM+2Rj>57nxFx0ol#SxgH z>3;QVS$LT9IE^@IWaSOYtL4z%syNNKq?oy?G3J%*S6DO;Z-4nh#SM!XpB*8v!{r%S z8OE)9dwb+Z)YP6;SBGYRX?`!VF_&jG{ivwmU=tqh70fG}spHBN;vF8$QhXtyZtWVa zEURDMQKu|!UQw$pW|)1{5I54N(@HNJK0#qPd11~DI=P&<3!Od9zuzV+FS}ZSe=W4M z0JXR+BCEuaU#jMogB5y7U3HI!!5avcQBgbh9|;bQ!`T~2JZU%>jo%#%yE53=WO1Gz z9^o8D$tp4Q4Tgrwax5PHPA}|z8;X5+it~h8J6h8Gr6!1kCtm8aOoHkTO_B0jtD;h% z))C|Q=nR24MIxbcN~KmDX^AcQktqaQ>l80Q#HlL!R(`0VYO0Q4X0B=9>W|{uuviej ztcdFS_p%!Ls#3+%4d2#iLuiN()I+gQOM>p@pJTf3OW(#d*qIo;;`i!q@E+G+ta*H; zwbB|~+ibqwz5gDPKC#QOA>A|4AKs|v#=EAyn0v~8j{e`7w0|aZ^xv7ZdpHHIiqH=~ zf$XJYKPt0`MDD1Uj}H!oi2h&9cz(~rKR}zQ@5@+eErk$n7CPegF zylYWqoi-YT^1-m4fVv~@;)^!{p2Ump!n6Oux~CEpGadr{s~lE32H?Aa8Owldu|7$_ z$jFG$=u0v($mPk_;o%{|xdveA!{L(r&1P;9QBhH0VX@-|N=7LBw6xOF2LQ!?Y)s?W zsH-D_1kjmsr}CFbOcpbK^4V)m3-HC)UvC36dsXVvna6l51z$ezK7fjw0J>=ZDa{hF zLj`VOVPdLeRypYU$PA}jIhI#lcM6{ zIAM$yeg9WfY)HIqUhId?H^b}w$yDGjqahw19wzOQ7stGAA>9A7)Pk%nkrWM(WKsbN z7=W6F{}LWc52WcZ@R(oQBqD)jM)eMNZZNDW>zCjq7sE}7j4Mva4(S>sB1axlpoTmM zz@`+tUBqnE*`O#riez+keCpzYENWE33uI1z)th(XeG|c{*O}-D;8!}g*1_?$P`jQqz zKR8a$9|2Zxg3pecT6z@DC_)%x;zJ7`@6W8s2^MsVGoZ%Htf;`lli=A{T!hU@qA`K{ z`~@Y9pIor46#&&2z4jb=v0X?lueL0Uy=2zMH8e9t~>skMtk)_y{k%9>;`!5U1k)2#*d;u*U|9Ori;qJClPz zV8`Zv5!NK}Mvla^eX{#o=oG9Vhn+x+SLh5{F_ z?O2;awxqhSL-z*dtm#Dr2sv5a2KI<(H0eR!mPeFNw*w&DJ#e$W6o0iMlE z>1go8ln5oZV7~2G`O1P+VC!Ic-B2&ASI+NF^3Hr85FWcIB*JU^^v3!Dl!}0Do)lPc zDz;#FbZ7k5Un+qAp(r-n4u}?s8Gi)MTl`NN=vTkPEDGw5ep?Du2#+jgFB<{i`n*tk zB#W8vxwZb65|I~}I#{UYEl|PpKhyG4tP3ykRw_nocaCuu`RJd_$)a{)c|O7`)NeZ` zg{b53odY$)zvMB+V{ekHv8aRB5}wIoVm!-#NFA)vpG4xknGKXm|Y z2^}z?51|hM8{h{J6y8GXXkKWy~W zlMU}xT=bJjoh#!iSAn*Cy`{O~^?lZahRTfkjz-#YfdPhb73I@d2NcyDo8R%u0h9UL zGi~EA;(T0QFYlX%mtb*C3@e438|{p~H*v;n5ig%TLq#_u`N4^mrA(SejRAAX#QV}$ zmt)a2f(KO1mL_W&e_IRMn{VDJatLjKy!sXWa@A`D35YPku;*-AUW>EQq{feD&&7z33q(j zExeUlF}Z+{jt42uWNE*QAOic%odcaPB0%NmUu9}35X^;*4eu@cu->uT|NNpGWp{a` zVRD5U=r^g(8LWI!H*-=FFJNbA6_f!Xv;W;p_4juT(>f*(ZXQ=cJnS-pFuEx_7^@Y% zt+E}v@le#;h#A34hPXumf_vpgt)v3GXoMB;U;9&YNUm9SyCg|zh9e5PNr{0=)x2QmShDbcR?3!uO zCcmpxttpK=rS}4?ZA=a@TPZi(e5cgWO3oq%L_;;&cshfxZuT4-Yi2be#~pUQJRqO| zB}}<=UHc-_Vzm)Oy)In^S8;FQb6%dk@{-wn$NdisKQL)0k*4EIf_q0hA%i5}XX!fZ z%bl`2&jh+vri`O4k_E_z-SDkU86}@>h#<@rVjC~QYINl6^Ggvq*Z#u%3h-)*TuU#e|g|F2w6|{?ha6q>C7TW75Mak z1<1@cG%Q3%`%JG8(@4LO+5l2m-$26t*en@w;w4u|B$8+?!KNV(uCjHGkiqCsO69Q` zNpi65Aqj{1-92W*-iILfJkHWihn6!lq=IvLDz8Kg>U?{4w_?ftffk}t_|y6PgGgxZ z!WRbH=83FVZF|t}o!>nX!rk)W-8gnuD%PzKpZ1?ucdkB2s!H?0`K6>cFbVCje`4M8 zuK{w!;YMwc%o>+ej-`k}ece^KNAn2gYU1mfD}P{+4xpE#r;py^CLpTD<%o(cO&fuYy`aqp(OO zEmo6d)bM9snyZAg>@wqBef+3{5)P+S-$f~=TIN7r?C#=8Fkq7k_?hxrRr*heS`iM*=^g*oXvHl(yG_d_>w3!c*{AapF8x{*L6rR%;&`C1mZxkSoJBi zgqC%45Cix&WuyGPZ<7kAJx;%;xB6%pOluQU;? zX-*-#10^ZL{>u#zr}m!s1Pgb)Lpj-&7>&HFcqTzn-x`@#w-RZnYT1tifX;sbkEfTL zCSYStW#1d0r)WCfY~qcST+)JRHzrb_;s?w=SN`}FWX9dWB+uH}G^&7U2Om>a-^Jf1 zW)(Q4;OXY>t*ZbuK%9K`_qaKWy6TdaPY9!;JXdWH&CGp9y%=hl5Mh5`3)Q==Ld3fl zkdAJuw)JzfYI#h>k*`#>N=|GwvX}^A@Hd0f$mHVzarG z4A4hT&v5`6^NNUgAC7pOkvTdWf$h46#`Qi`#(`E4YNxr8GvUcxHp}ot3<_QDf<>d; zCU5#0736aGurh?~g^_L38d`n%22|Jxi^RUEe1it6S|qta|3TGn^q~1B(ok72hZv7l z^h4IbYDKL4?jw}eSl|eTGIg(fECp052YmUVB5h_d~dTI=>qoWXs;_Y?SD4L09E!KrK! zi6;|=>dF6f1wI2hLeeeAHpfBFXasn?y-R)K{WmF$3MA>WnaRf-+Rg6{nOCqxMg7gs zKf7H);hy#*>1#00b^;=RNKX{vKQ-05_^vPx)NXncyu4-1o3SYDzjrajvv{1&vm+#ooe|U&US?fIoz76WtOtn8Q%Njx+J%1R#jJQr97q}p zzSx5p%ou$a5&8$7k$5+1B^*?4FT<>>^;IR0^zt^Mb&h{^@@H_D&Yf!Q{MA<%qIz>` z4iPJ*MNwt%iw91GMy&!XEnv3#9ENTwd1vb_pa^Mx8mZ~A?Ht1wftT=|%Vy|HZjH?C z$wGynIX8D3AcAGh+Mlj=Pg%h7MkEt9^X^6~A}lj3g(1lA6){C{ z_HUPp4T!WT(pbd);k+lbKuv|A2qs5#@O?4P&(TK0blC z>u~{yCoM>he@1%)tHt4WYm<86f+7F$zI`Tz?#0Nb{KC$tO&;^Tv^*3VAtCF9FMh(( zZ{OXXt0UrB_4qb2S{|i=4U}S9cW*^{MUUkfi*JxgPn&b>PkJ?%@nUF^brzS6*sCb` z6~Qn8R%6^vK=$7VH_nOW4$b`)bXWtA<2F){{Cms$_D}+s^*$Jf-|ut&!boYes;6kF zi;*6WaW*+EmPXlH&UR0>MvZ*^{i~0-18+KLAnSg%@610qUfgb7?fd+J(i!Piu0k~t z(jt5-<+dxuY)O%Rb=PT+y^DNrFDzi*&TF9;$OVppc2|=@1Lb~@$eM{d1&!n2tjE05 zow`k0&1wCR`%uX_*+05koL6rjxcT^%i>_)D$C~IfKaVXuKM#Ri?@=X4(pk&+_?j%=G)&SNOkRqoX(xsMmadENo>$#<9x!xUB z?m9c_G@4Fv>}JT%D=A@QV=K+Ggy_p^eV$FTGo5wn0_%)=!<1-V|GxRI@S?s9Ud4^h zPfqERg8gNDU7AdEN7cknT$|9-n=Svq1ZD_Y@OlV&rGv-sN&@I)14tbk4OyMKY>Ti7JwlZ@+vi0>lSTo{5_>Alo zavrjHx8JDXEGL_RFYw(sd7(T%Cqe8D7Opo23sn#op10x?vNvoQ_-`tm4w^}j_A3jM zhNZQ)79Ms~7;iAo2@Fq0*A4|oHp#Z83DUeka(o|&@z@D~UVr33JD1Qwk-G}(i{(o0 zLQ&lo-^35Pwf3p+49pm)U*j8&K>?xiR3JpdMzaDClF$Sg5I8CavIfJ`O_;iX(DcC@ zjn8mO6sDu9Xb?APe+Kar+31h?vf+f>?`0aJfSUV10zlA6`fLQqbiQB{1wvXFx&aUy zcWOlw;YmFBoCiqaqG~N$0b>7r9PJE&VpsrdAS58$nM4POc>L{$t@)B9xN)N?0YP0l zoT>6tRUl5(&qcutln^HtGmc(fqYHum8BGT~w9Tn!8~`-%5to1p{N<;-5Fjy+DwD%! zhMNbd6NJk=-qJys{)305v1DBG&{6QHA3%>*9}3op$O9AI0RmG;dRZV&R%^OmjRyG= zy8^um$n?a`WicP({s1((pX)$#2@H&zc0k|BQq(T2ah^s#bLydd{{|p-kdtMZ^v43r z@HZF!l|p#!qTfb|1xhUF7P_0A{q79r|6_tpe21j;`G)93JWR(9>LP84hPwIWoMv19 zO_;q;wxLtFfcvl8eS^jkwdvT-GD$s6Mom@KuhN-RJyBKFe^tHw%~|Lgj}>YkbU`t? z6Dv~i?ChbDHwo%LdRcjHbNg9yZrBQClVey0=~dKuKVMTG#^BNq(_|5bNauPzmNsf# zdv9Yy7b|W&HsN@;*7ped%MA#n_+Df^q()~j^mCTQ6v1i$swE?fDFI;Q!4p-Wxxten z_-H6IcmaSo?PhD%H#S<*_Zzje)Us3y4OMn5U!uN^`uJAsno^jBhjwOo_}2h7UfYR? z_}8fKa^Vpqye*g8h1KVcab_lq%{6wj6UIu<)x?dzJV@kz2&KZdm;jm$@Z|yc)KcHO z066YrZs6CH?1|8GJd@5yGN8up6|yj*->P~BXl2jCb`w+;DJ}l<#nUncT7Ux~ATaZ5 z2~7dhoti*rTj(Jz7@L$2;L2PA;v&ngd6fVDPj#7qzXB@ZxFMBez^mBXooFJ7P$gfh zqhOpy}1J82cFWf<4dGq6Z|#3{~8IW z4bZrP6wqW@c8q@qj4y|;1P%`TXojsJdk!vRalKj$^SPf{|V*^U@PJ9Z* z1hU~Xdp}>3JZUloPK99w&bLcfN^qSaDm8k@C$5ih%JyDmZ0N6CaY6w4DJL)mmhu4= z;~Qh#fH=>0vY6Yf!pDIh<$zbSZ$&9z2Lczs-@S_92dkMB0C^_F^v)RFViP4K-A)j zq0V+1fnu@3@$IU2)%x*9I={yr#Dda147TIp^nQPqR%3HZVjgOWUgWCF7<)-q1vbLo zYRX_g4molKb~!CFVbw=o`E3;-EqkNb% zA{5hO!BRdHQR!0Qf(X+Hu9?pHmM7*zIQZ@H^u;AUFPDKKKTF^m3melwfA>IlH`7-a zChlyhpbBS#-sDc6H9{?ri>4sxg(N^Fq{7=w$R?7-EKvsdVQsn-_uWP|_7(t0g%+Q2{(&R7&(|4+;2AFtl!%UTL4efOp zzg(kqJp{VE8j@q5oqd2#qYq{@9UPG3CL6>_K3`wQOG#(b>Kf7CZT|7& z;OfG=kxx*-C&Vm=wqhRABt%L^`e}tTB1y84AuQt1&B+yUdgSWp^ekeKy@(A5ae9c= zLh)y7^AD*`k*4q$EGNVW>`QWHrn2WN7aTO4i1V+auz;VIC>V5K$0W3nkzZixbd}@mcx?q z6~tgJ@JB=}>li@=E*s$;Xq|p+SY)3HimssYZGX#mu$w7MLllz@$H_yvhp-Pm^kQv; z0W;85QOfCKp@eVRo800j$O;TOa2-ame8~$Dbq&>&CHI4x;>^t0%*?>%KxLg*3hA0_ zKC=r)Pr_I@-x|=r#i*@B_Dm|5s7RxEqqh@{KNQ52`W&KX`J+WgHEGFt_Wxq8i2@=?iIOD?2uRL37m|}?il~AhIp-)y&N(N^86*@?y%pFlUW9#^__b{q0X@WqzF?M5I>4{K&bc1-_Q1TbS`w`Ea?l zq{aNljWYRM@wt(ChEn#Na@@K{V?F(PmAK0MnN!0iB28VdwC#>m9_!E`?}&tQ+=e?p zUk(v`Sbp2@l@vZYdVup4zMC7i?1(AcbhhFQq0bqNLugn%H$VA7$~@z)x6^RMewlGi7PAmHkKl{;rHEn6^05AoFb{RF?*c~;wD0#ox!h}T zshiTe7RHZ63!3#x4W9-GuyPd&vgzin{c<$1l?VTbs8TJwStOpykj2S;DCkt^EH9Io z;;9wv;1(wcotR$#qL-sOC_caI2m5{G7$$4>IZ)O}j;IQEMY!}v8Nc37bwE}nI=gcQ zeBM|Eej$C~!F^;-KtnedmWQH8lO242lwNH4d6Wh7rX5_(|q>`_Opf?DYfqn+MK^ zkIZ47@)CIHj`W>MFMCa5Gcq;0AzJs%BT1=sIn}qA16=&-+VO$eZeI!oImXzkK14lP zCy&;POnACN9Dl9^CYhUN4EAf$^AcO-;N(0ytP~U6&E_~(<>rzBTgmxnSH%SSTG&kq zKG?IMx{|~3>-r?kK4NP`IuPQepEglQEBUF}=f=-id4AIj)c0FI?HW7mh{3rr_KjP_ zzM2VLSXTRJKewMWj%h{Pgg!BwE2sSWDvbDXM!$`?M17g3g; zx@n$g6Cdq{kTj_466{Z&`%#VZX$sXUj5JQeB9df}`XIGytmnMRKW9x-y;D*7QDShH z(ns)F=~5CrUf|q_p!ZwLmCvd%Uyop=_$m? zO;%I*)?~1Yr^IZ}<9yen<0;*wAngN40dO}|iUblaKix0-soBrDwt$2Sf9=;Hz+Ld- zJgvAre;&A;q6qdiX#vRF%YLVp^k)cW?oTtieagq5smZV z^;2`tz^>xe=+&&B-;Dx25w6jWr!R&>Lyv#GOp?=xe!Q|t@p!bQvD3Z%5-RPz73YBTwOh$c^H^dYaEbg4TL=X=O}kh z0ILWLoEL>YS6&^ukfKVtw_)W)FZqqRb81nT_VkX4rivB`#p>=Vg+VTr ztHyheM)j1SmCev3l54iY#0qKY(2c-r#?AX+M9P%oZ^_OWv&q*#I#$>(2bENnA0x;j z%fOLp+|2RE8+v7Vo>t`&hZI12BJTDIZszxkT_JWF`ZEG^{WXkl; z9Utk2uba^C7yXmtwYQ(&I5!{O(XinhO^8fQ1hLrd{is09re7+D=p@1OeE+$BCKvka zAGT5EPIuirWx?;^pWK`sU7Vbbj-`kCV6@)~-*nG*&^5HbEfIT0ytYT0+~@hlN`27E z)Whq0h+j-fpqn1ZO6|M3o(5kPB|G)d_wwRWA5r6OELQ7v11oSkmFEvkPZliegqD@g zDc+FnCoVtQV$3}pra0N3c8eNN;)k-ysQ1Huq0&+*76hALszf8N&fn*51$qwr#$h{K z&MJgT|DK(=m&KT&`lH|#j^o)tvDZ-nBhx`^U`$L%N>f#cl!cs5!_D`n%A&1N1&9Za zQNIkBQ%JUvh~>4tm6XI(g6GRgdYM%3t)|w4Iu;d9!$rsYi#uLXA^WNaJjG?4IK>6$ zQ}yTd=I8Gsd*jv8%_KtG6yL9t%N;*j^%J6*VA370^Otykm!6J2*{+vTDDpr6Ok1D z*{8Q(xzx`wEo#VksPs#ww+!n#ST5t5D>L&acFv5NKAnV#)>tt+)WlA~$&x2W#g^44 zc47*@U4ET<{ra`EoSYm8U8X}oK)}H<+s3VF9W_<#Gt;)j zTOVIqqifSoTt3(p+=;Y-l?&5sQkYk~94tViuUnROSPE=Pze(=y4bPg3ky*V>?|#?U&TR^cLk9&Y-N#~5Ae9Hk5FmvX^x@LytBmpdmF|i zmiuU+RpV{%tLF>$szJ}NBt%6;jZeNUfI*DC5il<5ySX&%&6qrP#|tN)V`IB!w3g&E za4c;(*%ZpyWxxb5gEq9SH)Hi-02??fTOEFy06XR^p6pKIEkha#cy5H^W7ZX;M{}gS zX9sk3&8qj%(o@f0oJ4)|Yl=XNW~d~+DUt1EaRX_8m-thQayz*QFhL!+D`Nlv55hMT zK^>Hc+0}BxDP0?mmMa%8^FSJ=_ygJi{WkQj^PbPTwZ~e2srayffe8|uQC^?B);ch% zNHIgmb9C7FVC)hb|KiOm>^P)bx%lQ6pZkq#BCS;j5zY>GaZ)NGP#WIt z->tp;9$mFoIaHg!9BOANH{ zvGh>%9}IsCxep*53oUe&6YG;FKknRdH5}SD;ju9dUX+csBl$gOw#QENy3*$Ax)U)Z z9<(BupFMl>RNrzaOu@eSa+eQzp1)NE*W~eOI&_T|;gPROL}6!q80#(*sM)cfHBLnN z4fI|g*1a?XuHLsjW#EJg0PL6ackOCGX@Q`bM_H~szw;ZU&2*({5&MyAo|;1aE9gn< za3Ir2g_GLa+c2*>WKRA7q&z;)U2bdXjNUV(QpVLAU3qHHjrh0-FA9@tOJ8!EauCs2 zay=6(GL^dcr1q_YM}Bdu(x@$K4rX(q{*&@AGyxSV$K`9$F%T^S19GX(?QDbs zT4xJwbb;2|Hl0!$(e(LUogvv68CBvF5}1zducq4e>f}mS4az!$9r?~`(+*X%9fEGQ z>yxoG^w9&9H7eAaTT3+qEC|ykE>_2eRA2s4(yN=sp&>7`%gzJ>5%boBPj0urMZ)NwODxB}%RGIU=--v!GJ%?q zOKNQ^yIwPqJsN@9OQ9_3Y}z^5-Sq(#pAzR6;2e8wcLGR!Q(&dI7wq|c!qLP8uqU*% zOn_)mE+Y)M%K|6>_nYDiz%%9_v*TjCF|-|c^U(V)lMM*t4WS=g<2K^*~9$-T9Ib{SR%A>_x@zw7-aTqL3shv&Zg_ zfS=inL6w!5_ZLI;eYQnP_l1!ouz=*WCpYKKc6TY=7K>x7MOA6SF>|gDVz1&UPm1 z>_>eT%*vJs)zx%beuc2jA`Pdi6h{}muTJ)C`D{9@Am?5%c2jyiGH&-&@!*a(`Am+E zmFtBf0y^JP7Pi5i9#!4HL2GUT5%ik_=we3S7RDuLu1}Hb6YzE1cSAcsU1dAl)P$XTzgVEF`ALw={rJna@T9?BZpY&G4M5p32pJ^peBNCg9{VZ*1DK254q z>v>x}i}*#2zQayM3IRgHpJop6gEy@vd4VDtJQj|=I>LyPTJP3Nhs|%;#CR0^#|M4k zxWm03Iej;WU26Az2z8P&B8n&JeYL5c8QlHz${m5PHeUb5BA9AAYZ&x&nLU>ZbuiUF z&KW7IZhNe)^grH~ryd=ca#Hw}Qzpph-? z_R^_gfM305BtqacwYp+o(cDgLD(=1lu*-a-UfSYBjW!?}Rgl()T~?&!PG0|vk* z0yDjWfmR4CY(x`;71lkOFCYux4R~yn+O&@-aCseOcGGxW79L5cW7#TLT5n?P6_=W7U0NHuZO|Z7&qO4dQuX-j(Xk~xJgS0tCp)~q1oVBerCrZYNc}V=U%T88 z^PchAAC971C!kg{StXm#u7hYz3sgP1^&Q`|*9)AP8*jzAhLNY^n@-bsb`Ip2Bht>8 zQ^u_OHiP)nauFRJNTSn{&B`ZFQHSf5!wG2$ zzMP$vkgReQTnNTpLSTC|&Z3$R;kWsVmE)nAA&nE_LVQ=9F|M+CYv`*3i><~c3G+Lh z_F2}nWY(+G^A=CuI$xn8r_rE}i$+Y!D;=)A^;qo!vgU{~n<*!iN;xFqWg>6-**djA zlddNZ>yCvbEP6yuY8GGhipv6OzVf?91m#7?Eo9_)7GKpW0zR8gYr#Bor-ReAw{&7B zyCG_?me-ry%8Jv?Oogmf7iq|r5y{3#E+mKbUUP#J!)bXXACk;k@Y~afmXaIcG4b4f z>0m+Bus`qIiLlpty1mwOkuQS7-G%B9^4IHAqdIv^5#$ru6OFPf{Q5mM@R5xTR6^Op zr)5Z!#N0ftNs}w(#Yz%++fu!c>z@B2vf0X&PscaE;KrO;fJgTxjk2%SrHcQ|L*zvQ zcN}`Q-cDWsN2~G>o^fV4TD*+?)Hvl;3I-q(#+)bajEMe4c6&+yecu|B`_eq-Z01et zE>s?K6wUr(;?1a-ex-v4gq<9zrp}sfz5u>tGiVIPobaqpteovAZ`~SvVn&5p&7CMX zW8UK2KRQy6Q@57X4qq@Tnt07Czc*cpp2z9T=3RdRndA0FeSG0I7^so7E|Om~`=F_M zfFi`3zjoV$^;I6D;`*plQDE!%Z?8QDrg-Kg4zuIWtW?ZcBd<-MDlK@4sHMjd1gOyP zwWT(@-_~+jJ}xymZx39T=r!>8=GUSMOLk(Dyqij!+H*5M4)2cJ?Egkd4oAUVsvT1H z&Z3zJ;}%6A+i`fr+1M^~zi}s;LKnR1tkA0s8fj+Ns|6ErUUm~dI{T*XmlLa7$e|c$ zdrU+`wKYOpN_gE)glq9;Wh5d?^xuW<({ogAq_YAU@7u~W$}N8Bb(QwL+DjBQb!{!5 z%C$4P1wu{0@(yc(yXe^;$mJ(AHpheCSJINX(;Bzwp%9neYCOM!WL`;RD{_kxy5rsYLqwQ}4M9=QG`EWLgZ&LaZl{$t@E!jt} zc+Vq-H9hdDOfCFsKnuk_o8pwOry`W{eCc~)S>leEs=*my-u8&P%T!CjpeLReAw5Ep z5<-V>A}ZDLT8HP-!e2dEnN{AlSH=AB4bTIMpUvePPdoK>l0p!_*p-0?8M;VcA zz23z?Njj*9Alo`uD|YI=*G{?fB0{cRT%*i4$N713Kg!Z;^3_xLph%(4^p^;r{o-xo zz8Z20PKCIDA(d@%IM|Gw;g=ykry!vDjB)O|@8+K1=BLq->GHSr^-GG7wrlYinDN30 z!t4D;j$$v4e!XG8MnNUfiI4R_L>vFi66a)1m76QJtT7?uz_jAFh%q6r=NI*1M*rrEhUKJaB;0*O#?Yo&uRLH6iRs(Q_!`D?omO$8}4 znXiVgR^)K#*^`f-+t%-9Tk*maP4|MSZKb>%Mc*jD9Cr=Wo@o8jjqr*#*E*YbtU4Ue zO>ZmRF{_D9!Oj|RBE6Q}#AcUhJp4(D(^q=r^&$#?MpqU~BWxEnE*!B_lJ)Tyh8#fJ ztrYZVTliF#w}`31GC)`)rQ=f$XIG3bC_ESvf$h4TA-K3#UZ1h`9imVAl713&oSemK zJI^u=u@IvX=haO?s#LeXRpu{N@CwcxIp#a$Ap3Ldo2~a!ySTo3L3)J(SeANGF{K>5 zG-dPWqXog(Hb2rBB z5K?lam8?mRE{~y8^g^t1!&6hiSDZX5H36uP1ldc0DNMbl!LbsZDPHy4{JNtVK~J0x zsvs-@y$>1mwyL`3wGG?0Jq-;{R|w4C&p%(RU96U`JEmcB;Rd=eg7d*JjRdj8g)z2P z&x9M8y=_@{WdZ47no}eG)khJ`pJMp_>ivFQZ!$f=H~&+xbvU}Wc%8x=n+FMp^P$YK zf}#+|N1Pu*buM+@ff9R=wm@26nBh-uzY4G?{6Cu(3h|j7H{7g_y6rpSm}>5egxW5F z#)uIeBy>Tgge)m;NbXBmToHq>5r73|&a0M@J!nULYH352?9SLXV2Z|?osS@93w7(B zR0(Tyn(n-Ue^IsTt!&zqZ6kwBZ3v*`U)f0Gx4!lHP-n^f%BKl~D{FEjFlFJ2CPycVvmad14YNy^ z^+fO%u>+K~K6x{r#abYFxPkhotS`1>Y*>)0C1;!2T*b5T7zRa(H=o>jk4`z;1U0rl zss$m>5a*pIuT0d_Y7y;~>@3hE`NXS(ud~^?qc{13DJ7%x5GpI_tiksoXN_miRUdua zve|T=R#{x7PGdm2mdsO#$Yp#6q}ll1&O)Jw8;20&S!$1Ts0uVDh2fZr!?`O{!gG`e zZZ02}eqq)hO~ppa7R8m}#7V>w!S-9dk7ERZa-Q@z?!?5^Z#n|CRywY}m;O4siM>e0 z4?17#hL5Jr%#4CO#MU>9jvFiNgQt|pQ$#0tcD*eqYr0DzyrHBbM(2@Hobl+`>}gXB zy+omnA}y3e$=kC9b6thEi~JX`4=Eic<~HAlU$Z=H5b)jkZmcnFnCe*KRS>BGUP2)d zd^D2bBpk+vd91H{cr#W%GxMJoJ#* z&H2Vgx6KiKPak2Ds4hxL<%YHQV2Y(-4dk5fwT4QoPiD8FjEu}RGWBL95ZN}xwq!H! zO~=}#rJ=%{Fld@&Yz~|&@;#_O6!s#UT=$yS5QD?@%bTx1gx6QQEdk7OH-zvuDQe%# zC7Gb9i1=P~s0m#sWwPU_NciqdgA@8hcb0C})!ZkfA4t1ML{T`MWIpM;V0^J|$IG^W z?d{HgE%_wn<(-Kr65;7E!(CqE>8!xPYf++M5AWus7k8gAv{H;0o}Fj+vKltII$E`z zQu#Q2X=syc>)c)BX?om4Pi$IJ&XeKUB~diB;3n7#n$jdj&et}%f>KSPQ*Ar;5z#{X zWK)M}6@zV!u2(%3Fo6JVF1y7Qlg{qq8iBWEU}E^fm2VUH==!U2d?zu2b<#SbvNHqU z)|4G|6-3x(*wDOPMD-QRCCF7*=h`~4&454NmI6}bkU}kj5b<2!=+^EWB6r(E-2@)t z8P%Dc)C7%tb#L!0WuH}ze5TJ~Zxbkk8+ zQV5X-YY36|JY+R3-3jkageK92=haAy9o^Q+9o?rLQe@R+h>UL9ABPBHLJyW1A9#Ck zYYb$AbmOB14kz1TG)$~HwJq7kvc^UP+UGucreC~pdWxo?$QQx7I8_#E48LA{g>lpp z-h8q_F0iY5Lh2lBAK*s%X7`1_Tb3&-f%jmA(2S)L6T=#7`@QH94I}yh%j+z_>9pze z?>#}{A{Om;@4nb)z>t#ym79aA1&M})4mEs*i6Jec=|LS|%LkWuN;Q4AW-79!NQqKf zag&ehWPgirOVUIbPPQBrojh1lDDsk#PhRQ{G6}%1*%7($J3#Tbu)B8~YuNT;wh$v7 zjJ`NXJKp5fU8wO~F?OOpL3z;vpVUk@#^_MXper|_7OpNVvzxETFwUp3DSLpZdG3?DZ={L-~9Agc!Kopym zHZVrFpyyL=o~X5`pO~3)hI4hOY8KuQ9L1Q1OZBBM0ZAk&J+2oPa2D_TodjT~7>Pkk zInbIr6|eo&^=6T)8zbjZh1=OMv!(}??!pw1d$=Ht^Hc|D1P{FHZ}4FO(UG#dLo9pm zHHFPK>lAx&Ga3>u@DtLg>bBW?MWlP^WBPuT9dG?!lorvx$H^`SBmUzu%pm;tUc9($ z?CXE-Ja&KnCE*?D%{?VD&m!HFQ}eqV4XVt2Lp(#CeM@f~+GmC#EdU$Gl$|SLVK>!mBVr%FW=63^%v|TWyS<1R8Z&h>^mrW zJD$n$8Kk~mo3!;%*P9eCGObkB5L^X2H4`08X~@e2uT+CrX_lhi`V$J)hhRpLn$hsLAR6jwTIsE;d% zh#q+g&Svi-e4GF=!fR;2NQo{Uxxi-3sAf1Nr}Myd$tCg07Nec5!AzlPZv_?e&Budl zWkMqNr`rU!ygaC@7a}c>UhCUWSldkP(sqk(rc_&@q&}|8g{lq)oMcqTG$iyDiEvr( zk91iM#t)@(n)XEoPzb!;&Y()IDgW1rT|M2D;wma8rpj_6SJTkDhR8Rm(#F-*6)?1x zbf>=j39Riq9M*ut^52;w`FZZPuY7*D@X`nSXVVts2GZ{?D_x-?4Ju#sj&oBnL2+IJ~P?lfOsVtUH2sn%}6 zjEW@XsWUvsE6bd(F2~NZ=e#i1Q}ILKSFu2AcLl%#GebjYFgctgMuq>w5cqh@3yMZ9}>HPzH1 z2P$80g|7omQ7A8VUF{TBbFep6P9hFoISG6BQ1_ibRT9r>JjMj>ks-HB_bVqfqTdod z&UgBwvipDg#-iVNJyBz=$!gfRwo>WbUC5nLqJ6QRU|2X6=aV^d;JuCa@b<@e_wAm? zZ>b;W%ipD^X16->kAnZf!QEPz9)%e9E&>MVKVH~=k>xpIp}$aiYMb`^Fz>QG11#zZ zJFuT3xAyvJd?gV7p`>PX=g#B&J9lzak`fXU5)(-%DebJS2NxfAq$f*@;Q7d5UrMX8Zg5M@BvdYBb=G zGR}xQLCR8kqG>`nr(wUCG{R6oGQ#0f^WW_cALK#h4F`!q%6`6Iq@F#_r)?K}U-xYzBg4YK90$}~Wttevr8+QM>;6o)~zGTv0N3Z{d zf7zh&35EhDhE>8pw354rUfWfox1XkLsKmPr5ATkO|3d%+Z%Kaw2)egb^2NVqAkN1wKzD6{;%j?d`wMfenQV;{II%pp^u56nH4iZ4Nwt(M6Na-vfN!#aL8Q z@*NvLe3-eQc5fDYE_B97lh~X=N=k}>!QL?ASd3Ie+s7={P^tQBTcJ*|bQms9=m!me z-p}^58!Au5!>g1F{)nydxxI?;4PTIQBqeMYfmmB3dm4R?$U}WnJoz@dIjrNeI?C1` zsQ!fg*2@~0_a_cgH-$d-H@o&>ZVmMN)8<1|Hhfo~@$H9?{0oI91W>ytb#o~xDRtJ6 z+#4v7w`b{$$4{kfZu%ObmdwG-ZcE?7mjkY4los6HvfDI)kyH*9QZ!6;SyCrGH0l+1 zF|6d;V`&2zk?rx%76j_7p!E*$X)}4UIx2n_iBD!1gd;UCh0ga2sdx00=oZ0Tsn@Y2fd53lqJtmp3WRDWDWB$|s zpnfrNtTO)>IX*Qiv$c{fh}pq3p;Jln$c$Is0O%oI05jA0!t8AK1XGI~5W#f-klk}N zExS~iAJQRs5iv5e0U)Q7zCc+1C! zNt>%d`B{?k8BwyTM$|L%)pcUO?SnY2eHf5+mjHcqr?fdXgM>VZOE6gHD*y>wphmLp zmCnB=GLxz=4}oyMXn7Z(K;Ux?@3&7n5%L`DXJefDBwr8%x}H^stq^K!fWv?-Yv1bW zU&Pm`t95Y3v1P?^y`jPKMQ#`~tN$iRtws#VN@?41mBmt#wQtBCi$Li0tGD7M0|$ul z)(LjDmak*|s`PGNMbKkZK%P}TKIiH&7J=@5tcZ-fW~jMNt7L^%$Z7{JXTh%YRekufqoLu>*TAyFf0_F4W1B~jQhCpw17tE z_ezR2g~#xHD(j)r1BA#E#Mp7~Aay{?+SSG}yzS6mL-VxzpQG-p)Y$>V=PK{y)(MYg z#K#7OJn5u~g~Lqq#vdGKy)fDUg$kGHOk;Ryg$yt6(DpczoaY|R-kJO`e;C#X?-syE z3T?F|LYT0MHMqPNNtu;Ont%0B2)Z%s=C*jKSvd79_?LJF&gH0Yz(rp*f5~+o-82P# zsWhix2-h%5vnu=^LUoPb2c4p|yag61a=e*q4R7yGa75Jr*gv`}e}LLK4b zFnqz5T0 zY%%R-Z)7}+B1V<@Xug9$f3{ji`GddN^vQW;a8PSbQ}=w~uDBbhosx-XK#8;ERp79P zVvWnuCnqPsuh>*?y#lq(%e8h9mLk&fDHr`QVQ^!-m?wZgN^auBE~Y_wU8EgxKn=bo zm(p78l?gq><-`m%@QtzM`4CzbqV@ATIV5WqLM*PGxaW}HGW)Pi?DSP=B@4jj)mVg{ zx32rU%o7S@zhrf`7woE1%NseawSqbiM>SFI*#X_-C|Na&b!$+2RzDRI1Ph#?>I~NK zM>&Yc<;~ZBmiD!vcRM1Cml7rD72*5aqE9DIp~X{2AjQ7yA>;dW-Kl)YxqqQ1e%v}O z&`Wc-D#A=5X)^Cdw`|wNggEN~Q1Q9j54dx0d!oqp2)zE$Wdx`3MVFfT2^&}f#oDJy zzFiq5eCX{l4_tEGN>X9x?>?mb&RbVZZsu=>9ndOu8$6;pN!AQu7c_!r$A!iriswy8 zeSVKwpH4wnADW05lJx>uQ`<@Rx|Rm=N7vZ4oh_v~pDoiB@v8Wd2mZ~@SLzUD(DlHo zs;pFKx|_c$?R{mY3 zEZ=mw_V=^_r>6lyX-kHN$9**R_@i}O6`}fUrc55XUT))W19w(PmjL?JIS552k$jeD zKp{BBenH3^tMNNPKZk)@{X5!d1l`3pbB4#klbfgi4yk2Yw*bM99X&op+#&XU4v zqo);S3f;vNvTH0sCdLe}Rh7b!OF!m_^szb!WpC^N#r{1Et9O5frIhwk5{l-z=%9}BRgjQ& zyK06yw$XUj+IHtYq9}o+!|Y8Rx@Mn+c_>aUUFS^PPrdlnJRt~k`s570qfv5m62nwQ zo)|=JbV~eJ(X8?iO12jyy6Y(lcmoC*}>j_jw7mZw_P09o64qiU8slZ4@H;5 zNHx-Qs(0pps7ehQJK5dWXkDinH8E__)TKD#5bROaJs<9y*5BAG^x9<hB8qU%)D4fG;2G7Nt%mrPj3 z(sk!B%C9F%s~hMTbQF9KY|v6uHqg;iQ_D9D)}p(q6>!@_T&-^|G@YgLc~0*)V(|E@ zJR=^Q#Fqli1y}y&XjqA(3lLIYul#!bm4MEZ=yR=_Mo&=z6Wi;LQrgT#R$@g_3Go{F zlwhlW&<`K`y>s~^heXH3;BO~%_9~_dR=bUk*y;jq%bSTsKL&+#K}W5&4gh)Xu7kib z*OV}}ob4QZSMrLPIWmr%4y@e9KclhAMr&U&ICUSB(!g3CO zqus1JBgU~FCce5815^YLoaPArg-ZvP^IU5A=ex{W;DM7w(m`uqZtohU<*018{Mudx zbh>cov5Q{7zWr$<5}|dE0q-t1a6MHfl*#V|{=}`#s`ahBcOU=rMd}ZVVF1HA{cr5u z?qc@OQvieT3-)~qcg9=aH~)u>6eN@5Q7FvE==V7mc=m^j3Eu#xTk9T%-@E!ofumuo zLkS{l%F3D!Kl=0M_rR!sSo13_nYgu|zB_>gNFy>bGZ&ZOaUKFJ#7F|*HUNlbn3$LX z0s={Ll5_JJbmoNl!NI`*-abRU{gNNBjNbC{|4bXye9%J>cWf8;uh?PMf`xvdW&a1#HR+C6~omGx&&zMW_1H%CGyYtVf%ph83Xhg2MHo zBu;?oYS8S?e$~=!Gt1!+u@2TXA=D8@Upq-2f(e6aL&L6ZFRDg`O$-St zUQ8(n$i_1p8*Z-;CO~8w`J6W7GK9Sk*ZP_39bgY=dpJYkbTzl$@0cpPjH=NGVhQx} z$>m>WkOAvJLKQw;;<+BD>2rP13t(p9-zXufysbccA)rOw4GQV&yCY;chKEqflS|?- z>A{)cH=7o^IUHm&d<;nArza3YbHnY+6SxTMCITAfZB?x6asl}9Dl3UOXnW;CiNB&q z$!=Zk56l!cqPIZlw1Gi-0NslhMnKzi8^^wMAx_xj3St~9smh1dw z5dEvi11N%%O<-*SD8#uZ@^78DBIDxXEGdo()r)4F1c7((Jlpd|%&-F==JZ_eG|nt0 z-0G~Hnmyh4JzIx9aNxb|V-$zOt&-?(Xg^%n%q@c~klTNCmfFo^QNH;kx1Wv&ul=g?QCA}5NGHY3fVqLyK05D4 z4mXGMzNm>nJg)|$l{-zJvSEE#4LtQugEz1ZyE&h=$%0OSTJ-r!bXdQPZ9IA<-WLv6 z%bebxyb>mv@$8y*WTp7Z@P{+R3czD;Ln(?R$vo^Gi3c3Kg zu5PmH4~LUECq)jV$o^0&oas57Up}DF2{-g9^&f7@*HM%Crc>m(>^q6bm}dRv5#;dc za_kGtRScx|=SO3wRpHHg9ljLb?h3WXxFf7|#g-;tK$%$?y z#0robSpQz&(J*6n^!0e2dgK~$!H0;Ck|F{SMUj)vz_f8o0!m{3TgK40uFqYM|G4rW zW7as+N#^PzM~K=yKJGZvF_q}D3T+raceiG8bo2g=4%4_dy~~$zGxqTnPpCDYW#>sR z-Uu~2Wk?P^6R;T!0^Fznb~6A7r?deUK-K|3=+H5--^F9KTJm&kT(Klh58U9QsIRTJ znk<>>vx)GvU#PMTIrR%)#O0nBR;ivIxQ-FGQ&%rU0p{`mm?hSKG?Z~9>r1Um+lFN| z&q17CGvzDy8f$LaGQ?9UYr1*spij)2_TFzf7gDJ5d)KcM)x}Z013(#q z>Okd$J^(eo4XX;E>ofr;oGwuo`5=Ip38@U54HlA8cy2Oy5X0bGu|4_mS~HiFa zdIzF`48IB&dephwo=Ts#nY)}sqDc4prjX-5`G#C{0Tbb`cYC~1`$5F~X^?bFT$V+} zc({xc<;TNC5l6e(4}%w;NsUS$F(NpNct0KNP5ufjq!D^8T{>>Myi4m#$8=*O@Si;S zDV?OmTrp)yJi?7z5I@_|y=3T=WG26ZvaI=hi8twt%7_!RF|SP}PbvIU+!M}php$Hl zJYH+^z=a(-e%2{XHIya*KK?FxPvo3Ky=3rMUuxCZSW-J&0 zcKtt?Y_HhWz!kba*K^$b00~Cshz+TGRwN!;`exvK_Rs7~rmmF@10I}d|+-hrT}#S;5wpcD7G0F947uuuQ}TEn1| z)apVhid@-rALhswvdC(dTp;$pct?a*ReX*75C7zYmBi--89;^6-@gzyZI3EmlX45s zAFNmcKw-NLLFog|I4iK%|3NcNZX`Z$NdG^N{`W+;|FYZue>LDSe|qkI^evlStxGel zs;aUEDi~Qdo>Bwgu7{D@g#Rvq{th6ye?LtN1or-uK}PoAkPs$D##pT#9so>sy0-w( z5m;S;uY1$u_iyP^e_|C9;E}$~Hv|PKD=D@2^l9z9y~E2}2Qlz0A!^$NQuHBjGE%L2 zLP>{2g&Gv}p;$oFXZXKKUjN1ITLAFA{u~M1DF4Jhwu&w#qkD6 zz~@Dd|8IRSIKZ@QJ^B;CeYEK$|Anjr4=`|LHqKxqb^(Qsp_=@_%lg6qsM~+#iT%I6 zMI;F*0y<6^0Z^(^cx->k;kZ@+D6%77%71Ui0*(>hn}971V6SzMtI#}6`OlVRzzoc_ zy7y0}{I6rr|9SA=9De|d-TyyBT?54ILM{d_=2Eqs^#{8;>e^oJ7PWHyB?Z!%Q+-*T zepFB6AwLhRreEJ!b_{vD(R^#N22yO87gv|(?w(iYmy+FGeO$j7xtRxLDg6T^;|r~{ zP@{$GHi>UsASYuY(Ce9#|KzyzJC;N{y{&n|-Cr~QE4en)5lWI9?3p0TUzbb&rEnhF z)4UxZ0c$#|{p&8Ax&U_`@Yrxm15L(@`9CG_17 zBf@r}xW|J<(hCfe(kTnos6%l|#r%UUdf>{y0Vp|tb!D)W3AHIhg{4FsjBLo_pdDDq zP>9Rr@h_)@5R0c+4JxQ&rx)pojaDWr$#?YI1j&`ui?0h@tDbm$VcRQN-$H)F=g|v-rV&B&8NASSC9Y;z4 zYhcy>5W4wG69%->unOG)GXbguTSxLPevmS!LX8qOK{nBg=@<8DC2?Q;7*GW)N`OGQ z!!}$6G#WW5UQ4$V`G5l>X_f(fEYDg9|CXjBr*$wp8HIY_Y^_};kAAe*|IDVPkoNNG ztYpq@Yql+0JB2-DLe_;p3EJ*kExeposF*c0U-d~2HI2k2nH&Y3hZb};;ifmsk4!UN zOSVq?^z6i`O5&5wLk~+XhcyvRC5pX7@;iHFJ$0Utp@G8Q6kDi|!_auWPW6*x5z6)iU7&LCtx$AC+i_J)|J6B`xG9(b#-A!so6|rkNJ=3h1 zaT?Zmh8`9e*5c&8{)O2oz+J}$w_L1IEz_2k(O;Xg*KK2`mb4cRo}R^djykB5-IUv# zu7jnVG}(xF!j2(F9vpZqixwgt>RMkG2Q1bs1>$F_;ZV!5e~#i$hNn|qc|Q<-2+eCc z#;EyUcs?q5?4W~Jgu&dABu~|-QV0oP68`%~!VmYdwX;_56xCTFq0OCIZys&UW#128U&)O#XpE-bK^nV9r94gFR2b3?O0 zuVcmE^;OmyD4u)XDvnIC>!cM5ol8X!I4a{fhWKd*Z1KF!Rh1}E@Rc&-+9HEyvr1W; zDnB%f{|ePbq%k4(TdP?5NWgx!6+Jvm|}so zZORoDzU|%AoR}fy!lzQcN8@(#^CGxr85-V|zG|rjUoN4Sd~-`hbi3YuJjb(we(F&m z){v!^ZE~AnHSD0z&qo?UCx5{Ez7y24(e^m$S4NVIek3UqIlAJ-O|H4Q2$oGQJ~SpP1OauI**5kWh5Kf z2K!lRHsRKgkT-M6RaGC|x6m0~SKYLpv7qoWG3Wi&)s zCI&x+6{_@N{T2AQVV%W8k!z9v7lqY~x&r8_xAm0C^}tb&{CyvWwRi-uZB`*spla)XB8z9FCV*XFz#oK5 z`{4dm?*=fE%<(#gkAjrpAEF|G{K6lpLF`{r0~ej7=y{UsaIbrX_CxiM!ghO`Bc5Kr zVs9l@tihLfvhU6kHE{iL(0PCtP<`^8?XK``;@R}GgSWl;I1SeLvl z*vH-7rw4RGjpTsSc( z>bTQzsf{TIa^5|CebzJXsk>AbO>)_2CDDNH#+4^?y$~wYVmRX(>M{azn5VQgT&qR0 zlQ*euhQ@wdgSN6=2vX6M4VT7$MhugUau%bD-ggk8=$o)Z*PBcI%Whb_Utszq9h3RMt}?O+suX+0Nr^`LD-c zz)3MfT^t2ZUA8740n@BZaD_6GMC>J(=?5IL(ujVnpaYUu7)3AGA7%;*)6w?88YWM5 z02(lTu$KoQ-ThJU9{o$f%k3H~81%WUzarm#knVh>C?kV)R!2Twvi|wo)p`JfS|wDv z(OJI}sLZ%hxQXBX{t4}w{zI7$NTB>6zHD7Q&~q1#A@RGJy@_N?`TPTAa`ZF6R%!t^ zV$dUU&3pSDYuA*Il0WG&l6zGM0Jldrt(5lZ%4sAcjY-k8N5G6jN zIvt&|8c>bbuG_llxZGch9qQ_n6=C*;E!lftotuN2tFG&v9335PY(mjtAtA;5+Bj*H z>iKSXbBB{t;`}(e)xyleLQK3zK9Q*Y?1V~ge)TV-ThmB9L#G$?d^9xN>x)!vy^PMv zkXC#2DQzbwd+;EX8stL-pyr`OfR{7?#Arn8fn=sGvbW#wnKw+X@fTgEQgr2`?%W4d3kv`Ii@Qq!iu`@K=~K8 z!2ec;%)WFf{UJ!>n)6`O29N=V39Gl(wnQI*+qG#1_yZ1iKKCp3uV23c^&pM5y^tn1 zJN+8x1E9qu5u1LE=_aJ9wkogg!Gi~8Kk0Xz`+EemD!bh!WyJKv92fb>lKAyMT3F<> zvi8@1FgLt@LRBI=xC-6ql92M3d~dep#CvSNkPmh2l;?GZ&hdZN8sLsl;>0liP3Ei zb{aaxtl?DdIx1dXpjNtmc}0%r`q83mUE9t{5B}i`s%ZRKEkzOF{sA8nNzx4T^m>c+ z8)zr+w2TBVi28SC(Vs>FGW`Gp<#87EADn+!@1MqbudD&R>|aCol7_~2!1vm#e)+(u zLv`qpVDkv;&MP67f_ByNGRBjO%u`P)RuPTKw3L*EejS zgoJ=7-6`D-3QC6v(xG&NbfY35-OYfsS!A^L_7oKlgRN5B&4} z^Bu<=I*#Yw=h}O(z4qEGehYzV&gchNAGp?mTv&n1w;Vumg0H53N+c|mAOv5xCg3%| zFvpNVC;Sk;<@jE@11`K;aA60l%_T{kRIf~iMmf8qC2r_Osz{;FA*|UC%<*hRrYNt;J^7i0B&hj(LJ$R*4xm++NytTBrJ8Sruk$p6m!pRKCb}gxqiuYDJk`B zn#PS{)jr+@LNp+NeO2-$kn|qDh}QyO^bcuxd1j;!#DWYU#TEPQy_7R}Sf(ymdbJi^Y?&TIuH7*+; z?bnmDy8tC7ZJ%TUzBdM4c48dy%$I72!UHjpKnba*A6trH9|2+$dSQ7J8NtTj(;ks1OHw>bTRHyBA%sxT9XMee*soRP{6I9_(my z4;3yUZ%>!+@Ew#*Q*2nmNT6jove!4zk=oF+;-hZ8EW@r9f&nVtqD1852|08ebL9n^}!r*&)T%s(_uemM2MZ6O3Vrd zb`IRjhl11mRZiEItHGzk*+=VxM%y-XmZu~Hds{ift1}}pQlw9;PE!a02%f-xBJ};R66b%MCV4p?tu?;cWC<)glvdqmS5|72dA~_?-`T)grcG zOK#52<19Pk^)t@;J!?^r>z$t1tD!mn4u@2GIK1uk(z(ONgxB6o;Shs1r=gxm=}&Ke zg&iGjN$(25&-03u%;p`70YxA|?+KfcW@8mzhMkqmTq@11?r31itIISW2Jb-K!;+B>~Bx~MBW z`#jpsVzKfN>f5Mn(W~d$pp>e>xmGY&}XPhS3nu-6~;`U$PpWgtQ5Lf6_zBR(pdY{X8N8!IT8B;qu7tHUMB-Hy^W#uutN#e-~)1aUuvD&h%#<%d$-Gxl2 zyJZ+q@MC-TGOA@Y?S5uxUlR{w1)t=A^@w11LEy30dC9GfVbBSJ@|mRgbR-jfZABcPV(_T{SSfQlc)Iw`Z6#?CBa>=H21D!&=jdGj5?)xDIY1FmKIN z#lD79lamB_1-O;9$%aoTOTPB%tkZ0@^C6p%$dRee70LAOCGNHMOpWx~fa_bg<=oZP zu$SLbF{a}_^UhAvxb_pGg%x97mqqEe|m9To8Jc#3_|8zcA1q)xBkip;9UZ;wmS3%4dm&fWWqWY#C z1;YTHf7o*on1+9&99&v3QMcVZF;rrxy87%7+0j` z{DEgmXSFITGqro(bRuxh=~NB^0>?B{6uKKeO00LmLg8d=0oWJkajG#)#)b3M0nCyZ zVe-?*B$zTiLVUw5lQ7OJ-<({E6wE9T79LO=boum>kPn{P(Vu(bWxkb%X*Fg&UCqww zwv^30f8``1oq8huDCvWR;z33)BT_(wL$;$)j_`O!FcGoNOkv)6xy#b$H6*OkiUjY8 zu+s#79VqcR7$sPtzq9|+KG%I#fofuCQ4XCOqtoO=!vt`n*kuGnnw47cabeQYW&&IsTkmM-nj=vvmD{gZ95)WF{Kg#q=*?P}?{W_U8!H zKT6aigc1dey{gvU&1cN2#({*xeEqjbk(GstP3=5m`)@R_L@Rh$>@#%8x6TWruJGgM zny$gbm#1H^Ve6y$C0U~*B(A)0q5Z5=#(p}S!+};5{>rIrr>OjgA>pUzfl9Hl+|@9W z>&awA(aqH<_mf{zPTLa3%Q-t3%v-#K{8pQp5oBH?qjh!+HDvb_=v>x;liz33`)c&W zyG0@de`qwr-Tj&S^s2B|HOc!KdR|6j*LmYH43H=(?^2YaWKD$?r+v@ISP=HEaAt{P zq2IPYfFhl3Gk-0A9k^0t=UjRH{_{c#egGX&okiK9%+E_LpSdNIdyGi8&I^{E`A`-ht(enkDPC|7AajPIPzSG|3@#5>HZU#h5#%>1;U zoX@AdC@z{efLiLunFNIXV*r=D|G;bCTRZ#u+hdkg6H>K8Cm8OJGxqHZN09AI4D%aX5;4bDNwr zHu*dZ(=DFlq-DOobM!F+`I(%-0A}(**Gs)sMmt4I-I9y^c?`YyNH3d}oFEpzr>UEKOuiH;%PY_R#Q1L~6o~FM~>@qr7kq)LSeU zkiwx6OqGc!DtB{=qb*?}b#k|wcR%T;ODGHkx1yLv%hP~03IRBNgn7w_`{>UDb1%=&0Ui z6>=&&K)^aRMCnl}CN0qNs-*Fv2V2WJSJg%zQ>5$G+&Q^;viNF*%B^NVddN-$_Eg-* zX(mDZH)or|cL5QnTYdvcd@7J1`o^calW+01cKEPc$L5_`m@D$8_N1f~*vA*!CWN?` zopVYtumBK^^RFq>n)@+oy_%t;D;rPtM@7{z-jn&goUU!9ORenxxjHv!xfmMcm)zSr zkF_J<%vz$J5TWKg8Dr!192KX93j|<&5r+C^z0iLm(P;=4*N;bE!Sw;~?fX5?!LgZ8Nwy8S#xWRX=%XB#uTw+>8PRB6i#&6ch|1b88br zQ6dzUsHss=5z)!Xuh-d*Ha>XsaSwEfYi)+q1x)Tk(@!57zo(q=P+|)N}g?q=IprQfi5){p7wH1LbU6 zVA#3g_+g*K_5j@XyCag4fTuJuC^yx&m5p0znE*&a0*u33NC@LFVCrB2lf9|jKFMq- z80L)BzwrF>>!j;J0xG0P=cmV{T3jM7t^=#KN!1A@C(YQdDtXN!_2N}XkFMJ8YY~ro z;;NDJ6^y#NYWHwStIw$TX}=1)Z;#Ek?kV^6l2WjL8L)QBGoCJUo~FHwGe$vPg>B18 zN*-g%Yd^H9eY5AVdMP+QX~b54oQ{^le$guzT)Wz~T9ujzB5@iQrJb~WCzr=^5vu1> zBqBH%M=}yp{PhcTrkvd7IzGApy8MIDpLeuK_v+B9!F~2fwy!%R2&I-R;!SumuIsh+ zC))^F?GsOMk1nsL52ekvy_=N2;e#0-;ut+cxu$yG>(&+OTUdr1c$ZajCf1X9iVaJsMg+`M8}nd8%|swz{VK%L;uIz$I6Zo|B(c+CcoI zN>1~1ECvKrWg{mY%m@2OmqWO+H6f_3T5JQK^}Vov?h#htTv<|VKGE0@#1=Mw#$X~O zJL^fnZ!+N-1CO~}m)yrxV=KFJ15I+eHV z`hDZmC8jtyQ*lMXo9V9ll(HWG`)3pHc5Qif<${yB<~69M)ME=Le<*<<=2J}P`uo)fwIZxBnj9KQR)WF7^!*c4rw1|;%lOArg%aRUh3?L7 z8NuowdZXF*cXKv5TfFR_U&DVmBI8WLhysSRvYk$L=Sf1pcK*5845TmYuV+5kskAbI z^0Hjr7hY56fdW8Q>tn4`^z6T)e7q;U={fjyQj;7lu4fV}t!zqhWgb5Zvx zg3hKJ;1V@K(B){rWSOC*>4?ActgHWSSCyk_K#csBa+;qsn&-{6!LhtnHYTt#rDy$> z-Bx4w(eW!A}JWVF6V4u7&ye zV^pXkqmAIR9`jXwQabj~-W`>iVJ@$kh&afks;Rw*d@7z>^~VsI;RX>;s@;(JqqCo8 z6@+D2GySrR%UCy8*nva0{ehF+-e^CM(ToX^g4f<5S8_m8k?*(q+P*XyWbhXvDK`5( z=^(!cI0k?0I@0|RoDVrXeEc52L4^(HJ(NMbx>kebW>M{@VYJ)lWMOhwrvSQ4HPdf8 z_e|eZQ}_=e_f=59(C={+CmgBH$7_lAW0SufT#b$OZqV24zOMGJxfg)#Wi6|dKjqoM zI#cS|k>aSlIl*1^1blqDU{80RW;uQ#xjI{4VR^txH_4Ohlyyx|?@F`#@#7)6f2DYf z2D4N!^b@X}zNN55ZJh5*{qj@lYZbsa;Htp52EPv}5$3_L9{wnG^|~gE)Qd|V_my?z<43_jDSYs0#@h9 zs5-e7=j@CQ7aJpi1ja_42(w=eA2__NZ$%OQIX86Wqm^)W@@RT8M*W8eK-iD&wB?mzM@v|Ja^~lp1!~mG5RxUvQD>rKrHo1g2v8c8 zT_#u-x*Uvgsl~HV-(8G7jABxs_pWBl*Tu7u^{}9TP;Y^EDfp}p-Vke{xB}EM>`4cw zb-Qa%@a`DEVkvL+gVvM!Ad4JC94vM)ZH$2tn*n5WPE z+klQmRhY4mA&tF7t8sz6OQCAY0g8R51>|2`&4*x2h+9Z@)JY&XLW)+KTd?T#U7 z=;x%Sq-;HIex{RHd#2by)pSuBe9h?`OL6QrcS+`2QN+?w8yubI>Iz?D0IBEIR-20sGk6i@V$+*E5wMR3MJ2 zhh7vz)f4B=te!O={6*tPPIq^QL~nkjvryJYx&n%xD#VQI@^XUJ=L$kwI!|jT51-C@ zHL&C!Dwu$44_Oy?k_iW!;8ylQ0+XKRwQ|soW}1zkIWY>w9q{#IV4ntO;@T{UgqYl+>$cpD4=GUr`y94iCn|i@|$RXpb;40}XkDP__*;+^5E&+9;k*5;7_- zOy`7HOFkF|`ub&PerE;I>w~|)H~wC)@~=;!Vx|z_qo)^&&O$! zR~G2iJDg^33x9T`4$rh^FV}AA&mP@@Ot?QjtUupt3Ih7X;^p_6dog=Sb(d0a<@|!v891I`) z_Tz##GU_QFAr3xayER(m(`P;PldZPVu3^hnC~%4Nw-byB>=7jiSaXuGEJD=uY-~c$ zOWsd6d*9qWJ@%uO-oq6HN<3%;q~>XLZNg}%ER<1Piqv^$h99um`5S>8_n7OkC7` z6@WyR8=pQ)A0KVIn_ww!oGOj8fMx-B7YNL>Ntlfka$4poverEyDCw~AE6c)!7xFU6 zs~Y~hJlMot+qVx0SGe)JGKw8}bm^#p9Gx3C^|1{IU2g`ie>b=N(OZH-#!+u&HYC?h zr>bTHf)H+c*`i=a(=u6d6uVVZl(_Y8EWyCV{HD=NQo+q*lP;ieAOp*OwfBQJIB{>d z{}%w`!pzJpAD>H(h9iF0kmmsqqSS8*M5uB909-M)#$|uSlcf2TjRC=IK-2|1!5@fg zIWvmEM@2>L>goz`5t;{5!X|2*S*agd0I6==h@`OpQS2L#>4r^4Mi$g@DE~=1m`XCV z_Udd4Fy=4Gq2o4t*r|YZ>r@LhVarx25H$++=u3&eqD9lZKATSj2n71OPau%)w;cKyln+m^UZk-L32E6%JXnA7s(J&g3`+9T! zz+q`9Nn*IwHu`(Sx1l)WYmW`o80}V@L9XPfq{QuZFa5#+QBA^^+e{R?azR^!(rvb{ z-jjfc*x2mhjle3E{_gI;u&{xyE`~)o%`6k|GQ7e9B5p5REeZ!vA8u%Xz7&%RJ}NH< z@LC4^IhD_V*(3y`KeiuUR zuMtHfZzyxmPUG-5Ha0BAind37+9Rut<1wl|HFDMd(JB?jxAJBcw%S7LC2|ryM&d(@p7~>u_4oF2v3#0* zM-5GFlG7``&Q?fzN06?!#}%+}ROkJLo$Yrk+LoR5_(9Gn@;o0$n#lmEun2Lr zhq%g>+0&oZ--8ZC$R^56j_a?_mrpL^Ye|4PICHNN@jkxCX25gyKFF;)-?5&)p= zLuR9*a)Y@o#_%y73L;YpQ7)D+E;Q7>0Z8*8XB#cHa5{L4wdUqCPN3LY<>^o&U)X*5 zuwT7oF~~`N(}D2(UHXDR)Yq7d8b>lgvu(c>Tnk~5>Qxw*!1b{c_i=}CnDq_zIb*MLXIEIJe3r)m+e*!|zsN@7#DZy4j{hiX?@ zALeQB;Pr$|dp7aCJQ@||*{z>EYw_}QBO>)YbIR4uKKrG&yJ^pfX_LG?mD`6L8fqoT z#iR!>gqbLlvj-k)xsHt8Vv!jGnqq%KWmP1@2n>Xf4Gy9i+Juo?s@|}CX?@QNcR1w_ z*1<_{So-5ZA{!o@avGq4EyjOdq z&Ixav;p604@{j!OE!9NV#`C6TA?}1(#-)=)Y{xB39#hOZk&}_^O(R_$e@=E%w&$)u zpe+%>jRI}Y_@nVHrHKijsvhl9o^Ih(+Xi5N?5&rpv$>p8d@K z2c5+02ejV8m_o|N#TGI~fJ$H(%EjG|H2}!JA5!uyJ z^}L=CPE*{izdXYrF5Amg3|{DwKH}GHu*4rMI}YFHEIOUhth4P_n&)zY49t%)X;-cC zE{!KXv6FK-Rl0?r6}XfOnG5Sc*MLk0!N6I62PnPj6L%A6I0EDS#t!d?4$Yjm(%zQ{ zSQgj;{<^Wkf*$|XMCvxAwsUGX8vtP;Hw{4u$7e$wxSeigQuVb12>rkLRpC8O3Sz(A zoYVnZ)Ue!t+2H@9c9zDl&Z4=KCH; zpM@m{Zed~pV*bK}=F2Y_!HF6wVC(&&NekHYk&uUI?TN*Cun|aGTY!1sq$i~W;!QS~ zE1e}{qoJu@3t!c$%ig};;%QdkcOJMtT54;&Fez2;ciFjYq3h=pI_rCHah~GL>D#B5 ze)GhS3RRl++-$sU9v!s74SmX8y~|9il>@8njX=-pSM6wFt9`<%-Crn$OnE~~;hma`R#EcOMtUJl zeZyH;d6Chj&#{3*N~cuq*4Fltvt(R?K{0AV?p=uDv6W{1p~?IV92OQD0uwzg1dX0A z@my?pu6dbPiU?ECGReh3%v23uywOe+5#*lgn4V_kd%i1eOo1uPyll2zHVs-s0Vl)}vqQwCZ?jz6XG;G(m z-I{^|nhiRTg;mkrWvi&GrGteE5@rz5DELYtD{R#}$vG}aQWo7)X0P$-yDi70Z7Q>e zbs~%Xiex-sy_`g=ZMUam+ip4-eS;ltSjVN9JZOk_jl66a|UpCx5w+<~2BYqR+k879HkR9*JFyu4rZIm3l>ifs z^ctN^Eklz>s@$ojRiX9JFu)>m6dW8a=v_6Ta$(t^XD1^QDsnvD=7UBpvDdh1G;gzc zHVpgT`qc$oH#|pg1iLI$uM;`$j3aAM8$HEJ{oR_$H{XLy*l-*xd&~`W%5bhv)gGE! zdG+2-#$%?hhBrf*%-&9_>2BWjEus(eah5#F(5|2BWK}!9D^t6I_Y*6UEu9Ik%qevc zj;>v~z>2O(;8o6B&-`V$<1XFe>Jp;}-JuYYAUXwnp6~L$rCH;k57vt%I4$9^*q+cD z-|fx#(c*2py}SiEv|XEajR~id%WR6%ev)fhVpCCvLkn`!3+N53-5dgLHYv)gMe09I*6h|aSH@C|Aw4eXt!#u}M)qEN zl04@>ek4CmrZchx;;`Z8vRc&db^7SEAMYT{E}2Te-Cyyxf!pK&B({D^3+r9G#L*{4 z!=d^frm>wX$hvA1i_Ka6bMl$!?6}FfmwH`)wk-DPz!vOWbl(&<6v@@Xce*?`+GkbJ zr=!Vox^rUhOzNkLb>lm_<}gl+XjAc^V%21SE%dj1jz+?wbL&v`W@Y|g|U$8Git+SBf2tZ zd2le3lXB2eX9)?@nYZJdEgLj~j{WIX;&ludOEy?D%2}Jux~=~Re==G+*!?W|dkVL# z;?<7o)!|vM?<^trW~tD%7nz%Ja&m0QAlO;mQs3^aOJ~Ua8o2N3CfEyz(&}CK>TPNHYH*dov%7Zx%+Ee7 z2H))NID|yNzoMnb~jaXTk_yhLXp$!xmjEQ=m>3789`j;k8v zGg&hVCFGBPmp|#e`P4}?2>Ojke8%#5tJ^jm+~pO!vL98aN44q(qqI4uzO zV^!=5g%ez-*>42y3Qmoib6Or10I4f*^~ApA-f`Ug#26<#yW9`&toC}}?Yq^Dw>MpT zO`k!&k0c37)Sgw2vfj;wIEQ#u$iePI4isd%1ifOsZ|(yDG7dWX6dpZ7lkNAgt?4PR zDt3RF2B6V~M-e*hhJwRvUVQ66`T9X8HNtjae%Ja9J!3}m7n}(O+_RaloqKzoUy-Mu z6kHES>EFM9A04ubjv?#G7O=2VkQx6;u)eSztIC{%ER5S>H#$r zrm=NiX+GwO=T5;($IUe|KR!-J&vz{rnOy$$_a2H9hOD)lzLX1+d&6m43$hvCLz>&U zN@bp|zfPp);&Om2l$h)~Lradk@xYYZ@I8ryga^hx!l`ySp0fu)W;uM1c3=Z2Zg^we zyxqRKmbLxEiuTohPm_4|?#046nUg2?_axcZHDTaac*$u>!O14Y3^!K}rGib09e82U zs4s!qA%kTGHI>_%6+~=~vmksY(couGH{W7}I7@Bn+YOnLH$RwxrZl7XS=*;?mBXxG z0N4AKaT|%bAG!JY*^oxT^$V3(@C*G@<`t+ZAvjKnH|LzR?fBkl2 zQ$TJNkXt4KKscJe!Ici~j$yO`>WE%lo?~NUr=_J)WP2e!c<=xjIY}UfCyazm&~l1j z4y54R3sr6sA%a-XCbAnygtXMc-(A|{%^T8w2}BW z4VO+~q{6fc$$YK{%hK6ia`9}RFArVd(8&TeN1k`2f-3OcRHL5QI`s}Az%5x}F^=={ zLu$y!rS=M|Y54s06$Dju8^|U2db&(66-;-?J__KEpKUI*_*+?8%HjQ>MFyxa`A-=> z02SWn)ALs_uy;ut!;k@VqC`PKAyYXX-Z`1(1bDB#udi6g*vAVr?9Yxit^sg+d0BC} za{$}DON1HlMgjrSk5{8IfB@;<4izvEARTC!#!pI03PijzIh3uL-v_Wf8ZiIMVfwEV zkG|<+!f0V)VzQ|rmoyLL!T^*f@k9v}N`w$TddhSH)CW)yjzB%6V3$KV>{0^YVpa|E z0B@%p06Rr26)c9GVCdt0u|x(07?eXGywZuKkN^Fv8ouKgTwR>@CGo2HbgGmU53^0c zxU*%Hqgt%Vi;V&NKr99B;RHj#vm5oENd|>lLWy4Z5^%vN4itX_V18aB!J?A@FwZ-a zIzM1;E#8G%L5fM)PWJZGB?kUxr7A$U_z%j$Lf602^cE3mdR{MS+5_8$CaIprd0gE5m6es#5F5Zz{DNp+A^i?V(mh_d3c#sf+yQigkREA-V;OMF{^eu- zKc@^Bhfm?j6vPJnr&CVj-ei7zxtMI)j{bh@-MPAOd^A(>n=n6OVgS7QqM{=6#|h@K z`%4{V=d$t7<>eJx{4lVH`2cW;PdwCq_c|@n{!cdg-_TnM0f#e?PzL410{qUfN6*v$G=Qo;HQ&-dGbL{$L< zrUZbtLQRgJh=5|I_LCNodX4(Il^!iCXZ|4o9!2n!{Vq<%x9=%-oIuw|>PNGH2djV6 ztP*gr5xTWaJ;TXRuac={r}q1X34k^<0oGMi6hB&^F3R1250O}JmBavNh}gykPp$NnKXPW!0YId?Pd%Nbg44^~5}5(mem~t3xNj@{(;^%j08=9!K+QEP1`u@a zeMyrBJku}q+i{0UHA^$X3&+R)y?&gg>`;+u`WIT|k?IU70R3p*DV zCm-AY^E3C~KMM(wv&tD+n>d(~^YQ?{y(MQ=akVufXH{3!H#ahHAZJx})OYyvi?r1T z6W~A8%nX5tIXHoyS*483OiUfffsV;prL7%|>}+i;KR6hnIoLS@Pm0@E+Sn=EelRd1 z=l!c|#52}FpKpxp42*0Y96wkB{mXx_w;<>={(rwa>$a(WqJ5hP`1B~J07X!??-aXICOmLfBp;!SMjW{rN^^I~__UWTH$WxTdw z+Gig=B+nhM_g13YeAO24trvG~BscHyME6O5NbrTR;WAyBT_Ugg@zt=_{AmuP-u{6e z`JH>yGOx4*gya-QJW1Sjh})v~FdWTJ_F7jeEX} zb1hJpb)XW?KYrdnxy!H}@tGAUwKIhDW|k-f^Zv{CX}~wF^>zxY$3U_7fBR7vxT|s; zTkq59O$$7m)FnZV@tBVY_^scM5cl&Ppc3xC|CrwUv13M9{PH~)@T^2e%ZtbA^uV{L z)gPsZ{*lO7g*&5lS-a#1si=2zcRUft)%D(kd&;=q?ce3mEOg3a2;zZDsW^W|hd=!H zuSJm4sEPW$8ZriItL~S3k>{?0f8y@(xp4$(T{|YvozG6WMWHPp+L^#gCWriw6HZ>t z4Gh4_s-t8M7yE@H>pSevrc9q@m{CXTO5TJKs!c}V>TsYu`sem{?#bO56;4Ka9RKx2 zPW7>~_w}*M=f+H==M|YcjyAu3K4upGUP=|E&46<6KXpO_P!ZGFh;MK|dpwm`DcQ?J){4E8}{YpBGW?#c1N>xl7uT zh?bX9E#Tdy`{%j5gCh4DSd&~S%Kmm#1O->y^9v8|4deV6iTq(P_4hD{8qiBT{yqQv zp+OnOuPFaa274n1|&x z>0wduojmu;mB;j<O&`U%cNyv_He7yiRz$u6lg+P>-CA8R#H4cSl~3C>@6T1KKIaLX&y- zpBbW972tX{NqgA`CJGvw2g&e zC@ke0Fqa8mP`EM>^YCLQB-^dGu{$(*J@TdcKexa8_&q?x0`;dxY9xWty3`Ap-qM46 zQ3#H38m*IF6Dvmt_)^X;iyY-Y@l`a+_i8oD`=Ti5l;88+MNhjBz5EMb`4nM(d-(jofamxRY| z<3qwf33uT4ILc3(b6K4Rb1?{E^WQluM#owm^Pgw4aR!)7UI@uckw-LxxjUEZbfWE# zkZ2KXv7P50)$eOca4&{LW1YsY{69=*tQbQ2LZ2KY^WW8(Js%453jTYerWcw0y{x?# zrDAUXVIZ<}z(9UEKRzA!+UT0+4%~a_cnzC)uUbdQ=dS!5=1$tDMLFtBSrUOcc1Yix zo3`;jk5a?RVHQ#%2@wp(`@Ko6cexCC=BmmlNICNUpH7!Qi^l*A+8HhH+s~Kxs;iIT z)1o3nTZNFTPB45QS@pJM#; z+iXP;TouM`tjYaZ!7)sP*Ew-q%f>0+U9Cvld%-GUtMJ)~&8o-Y?`L;|SN`66${=1? z4}UJ&xUh;%U9KD0+u#l6pZR1A63PeGANJ0_?LQpZ+9`lIh?~D}Sd504&@nAhfuSvV zwb>fGmG(zA^?k#2xq0r3nMJ(w7w|`l^Ht9q#3DZF)wx~z+H6tlnTp~{tu*uMowhqF z>DBEwGuE7(lb`ohyIpSd+hW23Nvo2UqJ&fxk=%&^nHNyq-qsuJwqnN5tCni5@Fm#o zFs6ldC)2=wkFGySpv%Ab`R@f4Wp$dlm@*?u4i=YX;EV`l#0dH)0gsUJtOyxj!`o@8 z1ROKM&tD`jXY~+&S=$_*U)-3B#vvJfG3Oy`$5&;9PBh-Iz^w*`IM(}|oIL`2Y`s}F zyX0U+Rx%-PdQlR@<5AgDQQ6V z!_L_w8>kQo-Q~m9_FQwy^Uu_bs&_1=3Hor>vC3>^T>hMSz>d!0w|N5Z<5l?p5f&R$ zG+N~O+(Kd5w%`gdv#rpahlU8c3!i46w!eY*rK@u>s{=qFj$x)Y)->yi55Z$o{_T3? zSzG>lV!g0N?8lF_Es+BIYCf5^Vw0P~l*B4nrp0VPF`x2!D(?i2#eXJmn zc-|T*F2l((uBTVEs;tHm_rhkCP)vuZyV|m?PVBpvW@IUzPkI){7xG0%PKH8-SValx zj7!Z_;&gx3sWA&M)2p6JKdItnif?b*t@|F|vy6@f8`1P_UJ~A$guw!qR~P{+Sd>J zPWPM*vcv6LmL zF@n7!+jo*#hr!2|8ax~OP?u1uKL=@5e3z0}huM3Vz)n_V=}^@lDs-;ifz|Bbp1X6W zf*oEgl0~Id+dm=mEBA){@X}^4=WE}`2w~reL3+*6Cj-6M3!(Q7=HV%YWB2sF`9)YF z*;{c$QR>9eTw(gTQhdJ}bh@$@V?h&3_dP}*j3-;gqQOm&_9XET zQJz(+gpT@!LfLX=Z?L9@Jv~yP9zo#T%6f%G4c8lsR+a#@)i@Yo3+pgS)rJux{3}xD zMjY|;I?J|{@J}W&(S`U=n5}?Dse$MqeOGzB!zUk)rYdw2W&gFQ)}HB;1-FV9mW8#i z9Cw-DIZcjkG;fmPN%r=P%Se&GPGGch4NayM>DX^0T;W$Sm-6V8Y+ELG!9*M{pz7)z zxbtxjKfk>rk3bAxL(yI>!`edcj{pJo7#F=T)$SJC#It0?gq;}=R{k`QS7wA*`aiQ= zpW&csaC-U5Z`W561%-aUaSus|W_LfMas!(~Kbo)jg`2$c1tSkq1TYyN)Ygv-#+M^# zf|T??ot-}z$H()>`<;wJD2q&0XJ~q!QE30iPJGBT$VZwKe^Tnc^u^I z7WO@(sG^yDi}3DCKTCfc@hD#lzqGRSaRW6=fAz@zHNe~qj**PeW(XP|EHXlWcA zSWh7NQXPJ=WR={Z7yjAi)m?c^YSmJnZyifRbo_I=GhWIaEOxKq=wg}%lrh8Tr_)=k zsAEVS1zF|Gg98d~iR46PesZSUbGyQ6mQ*uG9Ks2a{uge)A6kjGb3KsiV*SF5PlK}f z%m9bbfoFwVy3zh2s#0-O%381CmA$L#oOnJ8=umGqf}lIEjqPq-s_@a1tfHN|mk+?h zwWLBW3vYZgHs^?Jg!!KQE)qIhUr_rXB1scZ>yM13>$>@IU$)+TX`dE_Ayu%|^JS!3 z6FC1<1u+!q96p+(JGDmD^Z}jn&vyU*hN_iSm`2hR5`(21nO8bHxr~sckJFy027vbh z-qDrWE*23thpO8cOBqQvCtq-jl@1bMjw^Uxf0OqcGu5(a9s8m&nVhDWyL>FOJ+y_F zgkCsQIrgpa!C^W7yKeblY}ZX#pu7Y_^w>8YoPpK>SJKpAUGRiqG^Itz1kFD2MRH~r zwF6;@4dO8Xgjf=>N7q)@zP1!J7yWrv$ZJcEa*1h8s>-L@t*deuBjT4LU*PMA$NwfE zXd+qK$Q=8d5_Vkmky{&WhFU6i+!wi>HA9&XTXk7VbF8x2EG1ogGH_GGJ0~xarTKJ# zC2d)l${lCqA<)dQD#X@$)NgP&;>EIiOiG9+;K{YLpmY?C0^>^`_X}|KGiH!p;+2;! zSbp}>bdTQcEqG$4V2g8+t(jUOW!8Ta7KbDfecTzDD{IM78!3zsXC4BgirY*6SA!cJ zaJN5H{Uy2-*Vb}9J^t-mnz9w=+zqqD52I;hzp_<*#jE4VJYMvZp5vmai_}9(qma$k zE$KpOlb||_Jh|{v=o(=3Vz12}&lsPcLbAF(Xwi~itBKR;ZZS)k6wetlOe{6V36hsE zD?rSB>ihu1hHIU_n|KZ>-jrLNeiU|~(bK|$Z?Z3GkL+n9uT?^V!9a@YiC;7+It5pn}ZbSRVY?+d%%xVdu7zEL<9xCf)tQ=H!iT z3n@4`Mv^XfJ54$^R?&+rj~d>6>Vg!i;HB**@TJ7_1yy*qT7P$otBg!G!dx;hnLANjE1~ZjTz@ofg7xBz}<+V^L5~tw#WUdv}mX(Z$ z%}I%_eea0KRRpQDVn+meRvbEW=QLtCj}gPsZB_2}zl@AD3v3t{Fl;>WfI}58?&mi~5Sy&p7J(wkw5d;yD z_EWxmFDLew*@KSXCi2v0Tu&rAfUNGyMT^7hs4MQZxP%MNqPRQXYn4umu(32h7u%g? z(!5@kSw>PzP!|ETJG9)UrQg}!z*cV^iq6R8LN4ss5Vk6ui3JkqpRrVkPg%lwX8MB1Dl>*(B*t26ElbRN$X@4v zl&0K~5To;lFB0(fU$~i<{8!JeP!Ga_%(bf4<^?aOT@5rw(9cTA--`=JBL<#wyBbK0 z8X(>yx2Q^_AveW#_oq#P&uA>r)zY5nLTEx`Pvhsr&jxdslKU{#l)7ylrWTk)5|5)f z_=(7bm8#H~-wQGv-e+tPCfH3|NGszSlD@=45s!eF=>o`hzT^u>;dcQ#I-b0li zU3@g5Ps_@7(sWse#rq9puRd_Nn$T(`$Oc_L`THeJu@{_O-(0ly7i>kr$4tI4{o2!1 zw)?hj2kK`mtR&3IG!C0eCdD+@Yy`vkNmO=G3vLCe1EXvPo2>=8{RtW=S0!&=qP;E6_LpOTh?e<~4*D*|Icgw%DbFR#u z)STX*+!?DO;m{S82+NrtNaX8LtXxR}${^2smLzBjl8LrP|7LrL{l|Lt=Ep~U=l1m< zI-aGI7O41S@$0p@Q64DiimNdlsa_@gEv$3G9+u(R%Lbx<&UH`sZ&udmaDN4Yx9rWI z=Qf06;t!#VG=ugIZWKtnM=3*`DfZu`r@8!%aWIb+b_oQAULPg%2*aQm<2aZ{3cEvP z12e13EBpe}kH0v(4XhKeau=6=EoO8uj>JNC%Q-guk}4V18)9@s40^{G@#hITGWD)L zhlJHZx#k7nh2nGqp!!H6=ON_*-64wvNDG1rk&!H+x}PO4QZf$F=?;MR3NJ0+XMEgT zE^b1bZ~NWZO_BD*l`EM@cx#x(D2DtGsWQEs&hNIXFL6a z0_yM~6+dM)lqSKt98$PtofvuVARo|wq%mLJ#!8krLBdXTL0>@EFg5O(B$$SH9W-Z9 zTCEa=8)XvjcSQO#(6$O7HGXt5nU-ugnoF$Vqf?$cxZ(6S7kFH6%fm_0c<73Dd&^nR z-N#MudNqwhjI7LDXrKofEcG)#hAVf@Q?F*`SlAmSKgdVEQRgc?^1Cs!CE|KL&x53M z4Qh_RZj(BWyIgDX{-fvh^V5>RA`9ac^rrISZQBY(AKJPF)6l^e5xO$1iFG1T#v-~u zkqHN3Pw(*8M0;fpQQ9uNvIE(}l$YzkYIKnv5_1i`ZuO!_mgv$03JR=%pzH%~%Lzw` z74fUzsas0^ex$9InTS^#OBm|^E zx{eJKHeeZwF%=7GAd#%0Kdhdm~>^Br-F9v9T zHO^U@fv}!Pli6O=QHB%setbhsOy+8yd?u^P`prBiVXSL8n4GtFW#v-Zv4oVcL!u;+B75oBjKTf z!_v;_yi=&hYpj)XcwH7h%u{1O=BeqvLkT9K&x$`&v^NBebPYEse@^N=d2Zo0`tm58 z@^Afu^f5IgBLTIIg>DZxmB2?}J4R(vPkv}^23i?kP5OAR^wE zAF80&d$w2e64Qh1J5O#fYLj?-#LimQX-nRFqGXs!i^DzR!`pEt)8OnsL{!m-(UF8l7xV5fLalSBB1VNHUuM> z^p@I&{+Q&C;?_;bnI1`|*=S z<(a*M`u8CC%WCikEX^gIH z9}*~^U{EFVh(FJEaW0`XR>`dqE&q|>q`47a)S#8YKO?oHUKd-@`#Fb4eheKsSUEWb zx@wJ>Q9Ye10k29<;J&K&s0Xh%k`dHLg0#igm$gxIhCjVva(j3GL9X3*H~*1W{#j72 zc%k9|?C8hHpxyZz78|Xr1qc&4c#yaXgmz*LjVfu67yu(nnO1sQSnx&B>!T^LqEaMF z6N$KP$(g%@J}4TsFVWkl=he&fZ!eFr?HCHDWX1?W+?k?u(DIjr()4-_hXlz0$+y`{ zuoSxGgZj+O(-afMOl4WM%aGF03%w4_n49J2J-5M}?kXww`?NK@+Wk5i3ZI3zAs>zO z-E+67n@3Ul<}x!o0t>6LBM_I!jk3mPPtntbmDSgfGsZZLV~sxzZxVit{~fRn*tGoh zP_p*ZU}XwHz=RI1F_~*0P2!@-yi=xNxc>qb*bpVhw+Cd8$td()w}ro9o_x$MBvKR?owT+XnaUc;VT@|`WbuB>0 zJO_r-CoQiZGi^dcjt1C3{$1&Eg2~f55-LKb7FiSF@J53qBk~ka#z=an0`Qm%wh3;th4w zP>9gU4^FS-z0xUQC2YQ>1xgDOG#1Ww(MN4%sF4(%` zrFU~kHS_Onz7Rm(qT+yFG8|n1-xWOl`yS8;2|8{Ty$$aY_%>Fw2RrN9{ucqe*Q`Vx zKivwfJ5McmCj?+2?ZJbWu9kc0+#N4c0EhdiO8HDAIjbPsMg%=;;&()$&fS3HRV zH^JFG+0`Cha!9|6B1gf2Aq{9o@=xVS^kdY* zWBIdT&L3K7!gyhTRTbAU&#`W5_rAt^o?GbZ8RdFPvXloHdpthZv%xpblxa!nC*Z@# zDRAVMdGu~z^PoC4tdr>wJMRQ>AJtI7X0A1gekOekG(|^zJ!`r#1_uLn5o*i3t)m+oJ%G~dvKm1>;AevJg?m9F$e1J6Y-t#i0OonIqsHiQPR5r5cq z3l7ZIpeUN;doznXWOdEBJA&Q0u#Nr*bS)S>_;r>adEq;GY3~&OhmHG6GrNcR-sr6_ zLVI%`$?0{+P~F!wWVC_QY>#t*ctoUBP_z=-GD-Zz?1C6Q-M^j=VIg0LT7l%gm9m4p zVTufkyH4-(o|Ao7ekNx2kFTE*={Rb1V>{pXpOc6m!7&x7*XY+d)PPdUFcGtNOt-Z|WXdd=rng6Xy)2r!7|5V7t_K36O&-;Ps4^|Gg zkMk#tmazqbu(gxqi~khzyR4nXNWvvCz^rEqQix*KbB)oP9Epv(jRI<(WI`kcxk%txnCjQ@he~d^y%!DcpNQ6! z=qO|bEhL=CB7w|g%QcWdzpjISVUeV%OwkQy^L-Zunc4m4x55I3ESeU-m4da1yQ!qTQ*aZ1l5gHQOSC?y8%WD&Em>1p~Zcvj+3%z(KA8uQvh z0tW>{j(!KfuqQScomS!>o?S`EE?NG8Ejh7`7l6)JO@$gH{V_r zQ2aAi5agIQHpl&&)R9TPqHiDX-xKzCTM*wXi{eh`i&m~eQy~6)b8xlL0T3ayf6f|A z>+PEZSf6(OcVw{T97eJHPa6DxXAsMMFNFTp8vcJT@b!)7Z})HTA(SuZ{(^J(2$db- zV9kXz|D1hX>tSLJQt`EN!2gv(`bWJ{YxU~W-fF#LoqRL$v7QV6D2(?!o()gh!?~O83&OAi5;fnZ|x!btHtDFf`9WkFc$zaRCn$ zz=bKDehf%>wq%QZ7dbY`ZuNgC@V{=wZg?6{@(Dq-PmDoZGt1OF2e zh|aUB&kKW7C`)Ju9-IHVEB`p4?60|1 z=f?Cz&!pTDj?0V)UEQL5ccOsRoc3Tr`IhguB+5tH#dGmH!n_}7BL6j@^8kfR$$v}d zh@YPb(ZB5s#mQRzGqgZGj2^)2vQFkxn1z?o=~NeD)Y-598@Ptj2@EityCQY&uA)c} z0_?FD-vh*k1PjeDCY`F6Ax7lG8%?Awfp&@qX*OkU-3Z0kw}JnjkZ!$&{&q`~2y;m& zM1SRC1XnYJS!6O<5CsxK+}saTstedZ`#tOa9RqW3xA{6;{!(3OOARxWfX=jN+ANkU zfhgz>fCy`%FF$Og{$8460py1n70GcbZ3#hfjhS9t(3l4zE4mgja>;W%ITA>O{u^c(EKy5GrUDL?Rlrek`+u@0j!IJ&o7Mz!96ZFL(Nw3TsJNG%A^ zYLl@A_vUa*V7VBY{BhX7O&vuxd5FIMF0u~t7i^U%DthaYC`aJYgb+v%myze85BAO; z*%PQg0y+^u8*t_jCDN3Hj!2B+FRB1AScyn2neRnmiLRe@u(0VT6_`?7FO z+REu=zbJH@@IV@e@$KTj=0gR3QVzrygLiSU3h8GM*u?!FSOjQScr6m9;(vfW zCmqBAr4L*4<~#`1<-x#WDW2||X3K*pq}b1}D3`!Tw)D+*C78+x&HI8WAS>XWz5GsR z#O5-lztR1PkQofq4>@x$T>m{4#TKMOE zl+RGk1>rm%cth#GhEl>8^b2;xHJO$IzHNzou_I<8<66*0+frb z`GUl#Z-H&DNc2T}LPtF`Q9@@^nsPTY$bKuPt>+_d6q8JH0Zz8zXJ?)>w{HBG1jXaCF;WgIPS>e<@v}FfH4I3pro@R7m-GMg@MaEL>Sw#k4 zN2~mO^Qeioc{YTG(Qi|1;RB`K8>?7b7+j@*ucj!$Lx5fl@a%Vm<<(7BIMdIH-SNqINZt#xj-q&W$Y zC7O>~DjH=HnlOD&R`z|*MG7`Id!?HS*Ua5JxA$8a)798Y#}p`I zWF*7rJOkMyS%d&qi2B}*^g3~5$O%Rr4cYH?tqksd-{PQ2pA%pVgh({vvV@C1q+uuJ z$kCew$uDdR#m0uU$?u+!&!IuLk$xe!n%JfH1W)XiA^`B&N;F5nd5f1-aT*sFy#dA!!n#aC7d*0}^3bNY3w&2eAxlvX zw~?y7kih6A^IV363SoNByn0a49u6$5%hx%j;DR$)kxH7k@7xO$!>llD5eVG{Kdc_|AA6kPK}XhAPK>fw`B>SUI7z;nGz;0rN_~_ceS2PmId@lo z{Q`m?L2Mko`J7I8oJD=u!wm!G9SaYL%{Ur#;Ov0t^WL-1W7}d+!5qGO>;+)hlGAVb`HVW_0AvKah|I*T>63SXYfi~Ur z7tZ29us%Z_OhfoS78B$0N74h)VF^oKvcV^S6=Zgrn0e{BA7tQJNJa1zOyY3HtPnU% z!M}aH782xKQ;ow6^oXBwI-A}#CBVud>47g|^BgQni+r9ao0ELu&Gw~NIi}v^Bs4@C z_hz^PRN-LO9-IO=ywPB(^!`mg4`&S<3A}FT5IEx?9O&PHlFg0s>qqH26r?Teq&dO9 z^lMg17Di0Q7g{QS)aO2tBy3w5sy^2o#rda^J|QO|=U2L(x1f^+Bw^tBeVfqim9^(+ z5aaMJQTK$jFVgB{pZHU#Wn^i#dBfsPxh4V76NXSK^`E7s~n_qb&E@??WHZ7{(E%%f8#h z5lGiboN3@|Ug?^bHp>M38oJ;TyK#>WDHL-X+TWqXtmcvszYic9ZJ>vA=ur7@K^_h2 zHf`-c8?#O6mrS#h9mB%CqXprnrQp2spkqi_5_~1Ssk*Y`#Rxo*Pb`tlI~{GogmOJg z?VtI;(Y6)(ilshiqBoxkW@Z&oZ!Vi}8$9A#mq2GVO{tftPl2z@s*EZwE%eljN9lpb z)vJ2LGL(m+dss)d(4gmJ{-phaL?uDp zF~y6(k9L$I@`SI0fBYJikPc(A?!(%y(`d7zN}fILSJ!0v@MC4%=6Yi3M74rRu#`oF zD!npyo^=;nC7-=p6bhN);_*1l@^;e0_X<<^u5#hB(^BLvpIyjheJu0$PPg!_z%Q!S zqQjS;q58y2QP%jyv_5)PpINh;M^606`a?+46Xf5r@OZhy9I(BJ-MIRuwax|WxleW7 z6kfG(KBW@pea6c3lqPWSZ85#T$-B7VL5m38392sR!LH?zUf9Z~OEz2k4}by47N{Y< zMW%MOpbi1Vo1O$5+?L&D$v>>m6fl4O6z$h0hinp0&D1%75caV3_Bh5jGUe8|sDjfY z>Xh2D2j*2{JZCI>CL>5~a6MigaYY0s zK&NZMmbS@5mbVs_dSKoP%Pt8xx$N%xtXd2chSy=x0v44O?Iv48`lVOHcM8d zOAB)w_A_!3eH_Lx7DUcYWQG8Ao?zneHEvW{YxcwPuyE|p6b54~N||gPm4>qrIr19DMVnFWL#V-JIl_>7r_C5KH! zpBi(3_|grhO~rq*q5R=1I*-6sHpcfSTcO$NND1?NGI7`D<1?HyiF8^OvQF6HAs8Zc zdEkd5KiPmoFzM`7U%C++))=00mtzVY@s+~zJVYc~=p>%FmI~&ZmAL7tklj2F?RRaQ zW;sLLjZvME=dFKtJpYAmBtixF{PHB-fVzl zpsDaF;nWBfKC!iwE8+^TP*I!9eMh0O$T<#MxY?;TY`yZthFlMGq5j%h6Q}S6r1Grj*_ec-tw4{VLr@1b(eaOe$a5&Bsdgp$?bCt3rqgXzf zW1l=uq^nVP)i9zxKOk{^MP-CT()IJCO+Bt|*cDtB_i+j(~>@MBsv*wqS}iIIe*~rGgxceqmIav!wa`DSiC6Y1z}>6a$S^_bk9wzmr3 zo3Y>EuLY&jTQ?WhT>r|0tQ8)RC;xCww)pbty+%8Iq!T}}Ej)5O(_0;LSIb?tf<4JW3=_qjLx zbXU+q&Us;d$K7zECvESkq4av=H9^SX)yOR;&gs(-yN_>2QaJu$0kv6|AL|%8uEKEL zfk^m^{8&TI6O#QRNmC9XH${8P9J%P>;SuSnd?ZHrrs=d97C2%egmv}=eh_5&`QuRG zh$rma=_EGOOU5;lNIls~AFNc0BlbOb24)O>z#9 zL|15~QO9l86#l})=#H@{kNI)l=k*Ad{6-99QC^~zRY*=d@zA(D!ax4(iR1Y+E03pZ zWJGGd%I#HOn?pL;4ZL*3~3QkCrvX5~WkjYvkryqJo2Bn_q6 zsgt{n%4i0+?(5Orp0jb-g&(g2a!2qhT~CH!10W0^QT}Vv3DyObACd3#@}`Jfi3h+S zl%`m-f1HN{6@TaYawpsF=e-NG^Bv9#zFW^mxJ+ctbDT%Om1|+QFUGZ*nAlV2GDrD9Vg_Ekq+vtPq^E3ayRZ^#YH;=K+V=+DX*wYztbrhrhw} z82%;;4RGs_c3)T+f6e~ez^DcVE$52}X=T)LfmdiC_m2-S(SaGr_@wnhw*+~xFQd89 z!^}Er@j5m2?o4a`4#D@P3Df(4#qc*X;gRrZk&1%bK4<1{8h7F2ooAz=8C{Rxd|ySM zIcynKW`N)p=}~T-?2|;&W4jp+GqJp_d0UiUfai-7ZHmq!c19pmYv>txlt$LW0KhZjk$BmA&h(Zc|YcLH7x;48ouKc??mJ z?ie+K`oZ6Zg6-ZNDvY7?D8+mw5(At<*uw-uc}Hi5`;z-hwE&^K`3O90g_KJO&7bUX zGJTUDH6cKYr%JYRt|ZcAB_2r=9P#rmyL#-~G z&2d6s~&gyH&qlW zzD;MZiM5x6%rR_v1Y}y>#j8|JF5^|J_CAZtjx^sP5lJ}o&56@gD&33Ytqd|P`;CE7 zUsK!Uy2yx>(e0*A8WK?FCI;)6d-*6@PcBVGdOUGultjjhc-~ViXB!E$hyl<_UIDp- z9Xlk=vVCjbG)jox&(^V%{TKR(S{kO%q46yX^knk<)2H)>As;bc)^!DT*flA|rPGl9 zYOJi@`llo}e$P!^g+d7~5~YG+_3w(`SW>PImn_fD-ZE=k*GUR}c%()OJswv+TGs^Xuuk;kgpQrV z&8@{J!>7`YZ;~NnU=y2(N5Jtx1#h6=Bqc%4C&`df1}$N^#8y%GM|{8w(Keju@Mqnf zIXWt{3#c==Wg2Kx8IpVa$;iCACiGo0y#CIAC%+W* z_;ogo;YgpR8i`U)qt;MK3-i|MlFu<7Vb8PlC8bWm@OgB-0Kfeo3%0vo+RvZYFORSsp|#LCV=-&2A!j=w0OxsLs_-F4f)`n=$5bAPxKZrZ{H8p#rYrNZ$nPKk z(>waMWgbX=#q0)i^x4+aoPpk5`6YN2lU??)`rOdJDTo-gYBaA-t)DCZ(d^mu8zqUY z7rl>;5j$DGp@DtX)z5^^1tD_WEzz>S(#Si0kIAx+_t%v&E0NzSY`r#|F@%ccCw*Ydz=qASkC1dMtE*d zuwE{b3qgJ5)}|i8R-Wx&rL@ad+O_vd=i0FDl3`Np`QFg@JoDu;Eot;oQqifH#ZkI$qS!C)xe6REi|$zV zk?Us7#pZW3zD01*5RbKR4COxN=Xp{c^7Re=0wh-OZD7J&$xXxN?3h#7*StJi!r6MI z9NSk-A_`uubn4bDv$-^+RF4Z4#)X&;-k|}^&xDu}(1mXYQD_sD%q58M3FKB5Epz4I zev0({KGK7a70qH0f&cu80{rfFfIa*Y{p?lLxQg`R#TI$KxRlW4#eA4Nv`Q1WsnRrm zvj?2!{KSV^qIPzXo$2y4SB=!9Y52hJV!Q;|xZIvdYn6?x7k`qZdl_%gu(ige*GZZY zhU6*@ZVhdpK0nRR_=XyW5ZrGYaf`+05YOlHMrA3Vc}?ibxc3c6n*8h5Y{{<-=`raz zZhlCaDzn{~Z$oK`np@!}pX{eSH|OkH9l)b%@4j8>1hkb4I3HiXuUs29vA>s948+&@ z;gykYe{%Iym;jHKzHzCnal!eR*XS`Av@W8hA-G8pv6gwl)=|I+F5a34ePLz)UI(&j zJ(fS1hOO>0g2R|M7(k zB4#-dqwDq&Q%0i&ye&=ECtr*DlCH801p57C+=gCMa^=<8n^|Qr zHHZcRUW7qWMfagHO+Y4nYJEQc#v;6rmGCc2wv4fCxpd3fFQBy48iJ~VEV zM4EY4vB1|{wx{+8$&j)_9#IU4mA~=_5)Q$qT?%(tV!qClMJB=*FIIo z#Vu+_`8N$AF*BC-69j1UDJDi@)#G1((l;2PeEj|s97&|~SJhZAk{YbpOi*QU?t9(_ zSnHt&Vz@|MIDjZV=ZpA`V`VFI26Y#7)xfO~7^(9l*E}y+i+tk(4E8*k$0NS`&}a-& zf0VbK#UX$vwZJ~_3+Qcd*8=M*S|mJ{F4kqQ7o&WS8Xm8kl5(Vr5RlQes^^@8on?U{{53er0%xrksdh4$nPG{4tX47Ic&p#34iLoVdTRaXZ zLg_@Fz1uJXh71Vc!$Z92NbRP&6us?E)AbeT>At=*=_x)r5# zElYj)>OK{eEj1QoCEG=*xJwsax6$06V7Hqi=#>XIFsJDlrxpx0fUI0u1n7G&xiidg zGprRu^`CqN6rm*L8`2{c)Pp}+jI`MROo82lwTJA!8~#AVv0LtbC7fbs4Q&YtHoNoO zTuy}I2ecKTg72io-G=ToursVtYien(d}eg8SXJ8gBxl!902Nad{H``0U=@-y?sy0f z{|KA1Qk&skVFvc!J_4T6B2*e-xV)rg0tozXNixrX&Dy3SSO}SIhdV2ye&9;Pm6dBgXD~sl57PMdT)U zzgTHjECz8O|6hTQ{{j>2BhL5>sar)K8h;D`mprpdhDs*(yFI>!1Bit6Sa5|v7?{e} zn*Hx|FEwDWDsk_^@+sZ7Ejs*F5|k_L7u+hw+a5 zUNnsyfP#hV(PJ>-1^c(D0zv)|cupmt)-@HtCj8#V2BnDvtStZ*^0yyzJ11*Eox549 ziU3;9D(V!lgvq{Yogc+yBL)1%jRs{ZCEOL;!|n+c0CfrQzh-BTd%oxX5b0hWYhwW? zOSGBic~9Uj@-|kofruO*oZ_xY*|sgMey&-&$JQl+@&#s4?Hihy}HQuJA?x; zNdf;q0T}q4+UhJEO&G(AmIp|V`47}90RZ4bih}<7o}54oI0%7dyPy_}eSqt<2Z#cQ zOI+mqKwhW&nPB>(7V_-~;i-}UcBw^-MYbGXE{pbzc+!27U;hDN8ZGiL5Z{YB)g96x zenb94|HS&Y_QEV<&?H9Tg;y9O-qN3EV61!{g|BR*7^IZcW?+jr*U}RyIa*B#sPgA^ z#^ZALdSN^~<8&D=Cdwq^Fdawo*wjE^%rsOb?}?9(#0Yns2>Z!8eX@U)XI2QjszL^& z#y?8KLi&%UpDv?J&xaeoB7yp}=2<{Zwxr>guxh|p(q!1y{LC5Yb{NVOB89I!mRjI_ zN;3lKHvP4NhKg^L27jz-FdSgPjfM}%1EfUR#U;RUBz|%1@eGFN)LJSid7clKl5)Fb zG~Ewy{i^`fC#DysDFe4&V19JCo(%Yn^Fri^YvA`=vQUVrcnO7J-uJT@N9!<*3KG z-*Wh4wau|H-Y1o6vjM25`jpa6aW*BR;BnY_^ZNSrw&lVp+uQeo5NUE6iR0xz#{007 zJN4dnivom9b!f%GI4(fQ6dQ69pui=gm;p}6T+*2a+)t(#uz0juziCqf3+XW`K*&6% zGU}y#@hCv<^S#dxI4g5Y@i!5*o_$@onA*R|_Pp6D7dagCXwfL3Ocj)tP$FR=A>yRE zU%JV8^9Rthd!!C~o6VkdItYSoCeC+`cpbriOTddLYenTd^bN z5g3ZOLc;=(FpTa7^sxB@PvS_z3YqWnDb0p5G)>?NE%-Umnw0slKLp_ZoZb zijhXlg-Fp9WU0w5{Ahi!nXAmco^IBzYQJ)fXQV4}2MZ@Tu4Kw-CADcss9X8wash#r z0A5D}D`NQPy^+n*oe#pZZgj{@n~{bo2}MN;W_CoCdt3&_8r!{Pw(axSgQJpUpLys2 z5GOt2e+tuR&NaDTwanLawfNo5@1{=D>JNVL9QrmbuqS7s>vHEV&{3OOzdt8pCRUA^ zAt-w|GoU}bw_~yTj6Wpe?WS~-{EuMdpLBZtm5qv=T7+{QSM*lMeN;ZVu^)v-%Jes% zc-6JCxHt5c*zI8cTtZ5@{p7h@kyrN3MDv{5s>9o$>}sL{OJ2wxjQ?hPExS$T&M53| zpvp~hTifsADRrTafjWI|4I~Z7%<|X7(Ht*B#OPA?M%{@x_e(-XVKdx^|4GO=Ccyz2 zyQFV*uh_H#IOOqaO7f`u$`#Q%&k*tzNTmy!BGT0?z<3iqM@n(AU^gUZ5((QLZdfA_ z{=c7c{QCn;LV}}fI?U0N6>GK)rQ3kEBk^JR3Knc7X z7yA6u=OCBD@4V@bLrF%Z%E!9Au+hV?W#;DjOWP;V2KGGJk8hnnm4X4{$&uUeYB?jK zss1XKdBIrKxFsc3Ui9ka&vN7has2W4r*XvzhAa9-*B2G~e8u^rvy;np3s7VmF^#5K zDb=Fl+j(>H8fu@ZtXZ*!yNV{_D$@|wd7bk-eE~{cuR?bY+=gcb6PFIR&y)(Oh;}W& z_K7)-lVaSTzrHd5Wc^;m`r}eTGCE>1aGuJrtddt*W9=aEvO&z&KpM4`uJngWDF$zR z+Z4)H6zHI$&jKq150&PT{Yv`TbMWvcFK5z(Jr@E5f1V+o0p22nU!06x6Jd5HH*i=+ z90`<1yQl^O5%k~{=p2Pq<;9twfWK~&<6H&cWKW`WS7}7CdiEG5sX1Dg070Q9q+jxc zrcKYeD_^%@UDvjSCqaytgnqmvVax4&AwL$c`YVabtuS%iKI8jPPprPsBh8Yd{pJWZPxFw)pu{*}V_RBCcZ!t%^at%H6?qsAEe)I0 znAp_Q1nM}*eoVY%M=<8LkVVhy)Huy^tKYZ;_w>Q-E^rnR>weIUe_a&T>#-bztXA_-jTP9%W zSEUci<1mTwTmdRwVnh=9?RV}LUq%#32ap!txcCho(gfCKKD?tpVqvoefQ*35KtXA{ z6j0~Yw1f(Ur1W@`bk9jNMDI00t_KQgtO@&ZM!)N@-Ma@1TVH1Ds}`=@63gB}{N&o4 z^R>`B#^G<3D)yaVRVtWDwFSo{7lbPKV#h~}w#6ED_gcyBo6qNVw9gX&TN=F?N6-Cm z<5v`y!0kyzG6yeW=mwKlc~MkCfSW}7d*c(j))>0f-&Q4gmQ1gi;?JQfO!U{Jw4ySK z5EngR3Ii{7lLS*T_m^p86*W^%MvNBbbh=EMaBn0X~54t@CaW07mb=+eHR-Xl{B{WUsa z%^jJ(R>rh=pP9UlL*Y>zxhR*;eAC>IqN@*S9UJv;1<6RqVGmc-2mmGoNVWr9D*t;X zB&89wpA=g#X^0c_0Jth@F55d4DDRlB5EvH|zexqF>%`0TkOjrPFxu$vM;V|;85Xhp zRU7umG)4e1-!>a~?ZvB2{Eo$^H$cQGA?+0JcYw5Y3QjeUeyEob<2eARkEHaA3SsX6 zZklkPyH=ga=2s<3^H(NB^w|qQ0*THg3r0@mv3On}{}lCEaOk%eDX|MZu>;;U5OcZ3 zoB6nYf+|+5=uybkaZfT^yu^OSs&TyZ zKKz|7y5@-LwaTp_7bWxj(RL?9B>v z-g9fdN>*z$V*@FA+t8c)8uij3xE;i5U&rRX5tl$F4B(|hAs$6D-A3AY-ebG{yspK! zff^m9L87O87rW{{BZiMnFD=~vFr=l`R7k1$vx`qIuVage4 zqUG?YtMHx8Y{@K~r}@?!4BpP}*QS|JLfuaP6$1g)h}xw`)k#r`bu#vzM89Y40RBM} zG4*X@e?(5fhTG(Z6+g;OElw6sNb_2=G+&o7AUR;qP=FOGKxMp@Go$C_qAS^xS7z?; zEQuJ+?wUST1s+h~3;wz=#6iBL_qz~DD9kxQolaQ&4+fKK#S0)^X zjn>iKM2v<0{roUEF&>P&Z5V(Md|NLpeUx&}K{t@aECX>MUi5E+@?@MzU?uP& zX$N4sKa8bj5cK?jRc|a(CoXkiA^Z*0DVStGe_NQvPGC=(zc*T!_|6xwFi&v!-3}Uq zL%xrppA0mQMwv&ce8JIZy#DmUmyuH}k67B@0?_u>7bGsJq!(Rc7ps5KW=i z4-Hn&(dzs~j*ix`LE9ONoF)13IXIWjMegPu^_e*ZDr-*>H7D|NAyc zP9+Le@zn(3&@;{D3$|n!ITfJN@MjfmQhc-e$BXkSskxo6r(#FZC0$sM4saLUc59ly z!qjv>F~a=BGozj#d=-wzhPH=Vt|6>DP9a$8rpnM$g6evkIL$ zsWKE$kO#Kwy6~e#Q*Fq*WDl4%xoadHp}5@I4M;NN2(*Qf4q}ezfcY9Ra)ZXexN>n3 zFH#6J?L-uaN|&EM!VIb4<+El2U2oG@d<8(C;nAiJTiIk+o8&s^Lf#UdaNry7qfxFO z8yIxG=ggiqBdLnl2SC%){EqR)g>+9j9tmsAUCj*%U!67$)tkcx&)I+eUQzKro7Q(z zagT`1Di~|hX)I93QAj9YS;XlVM*gi7r`z$KrqA|@DX?#OV2!={*@K_0&#nhrEH1Fe zwrh#5Ubswxs%|ZO9$qH)`tQxH^v(N#_U0VJy$x*YuBGrrL!MI%l(I|}QH^M`=+#p2 zXQ|pJc}(FeyBY2~d3MCmwuwgax{@eO0U1aJop{sS5P5gqgjx1Vo5Q|@XZZg1jX%Fo z+bIg76N71W_YZwqAIBDlT1}}Rc&GKp9*;0)iY;RyrfpNTi6B6Ryy{wT8rYh#bFh>5 zPXTCWm=Rc2Ps!nyyj=&#eDuj_{=GUqb!3=GS+7fPgh_l(SPLzspCgu#d$cxY5I{hG znI&v}Cxmve@30?(1z_${YZ&DK$cfL-N8&LuhRp7%2p%g@SvS30g2bI)Sj~5gZ24{p zS&Uw5GfqnSD@OtvuWWQ_Jq^g97EGdJ$Cx-jIyL&KG1E)wmpYIpF9ybdp5Z(p0mK;5 zS1iFGr)X{IfdO7MxBZz~TaT1-y?O@>gDT)w>!F|b6_3d6>~)dQW%E4eklL@1mMBKcgsNME0#j|A}ChK!zF6FG`c{I{=kEFu>^Mk+}P7334I|AbMkJ<%xh79c) z`s*DLCnLgN$M=%*Q==vfESfcd9gijh`Z$bjk+|mKQ>x_OlcT`K8j?Qr9;)C-eKy9a z-*gfz%_}M38Gly6I^vyl9v}E7|0!e(|8BT~|I05&bW{k)ivgfTdfs+=QSRX5WO+DU z(?gbYD`_P}*{E063r>QA(NUq_m_VSZBB5+frtQd%K(UebngPk&RAYK!T{ydA`V?n7 zpn^~ZaF;IES6Cuk@39G;E*_Ahq`5hHuWkUkAVh zJZ?WWUYuSZvsWC8c&|mt(p8h*{@5lh!3y}Viyj$YT55i6K^&5=c8^m#o*Bp*{Dhh{dhyfidKSixyvd$Z zoL<)&HwbXpWmuUlJ2u0g6xawWcSZ^-A{X8eVe_TLI9J{c5c<&8(m0tdz=k8u8XPtBod1h7SHGXU;#g38=?h=$l%)bD5D^Xh-X7viRdCRL(t#2oGnA0@!)M+i zg>Li&-NoI}Xm|!z`7|I0kJ$xf>upRqZ z46F+YbtQC6WhUI-i2v)kz@v+hklGyuNhtdPJ5a5E;C#6hxtMewKs^}xXZmPI8dj6cPifciJ1JaeYiVq&T-sniEMNoicu6-$yVW+L zG|BXyGT|n%dDSTS9Dr?zNhA`CvE1n;tdr~Ls@%Qw%uGV11?RCMd9?M9u2g>=n!Mqb z_a8)TaFVZm}v1KvF8s4fnu;tHC39v8;4??A=%3mrH8zjCi@ET>a-f939eE$VfXB}vW&G|S`jKdh-6ZhUg!y5W*fl-Dutj`V@xk>)MfJcp=iSVwdonyLL1-_t zB(t8wA!Whyj%Zn=q+a=v)*7MK`1xpG4&GC(yD>##5(|S}#B;3!YwK01+=1Cp3JhQW zLXvaz29qa8G>f(Ds)<=Z#+Lg_jkP-}4L3ehntLRz|5((U{QUIlvHdw2zZYigLPV*| zlu6NU2;-At_0(<=N4(JDpjPiPxA~lYLdm0@3C!rNFAJs^)HoGyzmWJS zDfXOzB%--C7+}R7*X6i4RfJKiUun*mn)UZXvCz zRF#YXePU7Pw^voKol*0Obi$cgx8ye-`}euboFN?(yL3UXfHQIxdJgz`d53o<^0X+8 zh6W0a)#Jw z%?SxrKGdA$rq7Xh&!P&yeW5Z{9_&V#%i?8Q*?cq~&|V zsI!BZ3Xc&|{+o(>!j&ko_!>KpeD8o09Ce6p0in#0WV#T%d}QUli`n$8T}qv#)lJUE*Q~s_|$1gB7gE?*Nvvo{%~AGLz&9|0luYkz$pXe-w2C}QAbhKl8E2|Rrq`%C=+GF_FK zIr#JY?_%B-1#+67;UASGGS!5YRGW@h{V`b|ZrQlY>7ry)UV0--P@{I&lvnh5Jf)6Z zzbrU4`RW}xMD|Z+`&uizGg!I9w+Tp$76izS#M7etG@7|S%b*^440>M;J^pSpVOe>i zR^?L{;F?3X_)c%oR%fD5g0q6@`>!Q!R5D&}E*Ud$R5>ijCvmh@PC&o!OBp#f!Sq7} zcZ^ho6v~86FpVl;IYp!80)J49u&1O+-DJ~b*|GAckW%k0&4D?2*1H^+tpYXzFo=+| z#d9JVDwgqN50~;ht=@N@aYtWM?IDk9;oh{P_THbPUP|nS3GhI>cCCkz)h{{?(yod` z6VXGAa~*7B7W9U%RBR|KcP*<*1gU0B&<4#JhxlBgtx%!3?|N&9lXIiX^}*shiWeWa z@h8;Hm^D{pBuS#Ln0biy-1Sh=#u!!~G-7XmC=QG$mj+A=d`DwR zMXJ~I#c`S380?-t)WJue82h91YapSQh4keM2*3k&T?^Em$|t4eOQelz2yljT5q6)`YlpE~`5Y-jVFsz5z$)ZS4CC zc$~oLu&F0yx0YzI9jpZ$rchpw+?_PIgY>@c>q50g3B z=cTPSAJo+;QIiArb^-MtMr4i*F8(d2_dVSOSRGDj+{PX9e{caLm2eTK%EZu8^vv}7 z>ZA>Hi4U8Gq^S^~$|=y5aA`bl`c_@K{g%TDV7)E0@hZT@E{@|_xnC$jzd~_`e2h>e z#EWG$Ppmn6-*Ss4^!D$3sq{s!jI4sO)=OAXSb_QwSi;ZYVj{_(ueCAKRDSZn)oQ>U zXBMsRF5;^YJ;}UuU;Z99w)M^1z?~mg8Cqxgh*Xm3aQ_3PD%Rc|GJA@OhLZ7nO6GxNCW=; z$7!m~Y5Vr#0FewwHZGJ*1CV96A%xMw(ZW7Br+TTAx@=CDZcF2(;>m8#^;uj*o{7-U- zT7W^<`Us>OH93a~-(mZVekRHlZYSRbOioC(` z6Xne*ap`={jB5(N6UF`V?`k`wMr1OtgO2IGTD=s<=Ema@_e#YxC|`>$rp~9qUI7w_ z0#B9gEb^Ql`ncsWB-#Kfhi1tiTnZbkb-kv~gmb|dTn!HKVtOZR0z1ry%D%)y8#CI_ zrH>lN-d7~?JuCYwcJKzQH_YJJ!3&$A<}?X&Sj#x@S|*c1o`(Luj;+~~=%6R4)=6RE zcO2A!-R3VnfY90ZkS%9wfH<}ODo_E*zUZiod8kv(M0LI94)Yn&z zk>3oyyBFd`fpZ7>pWgx2NAL>WzkYPD$ptR4!W9-d#2P<%;f+TSer5>U`#&qFV4eFn ze+YKD<0oNVU3y}zDp33j!}Q`1y%?EK|{tjM2 zZ$c}6c-Vfv!ZUUvTmBohxNx=9JfAQAQ9BK&Ia8$olwa!e9o9>J!+rCK7mjpeO&r%k z+|u`UTI0V{nGHu+0&3X&QOB%*)7T}6x(Hl>+8w!V`}FaUF^-{x#e)AG@(|WP^(va% z`5yqdP){BtDpwD_EJ&`;9`@4((b!ZHOZ}VUhYixd{{n6dGp@CSfW3Oi4?~+zh{WWO z4}1g<=md?EDTRQrRl7$NPRfdPplbm4fG5^KKjkKQy~V=0nTs`!_wd^(|Ngu3Usr#H z$?vqP0tdZwx4wvTU&I3L$7I$Y7jV`jZ>*DK75m641jf@`sL0q3NiV+fAKg9~#!Xl$ z{_3CttkoMz%UZ?_prMN+LJ+2pZSvvkmX8mxXh?EpM27M82-Q!#ToaBWa2g?whlrbf z{yscbA?~n+PC)>XyRWxYV`s5-^l7cGD_|t)v6DBQIE-4=KvmQanlfS6o;CUUm{tEzzS)dCkR);3VB&;;@~?F1m=9e(-S{ui$mZ~ zi}dnGxCtBpn7{uD8^;!r@ihvM{8tefNx+r@w@;}HxUmD{DFX8%J^8vQ$^b6uc^l)c zJHPSo|Nh-P0AL(@pP_+4iON_$Kw{6(SHp(WjS7LV+3}=v39OcPC#5VF|>()UU;lJ0ksgC-Kwu6PK8|uy#L|O&3LZ^|<; zQ5q7dY^%!>S;ke}Z>{@l05p#Pe~fjdJ*Dz^eK?<+nfyR8$pb&36#dL$W3<=^1Qd+{ z4sXC2d%21RgANDIzSIYwuZ9H7rMta+jjOD)I?~`Y<~r2~I&XYiAC1|VZUXI0h!a8L zvM|?R4G(80B^8Aey=J(BEh3Y3MQVMGZ1|q66CefhsmKn`C&6R-%dm?6ja0hU%1H9S zW;O*X3%a$72A3?&H)CdLJ4MT{6U>!oo8#q-{*^XYF4m>43K=xz->U-h@sv zTiHIJNqb8n+WLU&@f%?mNLO^=otG(D*NNu0Z^onuQZ*P<>8)3dxojNitxxC-OdW%E zi~0?n&d1g<(13o~y%0!$!G=$#(9}$yj*nTEM}WY?Pu1+KBQWkUH2c;ulM@LAnG z@n$3h1sJPe`bEM6f7tJa0}n4;#J*SJ{CSeUxiRWdUyxVo;c$r=$g?u@q@;H^aU9ca zpljprnGMu}J4FtP&^cacbjaGJQonXH&~UAioor%G~x9g)gNXu)m&t1I`>VUIE_T5w#C*4O=bi}iR!J+B9vm?eEqz9#sgCoo#O`k zXtw90WjLdJ&-+Kb%#3IzOZZ=$fJK^1o?7)X+sPmb4A1tYDP2&%#oc_+ddEP#GByed z)}_9ppi9||;>{Su!-+#4+FVO$jqx+^DbECb5GVof({eFjr&gLMPTpwAY{W&Uuw5} z;%Z}8OMj4{NGp;f8-5p>Q5~{tgq8^yi#Z-GT{nr@c->cP&{q(R`G%AtPoA4WSjWeF z(aG%lF4poG;v&J4{~0W>MOpJHlj9s;kOiWsL!a1?(|w)SiN|WjNSa^~?Nid({gu%M zA*>>2ej&K|pp$7m^fDj3XaB7iL+wx63i01IZB`*<#H5unx^U3ybNp#ar<+M|u+#YN z_&!~e$lbF?<%~C(&z-Z3SKB&0F^o-Cbb&$WoQfUhv*p~w85aKtFw_tnw1I+vJx$uI zIC(0M)S?b`3|%I_p*RDdH#S5?=xVCbC2o{*u+%QcH7&GC$F_H#9w8gnDJ3_Eyf>!2 z2Ks(fC||L6+Pmy}V}XVu=cmE@b-9C}@I8|LnwPOy|8!#px&6AiDP5sZ6rWLGSnLax z&_xUbfD3ZFa4r207bL&K9=3uqsd{EG(!!M5^6gKry%4sA?~dsZm3EHYl6*NrQ4}9t zoMZ~7xbp$AxFGYgWo!{G<9}Kxcig*(2LF7Yc-FmWQtP-`+jzJymCoHnInoe)oxz|n zU+gPvA7&-ys4MNx?z6*3k1&qd&=ine5&MablB4-S!l?M3^!J<)ny9kX3K?jP#pV0D+#V1EA_&tqJfDG42zU1>$kT`G~PqnS4g#XlPY|romY?TvlhwNlVU~9dS$@ zi!r(8iJ486oJ&KSR=;D`sj)a;6JVM9{Lynm<;jMrPBr@Da2<~g-z-TSLULQIO{i64 zq{H3koyNMp3nOi$auEK#h*EV0sXzUQ{7sJh9=c@W6SN(~$CBD;|EHZofl2x&I{SjB z&&!Anp;mjWN!}AjEfX@LP+rPR4x2XUsp0d5C?&bg_XB5h(*ZM4H_kqs9s#L?qYSg- zJ*DY$ID(!5C(WL<3Il4rpS)+aSemX>{rk)0jVA-Yo*H&tp;q}EknSqFj6Z2O?4Y4# zR&J(#@Kw#MIH6+Q?99~v=T1XojC&)j-QDz@n%z8``pJ95H@Xw<45mKU*H$G zW02b&w1F82%qw--okPLE@~XYp8@a*zdU7XSb_A$~i=~|TOHn9>5qeH;&*S=gI{8)J z^&fmUpGF#Nmj|KbAhVsWU|0XXYmgFS#6GhmzljEuwrJbFW_>susm2zvVW2({f(Z~9 zzQzk}fA*q>xqWm)|7JRy%Q#yf0Yt(!)3Iuzd_sR+ZBFQ-+OZHz$^Ad^oE~okfpDB_ zS-p$4zakisfJ1pK&@}_u2&pk)+7NYpruP$mB{C(BQ!&Vf*w|RRtkO=FkU+DXas=jk z)5#7d=x4;42^f7Epe}dX8!fk8k$a3>G#Nh(9FRLdX-#Yxa0qYFs|N{U8Uk)48|oTu z@cLo|1O!UmG9&02h5a}Cps+h;PfSalZ?a5|p(a;hrK^yoAg6dznte^Wk>ijUHvMPo zzQfp5W!!0gB4gnb={Q%r4=?gBbjMgaZ6rvcf20?B zE#(}whOC|kozzYr?us_ePM2ZUDw%iIL^QycdLV2B8 zKUk>(Yg~6~FO8XWJ+0MvlRuR|sUi_kI*ZmRhrSoSH~8e+2I`mz{i?K;5Wm0!G)-Ic zVty`xL#>W^d=xdOSTsuI>3j9}*oB__#IFgwGM$i#-|^wk=y@Xvc$!zc+lkB!k*o2* zWxIqOW)zCSVg2rNx2C{FDRk}FIT+J`3E8h0@MqhwVmbD6$K(Jb4VoJskX{v2*f=V9 zMh+F8z8OMnxQdPfHJO;1nV3Fs3!3zHdVrWhR+|jA@KW4cSpAA>T&EmzQ!P}uBE%xG zp1TIQ*Iymt*KHTI;;tC4WT;Nl_MYxW06+1APe<$9AWTOzij8#6dSnnyOn1Ik1hGX` zIDRgC2vqSXthi6~r#U|2!@%{>Ox5+$x=tuc2f}n^cb>5FUts;2=qFlL74CMj(qPFk z<-hud^tDdKw@+$Mf5OpIeolguYc)-~`Rc((+-|>4JM40a(Ni~qy^hllWRJX9yfUGB z#?kJ>6X9s)Ctpz&Xefj0^A zfrU4^gN8{7zvROPCF$4yilCou0CuPm)z|aK8Fi;dLgv}0De*nttE(J;#7|g4H=un= zi9?(=LdkwXU5Dd$23r#knqZ4(M>(Q<*s=-HiSs8z>1S&#(aht*=^(J>T#1O*Ok%39 zS-zf=%Q|iSy8q9oN9#OtWoBT@fJ1>o56sb%DqG_dvBVz!8;4-2pYG8jX+{mBL4KPy z#_@UN>8EISOak?Mv#($CT=({f_LMkGW-yo2JSK}U2q>1We9Y-qPt-7T8?kO*!zINrvUn`Ow?=?O1(miMe_i< z&&Z+1qp2c)#EAaMeFJd=OqSRX2f-~-uYug?p4r}z0^DQ$LF69@_UEGP_d1j@`vQ9E z+bfZu_lOwVQCa;HUJ8eqrH8zjDR9&AaR2~Fft!1cC-Z_*P8&JkskxG?VTk%h2gbOp zFEa?j^b*aD(#~5&D%IjYT&JDSgv@+TXScgg8yY`}s}z=g>_j1c@S)rwjH`}b?>B3P z+Qp?9zwaJcw1-#Y&)UG-U0z7GN-pt|Eqg{zyc%Wet#p6FPOF+c?dy(ti)p!mPou)> zf$=+@3TNvZ{WF-ctLi^wD0_f?t=ge!uisNo7ze^2uUN^R9n7KQ!Dx@pXlv$|3l9YY zz8Os)&5_BRERBp5<^-&W<^qAu9Q>B zsZ4@+MK>#LVx)U|6>Ta7>aBHwlqlu6m46YtX42>OqZZuq(})jGf*{POrW&22IOEDz zoBZqXUvd0LxMSrDO9sx0NDwQ$smNgV8)Bdhb^5T8m9YW^DsH{t>0JC4c=Mbq#)K-w{)la$9AXe*jqloeC}!t*)9%W z?=CV4#B8CbMswo&D*NjD(k9sJvOybG&I~&n>yGmqJ|MS^y{|j`x^I*|6d#k$q4^~? zo%z^95$W6S2>v$6zy7MG0L%*4Q!=bB<0s6bi$jO;YT(BWV5JG~Ri^prL^0{sHC5Kc z2S%7nGw5~Flxw252MxfkTlFBf=M>gmf5rd59JKd!^STinTtUJZTMf)O1Ewl!mA8-$ zRAJSUs;dk0)2B~8Fl!IvsF`vB@7>A}x%HPz{LMRlJOPl0^AcbHSkKYXo9G-~&izj2 zR<@{S62f1dS!9cE6$bVHX~a7K>`R{0!Y;7^I2ea-+0ye>=3{l^Pkx4JLx!*ugqZ|7 zXKS;(J`d{~S04ctlm9{NV_+FFnRvsIa2NPETYcCX#YTZ`CHUhEovj<53;y;-Fe|d5 zA#BLP72nfopg0$U?&E&}z47~V_3z*ic1U4w8dl3h7g*0B+QbU86a|LW8);ci+=U(1 z57Mk@ecZ6_pmPo{z?#c}*Bz7}<^Ew%=FbcqVv0gYj>IyxIj?*Vh$$91%hH5dWt!sMDr@I%vxD^ zs+zo>XNh?})nMvdUj1c!Z}!Ejy7w-cbk+XTE5}jjHU_<%m7(8NJ9M$N8;=($BXgCD z3^(A@vTsY`Ir3O-vSjo^sh(3qz47W^dtK(u4lVg*-13z39q(PevLT|Sr~Uu&tBA#` zAa$}_gZvh+s{6Wdux&)+n<%XH&3Xy!YF=U0+(aQ#!K|VGUu^K-`V#XiamVa#X6VpM z>+xDgA?zfUfURe&hT5IoeHk3ENWpMZboFA?e>}o}Yw+LY`mYTB)osxM%*IQYCm{(H zS8h#f!0%6w&>*~DzQ@9rQCNfSv&*|qr_%;tU1pyMS(l0c?~nCfy=fjV@0A#d(3|2J z@-)V)js8BGC`FvNj6Xs6YxD`k#IJodqze+4n8MS6Vqoev%u;n-xC)qWG?FkwuFCMSQphxh09V@^a;V_PPU`Thu`B0P> zkuu8P^cM_00fZ3rs`2`vgF`{^A{wZd#rUC%p6sSZ&N^&9$z%EVPQ)UchV3?NJ`iH{ zdxdx>Qa+=rCrz;)PGzgI;iXs4nUR&Q!?tEm%pdru+ID%ex^zSLNZ#T zyn_yyHL?_vY#ZCKz$-dE8kateouO)g&%?Pvn0L1PK1^PBq*LLyU-%MQcS%Dg3^;jz zPczx_X}uLive%yCx+zoqxzCT3k@{xNUIFM?a&@!MatrHlcI5{T1z=bZcB28gy;88# zx*N7;69&zMd{)HH@6C+3cKa=^Kq+t*^Taa{87QjFyoXaoZy11~j33(Q?SLhP8;wQg z-Ypyu2WVB82I7GD`zUIsNvu|>k+tkG-c}FZ+x>^n->52Fz~coR+00^aTm+xB%nxwB z_UJdHfbDnzH?H~eu43MSkk%X1xQb{*oM5sAL;MMvt5QV9UUz-U$;M$|g`jg4G0v&w zDM4;kaX$`biBd`_`GR|#$*}{UB}$sS!D1;v2zW;l;LlMCc0IVqDd?%$nqGpNLZo4N za4-nz(Yb1hsk7qWY&>QO+!#35+~1HPlRj~o-gmLMs^`m}(V=59{qDVaB(_^0wjQGy z5u9UQMK)M5#WZ8ME-`~D^Y|R5A~tzi>gObV_hyK6Xb7JB&W1tInzSzRG-8c|h1YEw z!Q_~Dnu%X_{)WdLJKnYbj7t$G1iZNZY$k(Y?o})gK zgbPO;G+J=!(aKFU6VB%g`S^F$;D-sOz*NZftUQp+=~krksg$fWrDB_4Hz_qHgIY#j zniM@nWj?R@K~tJ=Sba(rS9gP$>DxZaB8yKJ27?%Cn8*Dpb#*CxUvm9q#A1%1l)YGb z>u~pt`{_`b5`uW%wsnKU3mF@fiBx1mdcH@E${t{8=nr-@bGOCYL0JD6KhS^yI8pBG zpkhE4JZ1u7ihA$06g=N_xB&}kIyjt0tQHEi9+a#Zio`?q5#Sr?dSjB3H`i+1rjf>G zCXp9GGysmQ1$8H-pQJ>*d9Nr(};o!giL3$neoF1b<~(Xe{=AC z-l8=*q-{fMk4ib56=d-OLtp%X-!+x!^}gY5kleU$>~hgj#0Ujl#jtBiWlG7d2`#Pw z8MJBldXk|${f%pMpO&e}D7&vDKI%*eMJ#V(nBEVXCW!V+%9s95r1#| zvNa#>UIu+z$G9TYx4C^}%RM>gasTeu`^vh6cxGQqo;z~nT@B+8OBzzVDAvPHP8rTi zvgTRHM`Jy|QkK!7XEm=Dc7qpI)FIw6yquTX@Z4y2T-hd2T)?jTKvOp%bv$1qUOZ(? znf2qYhQ1)N@02p@uXq?ahP(7AO@FTE1B{1PiMJE#*!JzZfzBK~WC!gmjkcF6R4gOF zLkm3*nY>|_R<(X$AX;CcPuKlk*`AwNVeabu$e`x~18Hrp=osUhV!FiTA}NsWnT|n8 z0TPhG<_PdP5-}GjvxsKu`3b25_tzrM%gO3zsY6hzi_9jqmHPpxhOgzdTV|6#Kpn__ za<^=R%lUzkT9M;poYV%XO?3Qi@o{H<6@JfND?x72{cK*>vsQEozKkJ zN}ReKh=2|H)QSntR))z+j?{R?*tj zveQlW2v=0ek86`Fvju(dcoDZa4n{o^^-buz1p2j-f{XXyL3z(9uBFAa zIC5k<7xKSdf7OLYQ50O$kD5HuR@LmKKY7_UMjN zMl2j6oA;TYBO7<~$T>5@_-=L=RTi~&T+#*CcEGHv^tgGCb;a|c6GLN56YZr!U@k7E zhaTL#Mk&jK(5TRX6#z=xj^7;jdMfLZil(mH#NM!5HyCjUj0KZKMBgWmz7PLJY=dy~ zNGyk?)Ivr&;Cj>7^9v?!C77)%v*8!vBWtgn7lj{694*Fzy^Uyt(QVxg`%==*v@1de zW~DGx4ZL&Nmwun}YHJT6Z0~x)Jo>IBkor49%GfQ+oD9qDw90K0VDzi@S2j>iaq-y; zef=+ZTg5Wl%puo!=^u_Xs^)=PO@6Jp_)Im&*p{kmxwz1Gimn)V_!ZbiG5b%f)yxOn z1MfTTq_rQ3q;7t^0E=B(PUS*87?tsy;61 zIc{3L8~;QKUjqNW<@CwRt}!0M7Y6rJ{H=p#8~16wb+jbX_+68r!jR_%-TSb7Mt<)b z#1_388uxf+iwY(_^}evB#{aqwA>7%LG~h^swGS9pr}OLB=;*q5qZGkh*5H!r^mrR( zX+H@dg}C1*HnP&f1j+kGcu|Q}9~>`Useik5AbSQ9q|s)2rRV70e$}y0LhVG&up`*# zgQHc|@iu+p68i2PC1F6r4VnlHv#^gP!z^G_VK4vSPv|YY^W#nWB5|~2Z)k7M>S601in`F5$G^lplSGojh zH`V34qQ??l6Zi1#B@fK^x#7H63xX$A!p2No+CZ-43c-wK_JvZ)@3qiMpT%KEd5wmT zV!Gl27Yx9~JlVG~cOl?p= zR1vA*n~)qY@6!dSSvdh62_}xQ@k>bZwKOoBhRQ&WD10S!FPFGgnK(clXV=?^y=J$E z`qPA>1S41a69`!cm725LHcQnjLifWsmEn~e!#v)M3!+iI@#B)q&E7Mw1tT4&HCoq4 z&?6l)9yDHiaCAKZh zc2SNtw^M2!#a^*!@IuGDY>*q6s9zbvu+GF@Hz;X-d;EGLFEJZO%hSTOtgUz1X%W~w zPcQ`>>>#vX;{Va0=I=g^W+gY}`tg2ldz)x2(2$g{D7`2?AlXB=$G|NZaKH|3@ z)>zJ$!$qQV6_#dU12;5Ll=$v0&U{lq54qb~4RUkxoDca7 zTvU`w^W^URJUUN|z=Rw$?ViI_#q#lBmuHTl@(9L(rt(ll`CK8#bw8`mLNM)zx7wI? z)?Bm1`3VmThPgzLAPjL_5#C22>4l1P!Src8)P0%A>(nuQ_m?opFasJF<+hd8iQ*+| zG36KT9W0-qExC5R2mqc-5$>PUf$-ST1*N)8T=$m1w!cUIEYmf1zm5mqKYY15~z&otYD^;$d~nT(_?EzV3osb6nZj z$%TBE#cDKZ!{&qf+9KeTYr*l0<>AwXDj|^WS0AZW8Q~ujdSg@Lh+1DW$>J_O+6>g~ zK6`QNY-_E1CC;LjA!QPJS*2F!N>~^$57cF&&ZjDiH(lKDa_mNnC*C*|jW~7!s3{dM z1>^}q7YuuB=I@HX`)sO7523#z<1|o||87E)pp>ytohs$M2G144qX5zx1mu#HZTivk zZfkA5r=iG3SaU3qLdspgpr_>xJX-XSOmdZw5t-*{&?KLMq71)xhQ548M|I$Q@ER4| zH>fhG{Zg{00}&RUUd%qhl!;DqarYG8`D%PqyH%~I!aCldiqX3CTm2mdQONU)72)Z% zozT;tMRzt@=7v4S@sUqR&S~n0!wR1O0P3smaNx-5&zHf{Df9f*@zqPB`z9++8(Cj! z^uRg{(VYgpBmbVM)%}0U)Pgsre9wLbnXDtmcdPsp+gMT$g!kL%RhKzkrpm?wQI!S5 z{WYn}tDaA5D*9f|rdEQd48iCi=irNd-O4k-O)un`)>cM54g0{Y6wA+|f{n*D%4V0r zwlemGjar_3bC(#?Ym|Rt3hvMxr^^gM&8mclwIL%3BOQ()dJy@~%8d(^X+7Ouj`CU! z+Q7V;;5M#bogz}Y3|=EP^7$p}ad$aX1o2(+S(VJ%Ee312A(JDVSWoW(V3QB#Zq+;- z_|GJS%%=%~d1vn%7eh~4wiZGlxN_U0^jkODBbKFTLs(b0XC$?)J3Bn0-9xFH82hDd zu2F~@a<3*ba4mh9Vg=0R%~0W99sV}rulJ6Wv8zrsdNPJ|NRU;FDUhqJ9{c{{hCDDg zpGw{#{$Z0tKFhx+6LB;VG(7{cILZ5Z!ip9qkGr<|uaKP=pJTQbgBOPfPF{wzPE`z?6(N3|11C(H<{3g}V$6Iq-?6x3 zGHu|A9VEFZ_~dwuUZc3~TZQys6SG702BbZMs%2gqn{&_l)%~nS5shInp^1Hd%VlY! z0bZBg4UV3PBU@w>O0KE9vq8!6Agu@aya0bgFog-(#;mrdSr29Fsg8DAtPBcS-bl(G zoeI?NxYZSQhT-7$>MY}OBf_s2eii8+XrXrq&s)!Q2^zb*z8dTA)7dTREzc&a4q;1) zw~00K8eM_}C636XMeKG3f@uTwbsI8^CG3I45c`X0;wvCV<}?WLO+e3&Sw~!60D)6$ zaGYX^0KDAqlFc+M-)*HxggH>9(;1kXaw`M*_6&OZN6^>XE}_{Iq%=)gFM1y1Mci9Y zBcS}+oF68BXo?mdB@rVq7}O?KSh%X7A#trHvauX$xUZ!x$TaAO{B}+Mf{CqavrNX9 zCIdHXzpYE^$&JcE>%kuY0}0RB%o29T1u5=TMGHeNPxr`l=#eq-Fk3Xv=OMss#WG6U z>**VToidoCkc~#n*+B6AUAJFBYgQ~|=qW1o6PR1zQHC9m_BS!RNmI-|dfZsjO)Jx{ z`N3H%zbnOFBDHv9v=mu)XQs05emjL@&9e~BcPx2BeP)k2+-S64(eTQBx;gd8cnVx* zJ3lW11{sQ0pL~?XBZ`=COyFX;rYn26pb&O7vJqEdXKts zpAfdM(N||T!kXt!c+r&oPUOVU(Tjo476!iJkO1q(Z!!eoHn9k7=vYK*Y-VZ{(_D|= zfO|c9MlP7Q_5oU0#2x*T^8-nk(Xahfrf|m(Ty%^Nb+2jmJ+A1%z>mVQN`J$$g7QQFv0aprgG-|B2#FlaeBH@KU@ zziDfHF&u%3nns?nHzuATJKu7w=4&7GzYo}8Zepj9%xrt~L;ys+L7ytC1A+etPANQ> z?pqK(_wo-Wv;$J3aZ|Q$!nnJO#b(duD|_y3g8iP_Mm0q9-k2hpsWF_J^8VxpKb3hc z&ZN3@)_CHeO9Xvt3L16ybKmTr65dHV zn+`^42)+yrvDK-$F1Ot6iOjEGF|-U5WYHUyw3T-A=@_IXr!KMg^lk6+4GCcygs?$Pn(zWXKMynT-4rM8_DX09u(g*TCg(nR#X5^`W{K{J zUR__xtaEgJbCq@G%AE}8y#zNtr5*nF%G`wM-S@c*F5}(02&!zAEAKJ3o)=|zjR8^A zbk6Hjr8jW6eoj;Bo=r`e_v_epM1J71Qss(Pz;6D8!;>6FwPZdZFm+=M0w=`{mt>PF zx{?1Wr{S)9iR@xwU4m&7`!h+!QR9LK^E}k8?CB(tD8VBpKKaZ|8Z)~l=*%0$tG`^NjL0FQIl z!(7o^3V9BP&D6p($q5B1w1bVFMrs4ceB`b`z$EGej_=nft>^OxDa9JeCo00Zyc$IE zAsvdTdUI*-;utC@!Z`%+gOK`Z5xqAo6@0lN9Z&W8OX?gs-dzn#xKP_*RsKsegJw3Z zYzJP>cg4VX69&)cvr|mPo2Il5!W&~28}YAz0JFMpA*(l0_n;;}z5!PoY>*ac%wWG8 z_(X1-BjE)IVnOAAy<ZrbF;7|o8ft(P#G6{STq28aU~#}%pUVR2BTM-VUA zhJu948Z6NgknkJaB)orHql#fMdKa`qNixQpCoQ`viLZjL{MNcfxt*6^iA|JDG+kL4kI@9l z)G%~w(y)xEaN;E>u6oYXrOkJ~DsD2JYcFEW#zB=wr`27ik+-5sqtJ5VH(3-Hoh&$b9@cj(F7k4uCnbg_0?^f9^!xh?Y3I~sBZ1L?bOL$eOAYq*Ut2J9lcuO z@px2frh$aj_off8CdVWE5IdH0@n!bw1&n$V{f2>);P3BgBO4?>8Vyp!31uznAt-NW zeetjkDC_P5?z}OP@n_1}80~6k*EM zdS!L<=sPY_zJ_MnL!aAIf-%GZuK-WMVI2QU?4|c*Yo{67{@>;g}a;UKB5O{EcPD0^as=w9?J zn$hw8?nRNP?NC~PME5rYh`G|<84*#G4ekM>!l>BK2XRdMOEEaH2R`R84|^K1In-4zffq3b3I_us`T7;Z;! zqH~VdV+0BLUdx|!hYEU4+@Q0UxC|tfUIHGkGh_d$Ec-BdznJqw{mw`U;h)Bur z!~v-|3*=Hh)nlfQB?XD0+i?6k+K=pV0DI8GK{WFj-gpn5!N}4F0~%cFj)d~-Ykys) zweE+wUWA^qDlh!o>t+-~TkAu@C4e2_t&1fEUsV`KRxCf7vKcM9|}U(dWeGQrnN1^;vK~e*_Yo!BQdz2fJn0$;AoRuxUdw z{l{dtaIL>r1R@CDtMJ7L@6VX*cC8hvKm|F_2PRxz!%Hu;1jVuX6& z*>DIN#kqye|L*+JyRKhwFdcQ#f266 zjpJ;Uur$7!!n=SnNPM9_sn>6p7besm_N&^Wtq>-_$?-NEm#|hZr(gK(%~s_0Lw>X9 z+Gkzso$@`jyuZ_+xqdy^Zq({Y3W#ym6l_KjzvJRdliw>SpMdM*JCopYow(LLJdErV zv8Y6&{4TQt5+D1pxdZDndF0IgldwtEk|&qW9FB?TJE0#q`-Wdp)JhGSMx=rb3Q(|dQzoCxD? z4kFoR(5W>qD;d+}S6F?j=K8SMcx14CTrW=+R!cRQTgj_S->1jHW|=aA%q@hn;2l#6 zWw1c`yX_lc&p#U^D(J=_YBs3wW$+o&s7LN0-`8C&{lhl17BsK1FG{# z=lv{z#3YypwG9w$hTU`*x_J5oVVVCe&_?9eBLk)r{P%1?BhA*qWz}4Hhu!oAwla?l z`gWJQaQ`;>uw~*y+ybxD8|Z>Bvcc%e;+1>->CO0u7eQ`#pGY#uv2dC8;?vtCc`U4@ zH=|xLU)Ar+No`^|04_%4I|Z+sHIAN&R^6_M4I5#8zg1`wGE}1f#C0sfErq%kHAGe= zGo+1h_B>`D{JQS>MI^KI*IursJ@BqU^)>h-p|Z)>bufMcQlL;e@9?SVId>F+0gy{S z?54aZWAgNN3i9m`Uw?m+>J+nxjqhdwUqMY zYq62-+388h@eVpSdr#k#2>N{qK7HLURbEa1(N1dpnby^K*sYqZ!C$$YsRUT(sfr7D)<-7k@@B36*YmwH6Io7nE+{_Kv6*AtP$2>d5Ey^w3K|7{?E z8;bM4>0Jrl`sFMBLJMoSWcHyEwG^x`R>}7_ZecxLuouuy1=Xt21?bV&l=VjjTRdSi zhzIk`F;Y*Wh8~H|XImcz=7M7ZPoac7)BWY^dE5&iTH#|qUq3e%1R~9~q0VQHoTNDu25F3ZQ&h$gJ$r9XbE2Uc)d zEuYv-<}7 z&@N{j?#5Y@sHT>BF>V3U{d8d38jDt$G$e~5o(TFjZnNYTlvK!blcTFx)AI*S`(nnh zrl)2&iE*Q0hwcv2+O$LPpKnm6f^Va5Q$JTCd~WbURaOPI{?mOUJBVtUPDip9qT*QX561(qL@JX3zp7lF%<3QnX5;E~TVx zRMtknf+uTpxrk{^Jz4QL7kCQ5!fn|+=vScM3@X+d^;esK(A?Gc0#vXMMF*UbHOZ4o zoQ2&N9_{GZIKCH zEuedm9k+O?hZydxVb?BpmYbZ7N{s);~2r5O`LrG^?ihzVe%jKj-Jguv)J8 z1>WR?I3N_0C+*Zik+KiJh-Q`+{8(8M#>VG>bcM&Xnk!58Tb@g_eR`>>IZa)xxR9Xj zKgR_`BZt)>Ds~!yX~^}f65SA4>_>pnuX*7iI(@SKJKF(s9>!+AL78b;kl2!_p&5Lv z37KSX$#haIStg~Od;%OdaS|QbV3FSn1gIVn$uv6;<}vaKPl`k)_r~o#X`vffe5K6& z{}nYPbzqO~okuxfMti8E6EHzmhjJz(q_*wk*5Gq~}h zsE_{gd!hfmA-Q8*QD0D5`-LjlWlDo>1H8VjaeTKOH5qH9g9QBBzq}>)V8lea!AD zD}d7maz@B)T>*LZ$CeE(GyLyCkEjpktkvvzCgeQ({98?6Pfg=NyI}A*sx)xKs}z(N zQM)}myM0t}*y}yp3sJ`a zXqLLJ{ko^W{52jntAp1His_c21iikRA8*?&^+blO_o0KBSw@>3uxtQa#|zki=BCW~ z7AW4XtCZ*fJPcaMh(87 z4#v{(2(k8pPt;mV)!D5VG;Z z|HIx}hef${4da3+AT25>Er=l99Rh-sqJ(sJBQP|AbPAG^5+dC>fYRL!Lzl$RL-XB( zCw$Ix&UxPV{l4@5et&RXTrli=-+QlKd#}Crnuwho#Y-Opl>u%a1?NCg;44)VfaYrx zOuayMIm$oh<2q|5!K9~Om8Nz#oiA3Q=f!nKb+tPm@-ld4G0V2KPW6V zu*vEJh%NL?^L!^-)iD3${KS0=8S1p90i#X$V7CgcOu-tYzZ)Jr=;SqP%SWfs%l4G) zO<2{qR_1uqL;BK=lB~;p-Sjlz(BJ6NjC_@nu=wY^SZC|h39{h+!Swlt`{rXXaMaM_ zHecLxwh29hpfT3WsJhKYyKTS9519T~-t{s4jZ)g+i~jMa%FZ`(t`owY$OivQq9`zL zKly`RxUu{I7|Ycij3KRb+?O2~NWshTwa^DD&6CHrg$~iQ78n)IvfuL|BpfKa-8lv(lkfr;N*=&^x=X|Hl{mtoW0jKHwvriY_oI#s?u6GO{x-Zgc zx}J)X9*4_a941)0sDv}G9ZWVYzB=)#)ph_1y#yYkG(BM(yj%`vXCuG1hTWW5x6OhZ zZe3taT-UQC`{mt-kcodzGnqFx!3*pTwZJ<4*nRrVkt-Jev7d9O2GhRFR~2VD{(YeM zJXC;&Zo0Z$GI;_9<))c$K4a#GEnXxhe%}=d7cHcvQV9Y}Jt-7$u-9+fcIVqQWl-`ZA zOBvsX&9s;N2n=Tf&<)JtGxOxwIsSvreSH$VOY=mrfW{NPx^u?#IyL$+zb7!i#Kch{ zGH^F-@So%I|A*UHAlBMb@Jv$}zSl^HPHdiEM$mKs!_t)s>@NuaIn#L40eVVcze)!W zZk6r1PE2XSm!V2#z|K@9@}J!)19eXX8yH+~z_&J0wU*T0=Bfw|KyhTDmL{aHf64~?ru4UgSbXM<*a3^!$MR6u2|k7VMI~3`9Y5 zs6iT>jEnP|WBXcBMMak(z_IDmgFaw2Y3@)|HjO>Th$P^&7M1R9c|tn9bRPTq{a91I zNoenuv~T@5in7%DW|mZK|1NL`RWYJQs-R5mtJ|`e$D~D&M`C{K1`iM@LsAq0N+Zxe z;N1C;n6H&y9Jc_Ps)-D(<`lFvu%MF#l$fL3mEI5xm*?q}uMTVRVpu@f@qcjP_qK!F zblaX(_lItL#e2%Lo2$=-K=_xI**91`fBT1JW{FjyLI2P9hyUbi@y#9}h)5z(EakOq z@(u`PcHVSlMtcS~LNOTK7L=Fpqr|FUJ_UGTD%EDnHX%CnM8!n#K#hU@*Fa5WxGm?@0FLzJ zyy15yFK_VvIQ0JMBMrRB8BJ`sB>d(jaPJ;HJ4*(>(qb)bef2m4Zl18MV2W?>N9iw5 zBrne?{{SL7i-5Ha6|U7K%?Qb7TgbB-rIz4ZTP~vr*_?$>`G%sx&8tIGAlCx@)qiL zM>?RKMR|XHlGOyB=N_wv{_8Yo&6)`#>jfd51ZVlUu*=7%gdkt}96tx+Dl(FmK-vROreuC!bk26mJri389*B+Lw&!~1-Cel3kvaCURVS7&l zJCUq28UK%hRo&SVpx8xwZP2EECdV0q7l(qCfKnr(kun2`J+Pk*nd^h1OIr zkE^HDROKCZX_vz}uvBoMpH~PMqUxq8RfEB?yT7xrJ`1IydVMzQn|X!s*w*`sUrIdz z64DIR*&*r)!y%hN8MTAW^*S;#x;bb}-j1Hv;9m?3?8sh=kKgJT+?e3x98rqK6LzyM zt#ouHAl!M2H>vhU{dLpg8`kwVY_FZOc3iVV6yGzZuP$T;zA~>=)s=}bH?6)VXgtEI zq2#&L9Bd7GzB%ybOIL;Ps&X?^c|$o}cU?=d>0(z6`(7=qN&n8c!S>Me9*XR|1+!of z)p(UA_9kQuv7zx-@db!&OWg}mw5)|~P!4pQcKf@=jlyA? za~xIhs*e*>k>ka!CMVpcs)W9IOL#yk&F~Bp_fHHsMX@U%G|#fcI(Mpwdv!7{M~POf z@Vkaa0Ad+`htVtFw_a)ztR?Zla?teKI;7Zss`9x;Osvkf_14=#j`5I9$oG46z8&nJ zx^mNwN*@!tE;~)qvj?*_)HHm^$>K0}q|`X3ZtnW-MNatm^Vlm4kpb<2h907?TXg|Z zp$AaCR96goN3NOE-cIpi0F}iHewto z-b7~Q9}kClRMkPWoA`}};qS(1f0KUCAN8|hwv|M*?gC|T*c1dO}C%Gu5o`L3NtNyrG$N3sa-wz6Z zh4+#Dm|#jb=5v)#FWt(#NQD%_FF1J)!PCObSH=#lQFH32cF*OT?tBT~!<3(meAC}a zG5-4L+{zTwM0xlJT>YDq-TM{fCv5u89F7z0Hub4@eRNQJL-`6;)1IAXtEpk)qTii- zIxF+8>+@m`ID0$Wh#*Ofqoj0KJrG_1D*!mC#3L~9`Q0GJ@FTc;sZWXTzW~6j=mW0G z>Idai&E)j;jKUrUuoArb)xan|1yXU~m*f?&m20b`U-MPtM};U4Q*Qg6j;2pX?q|I^ ziTd!EEPGh|`x{t0?M{>)>ri2Qvrc^VNt1fx^!f3!Wj>o%REcw(Dzs?B>YhsV-nw&n z)Pk$PWRzW>E zFHWZ~LOSaDyq<2QyidGN5;kIvY-iB9gcmign)`m+iLO#RD#-0Fq<%4Tw~nYOw6H-z z)poyUagh}H^_sH!mi>UX3JBa!OUSF?pq95sXc5p=C96U+rWVTBw3xTsSY(zezaMDL z)PH>;MeJMyW3OpZjEh9O{_GZzx4&b52X2GJ@ffj$l)fYzyR_5X0=qZQx^O} zvk`E$ghgCY-D*rZq2%L8M^TFn+iwO`nFc`gO>=@eX+k}41W>noTz4{mG2AGNf zzS48-E_euYTgrtxuG3809;M=eKi{Nbi8YB1EK4}fMVNP&^XD(q`8@0M&8%wF<(e+5 z*{ManeO3JW8V{HGhBJ&KX{_RGoPlBZut_gsbJDE(2IIPY{D`Xh9zP-PNdm)5%2GwM zsOemt3EGL5d@o&S8CnRHLIC8qi_E;`_lsXbV5^1i(5`2r>fV<}A#c4kkzxhI)RMyc znXPO{r~LMJ|EOO@g*?V@AiXQq-YquLzavZpAY3Z;@l5uKmiQ*b!KKCF{Hz*!a?WYt z3Rb!pZSiV>C20yAbJkrToBAP{L^i$Zt4bq&S1aXLy3+ws(7$ zH>#e^-cN{!8IW`=bigmH)1FR&>8W1+EUwA8TMi?x$Ll7F7~rCV9G-A`;9k=f9dI!` zLrr6BZf~gBk@$CW;|-V_Da0<0Oll@Phn_Qi{^eexxd?56!U+}oW zSMWg2WnSH3`XqSzF!%w(*w-C(WWHqO0`{_+@gs)5Wxc3ppUc?JWxG6|4e^f5FQgk( z=N(cC#B1+Lf-RQ6?^?XA#&g)NYy1$pD*Ns=t5M)>^N+TbMQl|J{9irykid(`)DjpjTm++>e` zGoWDrMz_4WqcX_=jG)MF+ocY5%LtYW{0H0a2h$gF?yDWM+ceYr^$xX5)pUL}*~JqQ zyXv^2pIKgOo0>z`{d8>>e>{}gFQ9a|K* zI=4};bUd@}P|@2)Y_}PGt{hLd*QO)$O3^+Io~8(QcAo4&xg1r|$gz1;l+LCpXW*>X zeMA)NJeTu4sCai$bMnODV)Mdzr&92k^gQ~a3+&oM3m_ST+NH#q z>pGOGm{z^dP|#P6KTvbKr{b#QMhjNWQX35^-gOyX?szSVQuH=@c2mFNN80Ld-Z|0Z z0t1ooS6&Edp#$cDd2a>HQ>ebS8uor<@~Egp+9%ewDB}bKBj}ppmDqu`ampx;w6g0D6JYP_ss2bqke%tAW zI`3;;B-w5fIuwcKkk|5NW#Euj$334X>twKHNvULql5_$VaJSj$?pxKTFx2;jsxc~0 zQ{td+48baHKDDbQi==Yjql#i5Zi@j6=S>r$xOH8Qa?{OwuGXN~gpu~VFSzKOKG=FU zrn7Bfk1kLh9JPKc#QS4=`iy$U-Aj1~bByTM)#?DSC5TUCX3cr);p)KXJ-b$SzQ#BW zf@V+eXj^W*Yk~WMO$P|9Yx=ZHScOha&0Hp@E1!~cB7b;th4@o0!ks(0JIx@?t^)q% z;e&>Uth`xeVdn8h1AFhg8fO119!mi_ioelmg7tjVX}Rb3@A&$6wEDiV~w4{3kS zVG_!8%TjT}%T^xDYrU~~Ty;kI=6)bl(4K2`M0T^MSroCXVNKnJ4Y=OCZ78dY8FIRAXu+g zyD@PNF4wF*k#O5_h0LE|L3Y9~7H9-!=SU-#Ks|m8^<;s|hn`HPG$K8!wM7)4jh1 zPLFhliOz1`_lRWp6@0iqQ0DVIg(1Vf5&vH2Ps<(HEuOG`LPmOow6wTci2>A7x-Yml zUU!CTd6ESfzj`^y8`w1HZ2HW{^3bQf*@#6n`SgBod5p@;D&Fl$@PHgR)|!g~2$TEY zD*lT=hSm*Wlck8QSLS#NvDg+Si=e+wyvhIex+b24^ zW#nHa>u2EMHo{5@fHpI&OAQTP0ZZ752%6C|@WtJG2`QV2RgV;v6^-vqWNChLTZK1M z@P6ly?D{_ra?NuQ?&&Pd#>OOpkV3*8*drkAfbN?BGH~sCTm{Ie5!(X3x8pBhzyxM? zVB+BsAp<_(4J7lyllR{~+SKs$zWMW-;%EQ+GyVYl$u*nYRnIliYI#ag zMOBArGa6R{xrfEGehZr3#2agStcE{SR zp71#IZ1|N!yJ&K9?)<#t`-#j4z-wcnICLN3v{CUk9u6cvcy9nMjb(6yOA0FnUB^$` zo1aMy)ZD9ZKG1Ev^?NZgUvQd=rFljmX~@&2sa@#I{Es4Le};HH7jSEd)8g|6O(-)8 z(y(~4HMJGYz@)d+Xskh_KZv6!CLO+C39Ux_OHNS$^W%EzkKV;GssXBlH7Ro#aI&mF zh*dv$i^oA6-Z-Vo^iJ0dLy<@9zgz8)-4sZd&V=eYJy@19M5jXoBCC53llg+`0ns0g z*&`Iy;-b(qNO=7JE~;ua`s{Tlhha(htY28{zWleJ!?6F=3X?$5M<9>Zwwyypy#wYsr z#=80vHrgOEMo9}heH$xFGi^J4GCP|$zz8D7cDC~RHlmj1R+bj}7ItL7NaDt3KsVqo zyx$XjT}wTEG68{Gzu@ACu+htmaP8W)Z^`FbhUlbzLfZ8n2-k0-<1=YpeYhgRl_Xqg z!Ic(VX~C5iTxr3T7F=n;l@?rS!Ic(VX~C5i{9kB++x6>8{F9IWJ*2<|4-~*d3as25 zY`;bnIJy5MqQLauh$t|#u`>S_QQ+Wa{pTYJ2pGRa6f7}0uObRpy7GUaD_4Q2t7zO+ zB=SlNuC(Av3$C=_N(-*E;7SXwwBSk$uC(Av3;utj1@+tY*A8sFRR1KR0}s@|BRXuX zY+S!abhz05B%;IqBck)4(lttzo>>kEVb`801+5Ex1nTXdab3Ipz*kWu^~(dy$m>M` z*Km>_`_=V~Lbj=yd+%_zlgGEMb3$7*8o1TGu%&4&^?C3~W8U|B6>nSF-msjZ%aOIX zF<4$d(PJK5&K*&8GDS>rFQz^=TEuYwrp8OHDzw7~4@L?GUkH_YzIru#_p^YIN@wyh z`r4PFmD(8bLhQE^YHJ~HP1CqB^P+L^z|)7bk0W~w3!WegaVK>WLPgsAiemJk$vnKB zG6hg4eQvbo8_IEtWIV`e^^e%d10!dDwx4jkf} zJC`8ABrbeMG|9lwy#IkQvB_g;-I`D4O&=*5f&bETzB^w6T@-KQl6Nv1hA&H=W^^>h z$_277V)SJMy6|^Yt@F^j2+$zN$MFd>1kmmP1&FA@98@N^-x=y(;7Ru2Qk+RlE6@7U zK$9&|vJufB-}-&Pgc8&59a$nL2hX~t>~`bODd_NN4Qypk`=&=j!VY~MgTB;7l613e zq-E8`*c-j=Ite@Qy>o*F9ivStjf+NMf!>tphV`NX+k%xL*6lH!iRc>R&!1NDzT4@$V+u>}PKVKVvB$ z#Vp;gz(+P<9WU0@oElV`c0YBwxM3kM`@!eaSc+;M`L#T!?1A90tsNqU-U*g8R_Qqk z2t_hq7BtdR?F(MtBpzlqWq`aWjtE^wf+WSll>K_^3PLJ+f*$b}2f6dsUCLZ^LN`zE z;>|1@8&6YqLlNY4$u8E#IVA7sAUcdR3H_(tO!N^$10*v{Y4Ij zws06`PC3~sI`IEQBjJh$*GMjA&fgT0^(Te=k)1Z39oEfF{GNISe_7I;nT?G5mu|p6EM#0i#)3a# zCFA<-t>0n4?ENe3zvQ-Y|2K2n*nW#dv#|r&X+Pz#-TINk_ItM558QtA0Z?NEGTU_Z zt?b@tn*q6VGTOGLWI!zY63aj4z1{jRw{ODef*!yd!VSn+X7E*t9!nswYcJE^>(o+xk&pn~!7roVW9)qzIfB9Ni{d?5p zk2E*kf4l%K)ZUQ31oO9EKYz%J00teYgsQP$!cmU{Ov#H#==)db1MMGW zfk_4kSP)TNE>5N|G*8Jr3sGAuzYK*sE;Rcj1dNR0Xm|nS{L3FES^zBSrj8jU5upHt zi%>x-CJqE{rP2VB(86D!n+Fc}qb2`(1S?v^oE7x|zGZb>geavKi`W5}RK1@` zw_ESG+7N?uC5G)%tRMg7{6dJVRP_N6bk29Qk3;G+YIZm;LK$!4M+<#&Yoz34_^wyd z974Z2tn=+}M(CgbMkqQ69P5toaOu9_yd(nKcL_cl-3Hkv)Ad%qd};%+zs8_|5WpbU zfUYtO?Y0)^!7I#^6(PjnW1P@QPx_W{PWy$oFsw}KOD+P8{P&-Vn{Wy=FxUnw;D+@a zT^#3M`1}zEY1cL;fxi*254c)l&~~hA(So-;^J;cR0357QFL9Vpl@$kYc*u$B^*4eL zCKL<^4?D|VgizwO4!X9tDEi1aMuUJDU{Y{LRTNSlt-Y1{6WD*lhU+cxzJ$;`_H8Dl z1>5tw2Z-6Mp0fcGX{^MH0 zpCh55Mj!My8ZCrKRv$nj-%IBC3DvBf&V3uV_NkWI4~?k!9MK2ZguRWgo_|9{sxb2U z01cnp>{PwfWJ$XhO`50Pf8<0-KFY!0*!Hk`f4KR>A@hy!zy`EZ1v_P(><0|OR4unT z?Y%k4|C$8XP^F~+%P6_ca`*vzG*Zd!^xtv#Z`ie?j~6Y1=V*Tu| z6+;dfSAz;#X=7_7>c{ytFbJstB1PzF3N3#POx;dkXA0(K<}{|p255;iQ}22OPaCHo>`W|is5!)g5aaLEHwLc03iA4$rj!7|>tGN~={+adlweJ+ z2jqM+bpHwTdjO;w%<%XV_z6J8K0ArcH?R6xeRG*yVEfa;eT;Vo<+CGPc5U++cXBxF zpMWKd|CTw20CR|vXel*F0ac~A8z89xtvyj!@2e(n3UiolP&*5NK(G*k7jFYLD1+Aq z`sID1%ZKg(g|ko>rTb#}Y$R{qFJfO#wQ!urpBMAjT0N5kmjgNr*|Hai@jC%7f);Tj z)zCdUCr*n=w{8B;{msDd zRgT;PDH8D(^;ZXXQ2Y(k&;X__72$U3w!sad!}QtqlY9p?F=%tJgoev9m}QFhODb}v z9eB4Jrs?uMJpORs?=5VpYl6{Dljdk{v)cUyh0Ag&6ykHdM9ElN=3j?VG!++Lp7b4q zF-2qGI;X8mUeFM3pFjxUdOOp+PvR+Z{iTwrMXMJHcI+Nmy`IzH8QN2 z&zOPX)`2j;i7w@oiTlO%5$3ZTLKrK<##G*XbW%t+%xx+PeWa$ zf(PZAR>&tJaPWl1c}LF9d%EwRqG|U!+-JakC~n^OT5%u;l27h8rNP)}KK9zV@Kgt# zVORc4tNsS+dsDggdnH?+6mA5Fdk1AOyRfT!5tCu-vS$lekPG#ZBu18c(Std$5ZZO< zU1ud0un!8mG8(A+rBO z%Dq8T#bAR9q`iBTgKD9+VKB+C8YXu(>YVs>jWlbiA2uS$9&#FlJU$uIcy&cXsHke4nE9`f1lHEDDv#$$jMt~eTx41FD zNnC9^eS*j7!|arrr;U`ps!w;|jnrry^)WK`Ih`rVE#WmK9~~=hr;YJEj6uRt_U-ml z<$UH-mf&PI@H!zMp;(2+V~_ifl%S0}OSDNmt^?%_V6u(8uv06#f`b=(6QeS^XFIA4+8;}0qLY9SicWv16lZ`#Y$ zum}#DqSr}NK9pgszS%<-ApDhG{*kHKClu!<5WmZwlqlirneb!CJl|X5{CSFxph27* zriIQd4Hq7IH6k9m4d2`w+2w^MmNBKyo{q_}B{e!yL|+Drvbx^g<)`(FiAH_l^xUtq zkgm;tx{*;zGx>R<>l^XL6PK5rx4nBr;fuHklFBZDFSiR$=epik@(_W)$7?D%LLzP5 z4F;cpW29!9)0MCyGWs-01o>nc+HV?}kwuv^bnuLU1fU?*eH zCPpa-9_`J#u~y8Qv-icbHF+SebR4-5@pEavQ9fN;yTVT5!ISLOF8WD%_O791e6^?X zuSSV@kZE3flGRx~Y{EC4y^We*s3VJqj5Mx5rN2S;40_*t<_4tL4#n#z`T>zjvoRao zo=chovI{$?yT5w?ROfYtmS&Pnrk~CzhPJ7o9(Fg~u zzw>plCUL{LJ{^J>NBks5ubS*&dV-o%T9{HY`MF@+UaR@R2ywA9*vnzJZRGU!&7OE3 zG0r3>eP@(uw;R(J>-X^=)IOJ8Ck_Fqy}q4a-nBvgEl%QepGm1tw>5?ud+-!n&Fi_> zHNha$b&;rPnbG)MBP`|u8#~#=Z$m(NF8m5R0&4FR@xF z@+(F4-Fy#8b5yS!CTuIMQkK#E;@1BC>hw8y{PgSf#UwJY}XUy)&TOFJOiYCG=9YS?%LR)Zz2z~l{_ z#odz%Mhei~)3RHm?O$aJ%%@#;K9~!j$j-wTV|{57c~nR35nLkixizp`kJ{`FBQ8Cc z_iJT1g>!gfKj1p(k{*zCgRFHWOt?Yr8v@KyjI3`9RK!1IquLvn?GsqzYrimTyQL7b zluY`zxBh)PUKxJMs8Mphe-D(A_6RiVJIn4x0@fJS8N6wNu^xALdj9@>ETDW%#A!udi2A3wYHncr2U+VXx!?dXth1 zhfSVd77m=;cgA8YS)6teLzc*(yZZjUA)nX_kPTx+db^7|l?Mh-H~8QXKPO6OFEE{x zJkvi|xP#sB6myUTjI!xnsYsu~Y*wgexfoxgy1agw^t zWi4x3+yotpQMp4a&!W$z>KQWbleA-dH$Q|sd&y8W$#Y1@!`UgvE&mY>kdD%f^H!Ep zRYci`bU>-wIFnpJ&hLlloim>(o)cj?w76lyozV%W&cH3pny*9|1VtnMhme)kDxVSW z*hA0dZ8GqIon$Q5TTe)9Ab5v@g#K%%#%WWQIVF)9MJ*@bl(_XxNH3mX^$%Ewkvlc@ zzOBin#GgQBMq~qeC%zVF3XPP;Jr};d4W4ar>gwA)o{@0eTOS`z5piD`{3KExbSp`N zw7qfl!}4~dOS{^*MNi1968&1d>)>!a=S2`-TxeO>deyTDagI@X-G)q3qM!N>7dL34 zvz1aCuc0&_-~?Th$>$5rq>^9iFN>YBi_O5{jMLXT-1{UF%LU#+PkbLFomRZFE0R$y zl6lX&EU|S|!xG8JX_0_W-#!+_*e^U5?>I==l&P2KwW3)*ElEC~7qY@y&Nom>q=vcg zoR5N>o|#}fO7C7ft7H2*3r;_FLr;9d5Z}I8>DUsZLl@!>eb0Dt9wW(%(vjX ztA0wQ_jR!0?34a2uX0kz2Y*tRxmVz-EviOed-s4e$8z4+Csnf$+U+6k$N-Iftu^Ux zElztq7)Q{>kVC?#IGbYwzH7CAWl5*pEPpCi0#7%A*+P=!&AIv8Xuc;Pupw=Z-O{)K zq7ngv9RG03UZPmRx9>G6Bk?6TPuBPepejh&MGteB)!u11PKXmf-em?$?4>BQ#10M@ zo2tqY9*bs@yejSx0-1P*F8vrktt?S}B3Lp|IuS$W6CLqp<^hR60S}RF@I<=dNLj3y zgYiTwOBah;;_G!?r_dGA%n;VBT;NG!$zelg)C2RzJ}1Fan4f^%cdm$WCwub~$!Cm- z8ex0hIH!XU%570Y8wjrc(+PZPvlwl_Ej3Ut^@9cCe!MU+20YjxAh+l+0ncvD4T{!C zH~|o{Cj^%S&l(O&noQ6k^T#}+bCrhTxULLZXB3B*F?R-KC~*d}3^ZCjX6P=HZ~{wX z#SV&(H>1#W8GZ9?_U9Vc{AeD!=M+oAk`-J6PFcoaMNe>g+10G}dgc@82L`|d;J%nU zb3Pe;cQF}d`P-e-IPJbahr>f;@FF3tESP?vQB92g;w&VZgrxg6&;I9Cv$PEi{w(M_ ziH`U7TxDEukf7m^-Yf|jLrQbYL&@M~wD%;9eaCZV&S@hZdts~-+ALnOz=l3?kSqfP zF3tBclOl>))ORs7=~y|iwKR8{exX@Jml$lT$vK7$vba#?)D#+Ztmv4{E!S|>QJSl? zo(kqc44sl7;kSFZKZvTXfmboPh<#uYeMt&<4lVTmW zloIRR03LA0OfaHwl|+|geYPU#^mtYXxGZJdiV zuCe!duRl2kCGkoEWWW#P!w?Z_?1OqIn6Cj6#QL#`7fgfe!V}!q!p5Ax9Z#(%NlCn! z0Eq|^4DVdfjf*KcTtp4UXD=M~w&&^v`Ucr^WCDF<2-ET82I4J!iXAgy3z;z@tGl!$ zpzo_S(s1#3RovhSKv3$jynW&VEO1JZZr&*M+&Ym=@8AU^<1pwP!drPrDOqK5J4a`& zpnHkZTdQmWNpsUx#&G4)QL94F zV#FRvpRerwOatHR09i~Bdgd8{cDWH*7UEQ2tZ{7@VC1i@5x2g*JE010VZ-X`MUM{t z_RN=sW$F!1^#Y|Ff3+M7?SL?0Stz3p&{w>Z)$TqL!W^y=4kipB{q?ZZI}i9lapc`nI)ZUS)`D< zX_G4AAys?Cqddp_5?LHrd;wd+ou24^+xp9P+G!yy69U_S2pkS}R4Y8!JKD`i-3axy z*^~<{w9;X8TOj1svB_g^cqXn%jgQaMH^KDH6QaVmG=}1Q&aiM#c4`yD*U=DF=M(}M zByDl^GXKUfar3*_#3qLxx4-g+`*UC>n7-h@Jy3l3E)o)_8M<%J)y^B(!sl9o<`fp+ z_BE%8Ex2L|y~W9JJI0WA#|~V&cN(NY8`rzq;v@$!{Ict=qsc0}fy5FE5wlh7LG9%v3 zPjZ4y-vrA2Fv+UI;a(_+gsqRDv9#oDP-B%Hf*Y~}yedb!QACndwxIhl10?@#RvAi9 zvdd?bYTYEzaC`bthIMcO_n9~y%Wh{6OBIW>s)6xIIxJH9+YB5MP@Ri|tHYfQ#$=;~?~(~WQaTyKBGf(EiSu_VjC&UO<93!v)g`tgWi32cMUBo!rO zF@p+h^tv0c;c7WKtjFV!-@dfP| zLhjnLvW3jt(?LxL)P3j8A2p5$egUv21y>qw~ zOoV#Ku;EE>896IX$|Lq^`K@_#Vv2v3s3?s*u=iV!o2DzJA->C_|8hG%GsK=`f=Vln z$G1P7U&mMW6KecyeXqZY6l0?HXdsvCIK=A}armroU%dp8gfvfInAKwsN-#|~Q8QM8 zQ$NM$Hd*P_m04=igtYR|n5ITX9Fa`BBZ4N|#xz`vZDVISXU~nsZ$>E!b9d@MW%EhJ zBbgig%1t=__wRJc-)bQVZ@>{XQ6Q=_j`9~Vr1k~Si!^GoOpH|#A1PsF8z9%77c3!= zCFFU)LOs-+!Wxol3GlpE(&@yHtuw7OKtuNcAd;(}BoRXJG@B{Q;e_vjbDTZ) zr~Xb81Umil_js7ow7sv3W+KtL?V2G8sw(knNBG?9SU$A`?;kwL=bUzNyHKxM-dt6I zxa{72^-|%V8xHyhGjYjwNsemaSxLbU6fhPjyt?o@LNt_l5Gq z7JQ#Oh3|A3t+DaX=MPu5qf{<`CtY5z82#E7y~Xlu3>4NSRY$)mvDJPpOK;8<#9c|Y|!1I z?Hb?pBbGkBO>TEQBN<&-x(PhsUA?huDX?wQE(t5<{pj(unyVA~$f`ycaWIxb`x z?y*cw6f}4OQ%M5j`t$u^B7Z*Wr2ZWK(AKY)0)v$ZEH-gLE&>qSUPPHh?UG*On^LT_ zyVq%U4qa-NBTMA`?}a4zd7-Mtj~_l$NOY>`TgfZCkBOcjAp2qoIdL;fX5$QEySJ|W zxpy`5DKO&ze`!`}-Dv4D4)@9oOO1LT>vos&0IzW|M=9W3Uwk-J(CR^rj#_&mUfI)yf(CQzT>>9T^%MP|nK1)55+_Hw#D>;s&+Hw&rzEjdbp)qd7{ z>Gn>c!{r++!$vg&&U#N**iyO&%=C1sq<53|z7+!gIZ*3vhATGdW6V#WOc?#!4;rhh zs5InM`qbsc>$TaA3m_vWfygJoLIzdew_6}eKpKlcijn$})Q0d#GEVng0>n%D zW+uLR?^E%rPCIe4IPpmfA2>!-W-DfLoKlu>*cIe(9+l3J@dhm=Kq!m7yS)qqWr@30 zKkk?{q9b$cWdN&U;DNPBroW&V>&J4gMPq}#xwwAxJsDCdHUQ3)&X%Na1HcS>L1I}6 zkWc&O)j{lpz_N+pHjm8b)i}2U_{w9jRa$`#dBZ9PAkY)c1TWT*2V2E>p?u8mMv~W1 zC@&|seb-HC!)4)@1a@3dL0j=1j7*tEj|Oe^J5kc9rznKR4IaQ>*{_j|C3#Wo`tZ3E zK+>XddWAz*DPSEV9lb5YXLLxQ@8{qo_mJqL#Vz3&B5D?JM%#{55t+!C@DEmdJq>wa zv5vBN3x6>RC8@XmX?t=$0S_jdSGT;!tRa&fV)JluJj1|Xdr+>gsNf3v4SctoqBL6T zaI!>;r{g7DW^@8{n)Ofw_x&!ew|VKgl0!L z&VjqiFe)BltdoP^`-6_t503_yhQ_}6C<`pR?{+!=QG=1nJvpqDj6~6bFk-itGf*r%`AG-m@~< zQ%{SGz)|FSQCfkSO5>y*+r!A&Ua=9wYa7Jut` zsMq@now3!?4toU|-cbxa4dGL`xcC4*gF1jvq?arT(*niKeh=+_4?(sd$AT;`AwD?h z3_4^O_`z(+o_*N9>HzDIe(Txy^m?2QTVyH2eT2A6&~dIC*MCk&5N0+hD$_*vwCP1f z@KNg(VrlxUkEkNUv?77T42X`12RR;Fm^8B;bw&qUDU0sfEWieUaA<^2Gw@5LgQVlw z^?@%}xD(tcpYJM^>#dIFEGzh)R^0r1S`LTWDG#FFB?ND80&Ovp;<%WDviFhBF9A^b zv@p<}615B1;ZOg@#WdG91<`+Z(j`lk+zz4AMp@9g5TSMLK{w<*;a*c8K0^bUZ zr|-U~H?-Vm68dx{~DH zQz>29J8AvW^J$18`(A<@smea6Hw57TOd?1Fz2=1u`8<{`vj0wqq5IwEB?5s z-Lm;f4#(mLLHEEv9>Pbe8l@6ZyEi?4DyewXML}28j@wEH%4>ZjN0z@(Ns-OxS@V85 zAZG_@M=J5pADZ;#DED<(PMdvj!CERepirdGr$VEMy#E9U#LtEE`YkBWHHZ8I(*UGR zx;Rq(qn4#jir`K$9no0`O96P_Qwe{SD5TM5E2aoS1U=}vtFnl?@PSWB?*mPk(vI4n zKMXWz3ea#nG00wUW~yVyEgT2Z2M(zW8j9BPxEZ^`^I znc;;+ioZq7>D_X&2P%u4fjR#T8Tds&vc-yZ#2D*bi(l>V$4tlhE1Lt!BM1K*l&jil z4|O{<1cV{xhbIlJnIh!<2QX?|K6O7Z6rB5GM$Y2BxD}r^O>49l?_aqUxBjih{Q1Mz zY;=lyI}@C$52n42a%2650P~aizcJA(C5@w9!4m~Q9;AQd*N8zZ{wcu|Jc|%q{&(V; zOf#(yIj|dt`A{dDCt~#XB9(L%3lqk9lz}*&isJTi^xQNyLL6TjBg2ppn&2Z!OF=b? zH}qm-LonYpgTJ)l-`%VwW|<1oHx6>~IeTD;0pcP2Q%jWXb5WgARW(BF4xj$h z#|*82@a)=bZKi@W zzW+%-SO5MP=6b8^k!cPfD&we!oUEbzml>!(MLpkXSYT&{EHqD1|e1B2y69WQdV}3`E4L)ehb>JV{(Hl#bslfBM0bNl`46;u^I(4V)6Gf!N!T(Ge%!bym zfNCYNlk-`P9N{#-Mn!d-h$j9AzXHkXt6gy>8mT0K{N))k#F`1LZ(_`F@af;yZ(4-b zeJb~Rz$6KuOPLp8ICQD3VKTgO17(++)3d-4kEbVrV43nV>53~#$T8TrTi0-g>oTFu z(-s#cf4dj7*~vxvgB*oORi+>Bf_1m8iQx^>vL5@N+Hgp@5F8eSv?B9EgbvAtR@A2Y zE6FaWd+fPIh?S5nR{t&>PP6YQ-Xo6x@_3WbP|ULQqyzq(KIz(;gzbdrX6vNA_tD3A zj|=R2=k5gDUx5qusA~f+mk@BpT`uxwCXr5MHu;yk{deJm0}0pS$<=MncljY3nK+9^ z@cHqhff46-`1lDO7VLb23d|7C>+XUL$LRD9;H0y1y&Pdg;vF44h1gRccZ;(UM(_Nt zGZ8*RUZ{{mL~*KvD^br~K(*+7F2Q^B13WbkkE4h6Y>Z*pnLm=qxneykJ7snkw$Oj1 zbXy@HF0pWSp;0x`!ujHXBui~|ag)x8MpW+_9JZJMU^saR4N%$djve&K`C%!E9ajN z=`@#!>A8Wj5{ek`SneDyrU2M+*PsVtmZT9ha1_IUf3VRK;Mf-!0okmNolau-P`MBV zPm0~A>&`ck1+={9wpq6E3F7f+>W}-H%Im4>?=uUH)`%mXM|hg1)w9E`Onns!pcW%; zHtd%pk=IuzEMFoyEK#qtH(V~B=ZCZ;GvkR&;<%FH+uXjbByeDwbe=HHny-02)B?FU zhw0ubY|>@xk1Xu%jX?#Z(x3?Esc!21@Pe4RC)Wv%xmH+wl)hbmz?WiOg^m-1BTFOO zYVvlDRLqAAvQWTvhqK-q2J-Wcl73h1o&y3){{2+=65AORXD z(j);41m<^Cc7h9xVkI>=&xTht3c>Y`CWN>WoH9tp-yyGs1WlGmXqWlV59fOXPSz$_CjH$Rs;+i$#9et33&8lnW8y`H$2E!>` z+DuTLC@3cZ@Agks<2%AOpM`E#vEVAPm6LSc*ywSCrSm%Ns5%^grlt+mVt1@K@0kZR zP@1#w{~zAo0w}KKUHeUdAc3I4Nw8qS-8~EtJa~e;OR(S&g1ftGaQ6X%yF0-N5`w#b zYlgk|`QKx8?zvJmML`X-rn_J1Uj6*~U3@<_3YZOKhHuV>g^bR#*e>v2>+Q(E;Mi{Fdk-2D3Q}R=9&fGc!cV)Bd%^0l7y$v1gJOxL8c{3Nq7$TXc zJF18@IlMq)>o5pP!7${Bc~sk_B6m_kOX77JM*;Y38yi;E(!{%>M%rTHQxd3S6L_rq zd;f|{iW29i*P71#JPt&bGkO3760mv%&o+O^as=!R)C@wys-UXwAqJ>H$e!XH_Cmm|bDeBe6v&0Tr&%gwtW61qM3O%YLxiUy*qxn5PyP+&9Ag@{;b|7!MbPpOz_4l6|k6iQ@Z68>blnaZAN7svAsNw z7~NF!USi_{YEV#W48}!tQU7d7R8b7k0kHxTp)^0=)fy2|!81(^3oOZBB{%xK+-5{` zX?AtQ3x-YnY2FseNjFq}Y8Q=9{P#@h%TagPb7yYM(;V4C2*lz>hNxvG43y2MZ*{A4 zCLjP*f-DLna%x{i#E(%s8Iyse{z@HbE__j9NfJJ6VB7a;cRM0N7H&5+%d4Ds=dX`k z^DhR~^Qy3$YCq7^CfO)#vw-om;7o8jcrg&(9|+@yKErB@Mb-ZitZw)F3v4@=VGV@@ zOZ!R)u)j;giV6}{kq>%Gg>u?X4zhI#546dW?y;8Ui39ZflZzylCRLnpJ_i>7k~L+F zg4i%vqx(Ag{GjDfCJD~Ff{6eYfn7|8>`Q+5IzJC0z=e3sipc|gF`C?F$qDV%`zDJx zN(-ODWN%$DmiwX?*f<@07h3K@xAzPqBKzCSHa0&I2VQ=JFgl(t+i5$VSe{Qz?-IEO zAU<*r*w^aKs1zECVKF?{%){*neln!@g=$S`hkyHH7PFp0nabGBxaajj`2D!J$94Wg zEnk;FR+g$6&4*B|%hJ)Ptd^2#_EyaqQ|T{PU(@bYeufe@eNGw6jhYSOIFVSD|Ah&^ zh!bH?YEd*%Dl;f+n(A+$G`3bim`&znHM!7uGzS-*4T_GvHe+mhau=%JI|$0y8Aand zZPP8?n0CTCdxZhsBP&`Li+!Q>4r)j?;CA%h1 z=#gb2df8Dn>lzoFnRwPFaY_wJOg=TCa9+gKPidGuB=Ax4X0d?;h_L2c4akC#_O-Jg z*r?ugI_pJ$h}Y0BjJB>8Zm!!zMsLNX6%XZm`UCc^?5z@05l=2L0Rq1crm8o0>;2NG zx$4R70pW31-oWlEtFQHRw^59i=tvY~#u&Gn)41cEdHdGVox9q{#E?Sm&$%vG9ONgv zNBmOfIxB{Xj|VkHao`v!J|@H8Td79KC0Bidt#J??KnvIf;n?EDgocr|vW>N5gZU_q zcc`fFEuSY+>Bs3OjRMNi@OwW4V8cz#yz{(rEz)?_+%MUPem-LmF>Z>es6e%7TN3G@ zV)@G&@qKoBys_Fa;dPi6O}T_=$qjupcwQ>bUNsyaZ_d<@)`7}Ep)!_n`n%bZ7xo`jLBFDy^S1j zF<((gh;Wez6X6*N4OtuHA~ApWVfQr57Te#(Kh47U7Y=j2CK89iHX%5 z<(r($a~deX`ZIX0kYr3@DSVG)Z;2#-7(1+=mjb?%X;33`nHMaMAU=p;s9Rt&&cb`j z6cNiwkn}Mg5Kr<7u1GT~=wPU~9BSf`?1(Qm-ejjT6p1l;Ou&f)GKzX%1WL%qTJ$y( z+66G;hY3Bx(=1>6aqq6goex8wFYj2{)iAr#?}DSk zeBOwMQWnX_ZMblch;3ewHD~D6Vjt{ayeUV`vgD__?GjQn4=QP@wv2(!6im%{CLAJ} z1HoYNPN+Swen7GsD6$VLZ4@!vR~BFEq^_ymS?)8iz=%H7{A|N5a?HmZ*sU-bDHlIQ z23Ug(;a~dsGP`%f^wxxOecJ>NxdV<_rq_fn8CY;V+h5+X2EC((PlAWPwM@jPkU^Q$ zv_S>=RN}lnn8|E62x6s~z?g>(t;7)|NAB?(&d%;@lNQ2CA5zC!SrpjaGo?^n3OTk2 z#B>kkWN&0r{mg^Hb^`djNb%jgpHRr?K`NlSzf#RRp>qsml$*%inyQV=oEx2N3|VGl zjm*rfAc6TjeVD|yHy_AjHEvKnVOWFMZJneR^BC~(Ao@>;K?(-PY#waUw)SixzPeu% zC=H}IWf^~81uacHtcQD^IJF+{EMNYKZ#h0I6TJI_+j5yJ=eb$eN$#OIW3F`io;_D- z{&#Hb(_8ShwAca=LoNc7W9r}EH5P!iX$ogvWX4OX=BIoTL!${^joevEN+oiLqWkuf zVv?L6SG~dQw#tubo%ol?gm85U)}d)#tf7SrIT$dL%Xm&P%EbtX+WzW0xF<)Uo6;}= z5yUlmiWADWT83X^va}qwb5?`jb2QN3Z=PZk@);FokKA4!zVC!1Xb=Gjw-P3C4yKii z{>ismX+M#}Ggsl&4Y&T0n^DsINUSzWk0R)*q%oh?zV7iJiicLJ8j$UnshST?HIY1 zx(zagy)Lz+N4*2w3bT_Jy!A417|Yh+`MS93kw13=sb%N^Jpku$0g{x6t1XwP1R>rZWdD~}QLf4w3XtaDw`a^5349n5yhFdw0d(bkBKgnMV!@;iwEeWtz zu}%1_pc(<0K6vJPWCco9*d{L z0GMyvO;+tGnM-JNk0v#jta?X(y&x9n6T@+oS&K=fDU-}%-M)mgi2xy`@>ys1Ml^7q zesp8xlZy0pHp{QAXw=(g&_{coAuj!;+Iz`Oh(G0=1u~a(3+z?#-Q8`IuMD-M8(pO1 zkN--Y*Z;#5Vlsc3FC#)Jc7xs6zj zE9d2OlT|CO8rjAnm+6(IWN#BFYP052L#`O7?LXH3eF(I z5oh5Yt4tZL+$Tksj{Gp3=kt+gHuJ$GBvhznl31@Hc`%fWR=7Q%66d)aj9r}Xz?iIM zvMb-#ax}jagO;p4cM=cyF4{|DW^OKuM(%$Yx1LHDW~n}> zEgaq?qG?R5l*?COwSo7v7qNj!n#KiVJxL`ZzCB^DUpUG0FT z!0g`9+A`+cyy?}8WEDRh5)?#xqSJOR**yIu#1{4B0c!KgQDPp4wwxy{csz)EhCl*r z8N8#l205oCc&y84?iOB2QHE+w7$&jst(y);5ed|w@P43-qT*wU;ug|I0jSxWK*@pT z8dAx`aJdmO#NNyf^YL%y2!HA&qsKro6w8RT5wWi%v#^-sG#2_rMSX3h(Se52%{cE) zml!vt_YiyDjw){lEzR67TzKBGwyL+JQY{a-UhfzS+$_j>+$=0(d@^&jZa(VPPrr5; z%P3I23Df$XbNXJ>+nj5{(i@)2$atGaEq_O5s%z1Wx$LIFweBV;#SP#3qNHKf87t@$ z1!roX<78)I$DP6wi~@n@&WM@_)!^- zP9z8dJBn`+Y^t$CT)#w(b;o*l)$4BI(sv77=dp=D+*!xsNx8?1k+ zNa1Jgo3gUl8Qhk$^w#S^&VJmJH1~6~oT6r}FRNW^x18Fy?qfpQ-?wA+;=fn=4tpC6 zB;0(6*?nkM@+i{dY@A4F&`|rnX79is1pQ`Yi`DrZquzT9*>oiqdv28b9d8 zw-IZm06VKX`DeSjN?|xujr`^s6rRq-;VUeXwU4nu(xpSHR4Dxta-1|bHQLr$pCQ+P z8Y^rag86}$IS^nK0svY9-q0mrR)Cg#bV&FpfVZa{Z&I|JC$#EhH~KF5Etg5++|k9!QKS}dn@kDpK4_6A8kV6lhnHS zi7@xBtCW9%&{x4YdS{R@I*l56h8@pN-s@Y(<#uj`4rEqpa=yB-)(;FNsVPlr9sIES z;;vggsU~0R83ex|JC{Acm!gc6a&dXCPyR5{EyFFG-=h;- z#iH-oCe+f?f_2;c6dMV2JG`|#gXo9=2!+iKzrs|+;x^B@pg7O^W+3PI+aO*|F(5tr zWM&-c=O6c0_L~SQ~!cS&42;HQY;bI0WmrUDFk{t2%oe&L|su}sSrj|gUYA?(i?Weg(0Yx^H~C|m_> z7}8Z7w=dN#={BE-4i<#u!7;vf_Ottu7$YpFPXlML1uPS`1``K~tEAb~hwEA3V#+O5 z`g{jfRMv5>5mYh4j-L>+2>M=JdIg`PXbrPU-SY~buxelZo_T+?FX(pisbHJ`;`W?z zm;bC`B)B_-WysR7_@SinZl=IRnVxl$qe))aU3!;ChSG#)XDWMf{yu5=xQV4H|L(+T zp-|`2_=tm>J?~H|Fi6ftw`ZQ~cQ+STnjAM48Mm1RKIU#aYP#P^KX8v4SR4%x@8^dG zlXx)xx>qLy_qDZF>A(-+#R!$y@q0KdZ z&*@>bH?yfMCNdQ%TRTmr#f3=T3zRWg>u@qrbUY8TqYT{c6hny*AyN6~FL?(AUvkEb z|03t4w3L3;ooP1**^|?KKy~*KJ;3#6u-@p>Qs<*EYT{%qduKA>R`%t#dA;BM7E|3- z#Py~6XKaZK=AFz;^yuzmU`dgFm*#uW(|x0g^NnbVf7+yJyGDFLmyLe3 z7IzoepA+H(*?FiZV5bOxnMCP3W=Fj$7hQogOn^*5V*RWcq%HDgcI9+}z42Yh(x^~% zIqtB60U`|SQH{42UL!7ti*AM#;kIw4t8O+fd?T==8Kr)|oC_Re36Lo>C9pNck(s5C z#mb~FeU@1Dkm>)reR-I7E#A^kDw>Pf^AFaqvdup2iD;3f_|{wH+x{U{E$6ctf%`!3 z1_H4XLd{ncM_qIqaWpq?f?0FU0yT4=se5(=9RjVuL#s4rf1pQj(qh`P}75 z^9|<*I~rl*FZn-q5ZD^4@mTPH-O@{4JZ~!zSka4i5F?7cDJ+=DzHIieg9&DF(8OMv ze|n+M1aX@@135DYCiQvt@P1nXDRX`((pAwK4`jOg%$xS|xlnn<2%swIA5evzX@m%yNGt6F|#mw{gUA*gOiQv%^%;BcldsUut&kVE@LTGD3U>A zYQDfhEByYINxL7VzARrI(F5WQ`Hp+Zv*S;mdwX7IYJWMO+e;s()t>G+834j?3_@%R4-rs4(t){zmL|~yPa)_L) zL||XT{(PJL=g)e7W9#>sJ)883RELxN3t$Tz2d~3W9092~$?M)g$l@HDwR`xSj_Ua&EsxiLaj>p?xEwKJVSu^-UvFJl|mh^9+}IQF=C6 zpUfQYJ5mtQ=}|17K-5#k6D>FH?c6JcggsBELz6oph)rN3=*FxDEGV(wRoIDWkU`z%Z5S&1pburxYhac36wF7;wcQQl+Z!6IGs&A~gZaKg#rI?U}qVQoo@SBWNBD#<^F}r>9AZXYZRao_Filg2<{_0oSju=8P6tE zVkCjOffE)w$RW11a9kKj8fjklY(fk1BO&;YA{UXA(DK&20LSZ|M=22ahnA5V_p8B# zr+@2~K%t5_BFNj+Fq)*pN?hkd_tq6k9nB4QXQ8=#CZ1J!@gr?jC5HWFp)uOHJNL&6 zeMtK>=OxjwtZk8S*Ei+XukgbC45nJPod2WzsYJ74i0b+NXTRk-^mNMV`4lOiO6e0160ot87{ zEvDMDr+0l`biHh<74I0nLUq0{sH*te#^wi9X?qS;1(oR{gQ0#_L=M(W8@B0W}L!+TuI~AO9p5a5**pK<&CAPLkbpms7A^IvnVqR z48R^QbO4AR2VnU5bet(<0BTC2cS*eoo<4939rZ>-N|ymIWC~y%mPy!C4e`VbVZdOp zs7;V==w!k*Ht`p1AF(F-T2W5`im=)%Z}+YO>k_NXV0C%O1-t0H0;7Q9H@8%;O=vwQUC!K*@DUU;B0qEwfoWu>c3p!J`bNaT#^Q1-2j?AoagzBPphVK;11QTM4n$U7hIdvH+0Hun z18Ol#*ihFxCIY0vXfMy@K~}lH>Uc!}3tj$^AZSG^wdcTigzyH7FGyiki3`%xFE{qMnJs(ox?f?kMDvGww zI58b<=HH(NK6WKl?j3ZrC{On;aJA0-Zw3hpR{|IQ3#Lq21#r?iOdY*}M-v;C=*i5T z10FeFT5&$Zu|ws}j&W$c!(I{wt*GR^`=+hwj^`q^2ofWlfQ5ILv`fQ)M8JdevtAci zcl3D|QF<#P{+E7}`Z?N$4vEee@EbY`yGQ4EBTwGe1P1v*y<80f$P6s<8p%RJfF1Cg zsoqgJ80Y`WZx9gHo?xnxJ-ubjmTb8UjfSoyNW8@WCvOR`)Qf_Iz70BXO@!5~$4nxu z<5btPJoc#*^RZ@FaVpe+(=Gc~rDc#@=f-Me1mQ{{giKFr{Mch)=Rt$fu8|1uv8o|Z zdux^b_5bA`Mm5)qw`C9#BB40WJ7|#eFw^-H%RqDJ*i-HZI2;hkEjo!kgN3d%lvplJ zfF=-Hzs_7}-fMpZWoFwG7@#rD>s)MnSLg`Ro z=9+x=uSEy%Q_pDEk)Jbdw~uYVhSDx~7#5F-e=rrmTQ1(ZG%swm7!Lxee5DZ@j=PQ2 zgQhii?z459G30in-ZVh`tDL6MY#CcTCZHpv%jBP~-RZFykCS=cn-%NN2Z^6Ox*rSi z;iW^l8BPH;5Co0+k5T?;Ee7bs#Nebk2+fhDkNiwhpUob`TICwhneJC*sP0@m6W^BepDe|XMZEi1hl2nE`poy^!6UxlQm&0s6 zVplrQsjei+^06Nj=m2Vk)YM4?bfYv5YW`B3-Y(oFAbxk(0-2v;auGkhQxK~7)kpmJ z2{FiSbrZt9x19F@OOx{lX`QJV)S zOC9pt^q>Nat+QSsp*WN#-N{}&!6eF;x7|!E9PyAC7^px@1p5g!J9 zyxg5BnQS^4#C9z%V_H!?J(5q>Zw&DY&Uh-1vID)@rX^SC0QsKEeIsl$F(l8>=S1XZ z@7wIiRlB&@sTboC{VcS;l!B)E0sHUaEiAUB8*@@FfV&C7n?F_Iz>OL;jimBE;ls^C z(oE~!u&47zx?s?yUT}{|4!-iK)nbEr+j6TXfXg_&2K(A@uaCuRq?D{v804`#9sDt> zKif`?-`}r_m_tkBlQ^2rZpgTs8|rtpKgUOyc(qrkAO%0jP({qZUMOc|_m<_Ra&i4G zuPD)VVtQRu3&$$xqeMT7PXH@B7pCu1FjLHxv*?`dSi5}J4r~zBq`4tv=V5XUIJl+6 zGG8A!MS0eMZ%B=|Ow)|~8E<{$M?f~lsQ<}nMxP0Jzdf~Oa?SRCkD$Cr4yN*=ebv1d zUp@Tn+kv?4>$>@m)gRmB!@qZE5x=F0E|>iE+`yG7dB|DQnLW;xiDmBoRBfNU6FzS?8-ZyONiH{ndO`x1jFlul$oJZ@Ed8YmK@lkl z6>{00KkIr4J)eVkdXYhO4ocCa%fH|?(gsl=UfPYsNYfVq)Agy(_TiwtfaH4V8h2NC^C~f8c48#L8I*d$7aE^2=@Hdj^Vz4KrE5N z&z2_z%Yxs_9nJs9Tkm=8{4#W;a@>2}mDz12e*ll1DhdEW9>o^{)vIh+*c2&w>bJmo zgNgt&@{m9+W)bi7H4}CH4ErnT`PYlc3&z0^2R6mAwf&us#GEn-o4|Ja<JNv9vuoty8W{~zV6*~MZ*)y8Ew zhX|AX>IDmPbLFW^>(RH$5Y|Zvn{`f^L95$5?#ANIcq%e-+Q@Oe_n7^>)N-%nd7~rG4%aRFV9M6BZ8kRGy7@`_OcZRQ-fdefCt}{Poc;VpZ%_=lgkxg8y-wQPx>xGt?#{eymCW z<}w^I;OF>b2lx$RBYCwoOBUON8c2C|tErQ=_npQu~ zlqB1Nvuxgp1srI`TiW-vAo2kO$d0381*lQ-2h1=4#zA=cQpQe&>gEDUw^Djk6+YD2mM%Dx3f zjwO&&)Oo3 zC?h!P%a11q4jy!=;bM>-loOwqNp`SF6_3(r0!~y@kvS+%+i}K9glNn>ItfeoBXo%k zqw@wR&oR(8x)bePjAx?fh(%8`(P7RkW593lHaQ(dTFkM%e(%I?yR*;aFDq0K{SR1} zu6=E1seZc{>~GdKT+FImnjbb#-l;A6n#|MG0!UFO(4ML&QvBA&@}gox8?o$OdzeR6 zlB|wiqVYTqEeA=JHwl=4P*FUA#wLxT#!7Cb7XM#a!V8Q4ers(mWkY`Pt?V8$HsA)J@8?j|6CTVw*lM!}-{g zuzOEGcb?OZ!w8uSz^`v7SlW7ku%d@3Fn~;xvpByQbg`+{;9vLL=*TZ^=E84`sdF1B z?B=hJ$fj2;Hc6rL?3)3qZ(3%%doU|tHciSnIyX2A8QlL^!uvIUoSismA` zC<*q%>P9%oEPx@|@o$$#G2E<=OkV6MV+wJXJ$PctRjLqGDP*C6d@>vpYP1)4zz}+g zTo|B4hDrX#BD)G?tah>9tE@_9R3Ou>=YOz*UGlOnP9JW6Pzj!VTD}cuyk9{OycBFo z7rf3EyuWU}xk$gA370Bk`2Fjx%R+0GlT-ci^g^f^H|RT$4DCLB;%)BG^5FuubN|YR zHC@E}^eV+F~eUk6v=JQdY3p-5Q* z&I5|`vgJOtZvc@e?XbvvySQJA zq+(ktFm+?Y2Ot7g;zTVA%fyd9gJKr9H4Lq{NmL;_#+k+qa2t~=>^pD!@d=pqL|Vjf2ZO_@u07v3X?J#@b-BQP?zf*{H$ZCPmD$|26GW znq^#&-Rbb;v*zUY7exS1)@7XBu^7L9Dfm_OB~{F1tz!L$)R$+Cf;Y7YHk=79ZpjDV zcFcu3Thkk?+5IM)eh(Cf&$%n2w%%fnue$H#7}>p7jAn39-23qofXL8aiaMFPVyXkT ziuA1NErIA)q?whS!tti<+9=X*{l0|EvYnx0i96}O4Dp+FngZ3_ZTsqy;R?Fw3K{X` zA*lm(5!skRq&Zm$##|GD>H*}N<#*A!4G63gyl`GNksQE_Q1cSer!7g~5wj=s5{yzX>9>UVxHn*_S~X)`;19n)pewGP@mJ0O@^#Ou*z-Cmd@cvHI!l`5okC7da z2^XC9(_z|cD>VGnr?z8*x}b>B(W?Y+NHH$)80a$L1%o(p(5ub4?ZTa4?KF=d z5Zg}Svq@VPf)eeZk^yHlzQeXmQz_+r^M|vVnW65?VQ$4FzqaE`4TWtPQC%>44#>OSm=nL{~74rGo zl$mrY2AiRltQobuJl#J&AhETtqv(HHP78KxOSkLfAaPW-O}Ci4CuvYW9imG)z$V_@ z38@?N#!uPAv*Wwr5-I|LI(?Do9b$5#QfDSz3Y)!Nqu#f%5D;w#!`^AMpW+vF-hlmA z%M9r#N)Namw@CO$?_hwXgvlYqJQRJHifMZ(@sFg5&~c_&KLCW8bC0&-L6u$Q3Gh?% zNVGHah?Tu=Qz<>Y6h8046g0Ga(cQm`Er_(~17$(M(#? z*p#QaE^`KDTZnwnY8OD}A?^NZJKq(-4N1z|>=9xH#y20R!hW1C@PPO zt=HQPFpU!mKlfh)16h=MhDdV84COCvp}nz&*r#z+*@nc?NdQB zE-6`DwKcVkm2XtT#?JAjLkTAL4>r#7Xqmt2=4NwV71O%x8JWKg*Au#hB({1C+D{z$jdwH zRC7KWiG9n2!V^qpd81XO#fXRteCKyl#so+tSuY8k9a=UW?9JSNIJ{|w*h|x9ekyiVHItU*{+ne?M26Qw zl7RJ#mS7%1!oF5^dlrOkPF2vnAdGj)~E=mPjl#;wGz`_%NS z#4@)t^V}~N#4T6!N~dYA9yjr4Y1cou#PmUD$+;nzlQo5}?v6}$=223*x7iw}^{>Z> zl5VHZXYAvaW9Rty(pzas_x(L_tXbvspSQ-y5($a4_YiXJbxVMVJ{l2i)+@N100kZQ zJgP`&`w_Kw=F$QhdatEs>-1u<4?NTie0@kDn=alQA!<%PIFiQSm2rf80DdEwpkrBo zhb0{H)Eh6a2#1LV*j0Lm%q{@VDW>CoX--gc%RCw&ZUq49k>0YHsQ#pEw=oe{{~!x? zFY&*&3AtHj;QH$0t+h)mmNi?rQ|H6laHP-!Of(l!SW#f>Q!Ylgo*BIrFAsN!dmthQFH|{!0zfuV zWchLbe558fLGVuKh5~BswX6Ji1gp<8P+V)OsB3x_0#vXFz1a|a-iTgtb?F;vcw5+r z`9FV0tjBC?R%t_*`WIe^{pR;_&ipz;#*9-S0yuNk|4ZT+%5xF0Z4#v(0dVF-#?MUz zw$C4I1F%@9IHFG23y3*8?5D-yUVm7%)=P)esrQh!zP4Rt(Xv&00D-0F3dV?`GypIg z))>;<(Zj?#LoGD45GI%u00;tijcV%ExrTlT91?%+Y;gKnYxPi0@DzDOpy^K0<=24A z7mq7i?Yk?_TRA@)W#ms&$L}fhTDh=-d1T~gD}I}OP{FGkILvhJhw-rvdq#Tz6t($s z@6)__n9WV}rcRo0t6qWPJw9(6X%dN0W8iFqa=mkJ`LpiwH*=KU4p=Kxu>U4k9a^;x zyKfZ&0>Ct62fFbhz6dx8UH=|9(o*rwHTGsiOgpX3{uiJ6g52HY^7Xd3Af+ecK`7^v z5dFc~jCTCzC2@h{fpOmI`*I1p-7#J#&R;0X7WhHyg{)&WtZ_fcq}%M53`13KgsY>Hm9`QOQwM&KV!_h< z?$_%@I)hmleG)r@H#XRCr&O?dCy!8t&NE#sbbdL$xWI$=#okfR#G z4d$krky1>sS$sgml6Cb`$Q~~hH{#TsFc&*48&tC-jIj){Xzt#<- zqVNJ+qJa;U_>h1{o0J-4H%Mb92zqB^aX#d5 z;yWrACMuuC30rxqcc1ayFa3UIMWHD02*31*Z%907Y((HskmE^Bi?{&^$5SX zy$gfLZ-6_qdEamX19bY@#sgE0=jm08%6gA`W@(Ft$|)b=bU0u1fJ;4j{I8KYV__jr zf{Z=&H$872CBrZ%r$dY#;`8{okuZd_NfY}l4W&~gR;U4K_LXla}b)6LDJ(_J4Lty(Oz>!~h4J zDV+uvCoL2YpZ`5PR674wrrt8pI4MM3kidCF7PaRUH$EvWT{?s@Q_)Wv&?Z)e;vO>+ zZ5o?-&Y|z>jUZ#8Ch*iQF?sWNrUx5B4z;lZ-ID+zHafF5^P<>L1 zgjDvCi~$N@Kt9^HiVwgdz-t8tO48s;1Smp$5}-h&0TD17g9ZABv^Y>1VQ2O=ZX)kz zBF?anXaI%cbx~|wqk#l9Hv&|gb%PysUo%LUe$>eV}~$2J_%t z-}W=Kv_uG`w8U6s8eRnNXEGsFZ9eZq!Iz?2Yw`Whpq86LhnA*bm#CMAHVb$kC^ZqR z{T3H$cU94hrf&7Irlh+~5ulB6&^GSPwS~nz+yux$u!VJPw1imLI)n^IJNoaW@a<5)lbGU`_QLWD|7C{xJ|EZG(XZ5oj8|=KuqA3mCPb*?wVA@H3G#$4UuHLuud^C<8e$*@ zJ7wCb@9Ne5+jsxd2;dhcW0=JrMgaSB4o)Bhk|OZH!#fiz1TS8ex>vdaTa@TH2O?Vy ztm|(7`Nzw(fJval$-03Bh#m)Y$Y*bQUnMStF{J|}U>EPdpo0IQ1JklVy^zbq!TF1n zU0~&C^cBkF2j(I4XX(c#=kUTH3d*$sXqe+ozWx`F;&~KYZnZ}@O1<{#zMjKlA_!zv$ z=~S#vrQnN$ZECr9e>{uTj)G%%|0M4krBz^Mcx>#!abs9heHEl4iHJR{+(#nPlSCAH z0)y`L1dim%(|>+tf`u8;n!n_AX{KcLVKoj$_i773lovd9R7(k{!}^hqh2Cq0TlL?4 zT2L9+;V`TtN3u&h7#LF|#@Y^Y?SKD`k6$iMAtZKC@P!%G`v;QS z1gE>*)!o_h;fq9a-JBTTNNLc;23!Gg>MbG*0#_so;vzRDHLZ(XPFou3zqI}DU)##z z`h%9rcdH2CNNhS@aQ<}JE%9HonOVGO-Z%CTxbZkM-@hp=yMFtLthz%Y4{4EUhK(%+ z9T=nylED|Uo$@GiOn>^bgo}GeHBz2ngM+K|DgPcMDw6WEdz<{GAuJ0oihsUb=#7xr zi1r%`@RY`@S7mC~yiLeoUiLf3GirO>9`zj5uZJN^Gv*m2SK&co7-Ce5Aic ziMq!WWhzpqImXM&+xmI$+TkXDxN4?MyVcRd(a0#e`>LkKV(R%C?Uu4_ifRJdxvSC3 zaX%_!Rm||A?_J+$-S;Q+={B`Ku}V9AxqI)Rjm*m*kEv9&Ip^H&h~((-!)=%#H_(zi zOEAk~!E6GY_UfBMe$zVE!60IOXrbJvXH)7ZS`l8@XT`R{qS8M9I6@Cu#!uor0(0U4 z(mx`9yNEX!>04ujyY_R&fkOu}7{^^af)}{&)H`6}n=ue;n&roMl@!W8jv-GqHfi5< zTiIlZ^K(=mHV05@5&985#+Z)wcn5RkqS7Vo-0wUzL36@%h3bI~zj8{`<2xPC za%e{qslKz02oOGZ6=N^uppcbI(cVA2jy?IMYO1Gjt6@Rvw0zz?wtY_g)_K*uOOL$< zHuB*oQ+Y`hUANVRc#P#I%e9%4=YQOt{^j?kE(ASfI=+17MCKI# z(xX(Q;sfL+mGry!uwRieGHE142i(r{?ROg=r_&ijJ%E2V57Jm<^Is-My_`pOmaMs~CV9ve}O z^&&Myzti@wMhd_8XKaU47tt{_W!}DNy#E6{)O=NfQ?qa#Y}*=z8mBnEQ;h~9Sz`OV z`-Le3@9STNT#10|yy-ZeW={Pg;a~pjpU$Da)5*?qB1uk|3lwtcQCpHrqERq%Ay_A~ zDag{&7GsP#+;=^+Ts{FW2;R^yRoF#7d8XRz_@VAd@?#6}Bqx6d7hZtS`hB^}cOI^Y zGCJ6QdQpp!kyRHunlaP$NnC-WV3)3z)7$6e+4Wl&)v~*z1>&1S&9a7z{H2G;(NdhV z_MdK8yZs+LD0X_j%71JQA~#f^BV?qaRH@p0#{V665OX0H&G!9{8_Rcvu`0p)I<^#% zxrAj0m%Cm0E-KfnS$vaw6wpi0cj|WEuQcdJzJ7CSo+zsH>T)*0X#y+N6Ea&FLS>ls0wNAEadsHnpp&xQ(O90>G-I5FsJrUGyMzuO-Ei^%bBBY3ri!4+)pA^xfVd^D{P^J+%5nApE9O$=O| zb+Jt)c+p0)j8{W%8=x&x;I zjz4FCJV)Ilp^1d59J~!<#a^{EVc_eSGb1y*Ye25~zWZ9-^UpdL;Z(=e?WF-DvE{28 zg^TfJ7`ZsEZV5m7Zm6l?+LwFpm&-rzCMfT=6F@;^U=!Ff#!_F5;vgs#pz|V9G2l# zHU8OzqQnBL2-AuR`KrZ_-x;R!sxqMUCvbXV2T%N%{w?W*No3f1s)3bW@nv}?`2CZO zo6rGAM=r@Whd8>Uy_5P17u@jh@M~=k%@#N38SL?(CGysb)7|CEyvzOO!Qs5M~YH0RBhnHB+);sVuXamF%-GoC8-ypMOW4b@prj*cFC*DO{g&nXGD zi{A#@$sKzbA~)>KqFHATw3KRce;U!kX|Z73J#vtG8%i%mQ($plHM0gdmIiWH+{Vc7 zZH}P$th>41{;B}-s;5lbpdyPRpG}pU!afrsFJSJ373zfhJ1WGfM(YKOwF*&RFG}J3 z+q#1Q2p)obZ>6=8Y-++@(>QKw@9GpMVBY>?#;f$}_0^(a=<)Ws$9x-4IeIdi&p$xX}QlXl<726?qy0Yh-2 zh3~B9e);#vw|Cc><5Me@ZI*@-_qOLNV|69;_03LWfm$LGHU4``w6qBoH68^O< z?N@Vo53!)+xvP>gPUB|Dt|HCrB<9VZRauW~NZ z|HIyUM>V;%?SqOapdttY3Q`mS=}7OO2q;xLAvBR9y>|f-6$Js2-UX>isG$fUfYL*g z5?Uw%(wlSvf!_|k=N!-bop0v%ty%BPtXZ?x`Gc7A?Cf&i*WIptKfEf9{_DaOwgUyp zX)KP;P!&pLl{PA#rPqA7c9;vlH*#A>wB5%l8M3u^cudjB(AnnC;A(Fnn_gbYV%|mK z@@}@NsYl`Me1#U9M9A}w1cdEW{~!k+%YVhyXR`Z;e5geC;O`Mae_<34UeE6=&Kaz& z06iZyq87awc+lpZAYpPKWa7Goj%b)1<@KMt51;efjMEK(tmgRd(cg~hP(t02vb$zN z9oo|s&UHrfgAr7aVLIcZzSn+rDtm{`s7;${N6$)mMB$PruW_XZL)y~xBSnovcCi1B z5?$+D_gZjy%jvlUT}wJb!tt-?8QuWt2}*Au|3i9+SWPRQVHK(db#HTIlm2N3)YP7a zqy{nPj@>CZ(}9k?nS9-Znayc2zhZd26IB;%uj|RUG~x^1&09G0qBfi6toN#Sm+vyO zh|E@=Oy%s@eg%SRQOUZvphQ!Hl2iFf3}lqC8E00VQxv%{ zh%oJE{ACw{*&d*|OFy}wo3b?9iT_v`x#%^Z0&LG2+sqBkqTcus96nI(=}{6+l5SDiuJR!6mizE#^t`=8px}H zz|%OVlA;8{+?T$P4qa@|ee1qIs#u6CEEyxvM!~Qoep`!Q1>+ot=fx zYir})47*gZNl~GZFBYAlS^1oy=b*stE6ST^52Lz}Pn_vMI7{6SHxoCFQ8>5WI?B4dm1t+h}24^&OCt2^c>p^lMf2=X=u@TUCY2 zaB-IMhZud}+SEBLGPcb^a>Cy|G?SvnQNy#S(54o8>f#5O#YVD?CmISW3olY4V%SHQ z+({fEKMXukm91Sq*6nZDI6WVoTrRUUO21`lL<{vr4JqmNB)SKLRAwOJMbZL0T=jQm zrBf2?37_Ra(WGwRJBL)H}@$);zUP)t-81HfqFNracCsQK+ zJD#I0} z-dML2Q$gr)sGZX=zeq9W61?ajBCDUIZOV~l)5w| zdb-!LuS-2+v5WX5^o1;wtb7FDU#R|vEe2;2LMcKV11nepw)}G=v5>5y|^clQ|Li0Uv`_v$|@3y$q}a>upm= zHx%o(E)(MUg>=UKLqWh7dA{;ffh&aTX%g|WX9J5V?{WG(eOJlj}Ut zL)qg2Y6P!zU;MR_&zkYipDKfi@Pt2Ctod&w{OuS4tACUS_=-H~LQ-a*~Yjv+sJUQ2?)T`r212X<1W4Ld<~hmB6|{;%|6K zVcLivN|5y=*zJl*cb?{{eo@y*9Nm=^I`okGpAP!zQ@qjZJj+73M;u*f&rc9|>f-Ub zWM^(61&$@I`wJsit%tC98%a@51ifxi56PU;i*yVA0^D?>j_0s#H)1XerrZS}@SyeKa^ z==wPwKs+Ax?W>j6D9JY&8n&LDPt|YaOnnG@uHOBwzWQY7A|Vq?|+ z>4^05hJ`0copF(Tqe4UOe6`RgUQ%X4DT>PvsJEU{zi^MKkk0);umO?bS?7KWt9UHa zlXridJ{!18e$VyG6ORrxeCVZ;bfVI4c(!c?h^{vUQ$^Rt$dC~DIcowsD)jx;=mCRk zPIn1Fx24y^ey53>6jgtXi^-iM^=|kz-OsBJh}(l@6N6@uwjO7Jc$*Frwk-Ebn|KhN zByNuaZJZq-xtEAGxKg? zzYi<>+pyVecjySBSl*5?53$07JYvoq3%)4Z1Jx<)?OD1{QpbqNM}QSHrY~g|JjRVW zu|S@%9o^03{Rm&M+pK}V4_=@1*PQ<~_?J;Bo6S!4-@%JpFJ(GuAUkD?@!6IttuZm*pis%1wq%^KB~|z(#UJzLNIkATOvfA0`PW}w)NfPw$f z!m2+jr}y(nO=<=HE2B}z_CO}gj>AWeK?@JSn|B|}nS#aZokB=VOCd$@Vxq-n+A3+Q z;y1oT2222$hdKKwSb@N=^|=$YnH%TLsUCk_Ks*9c&J|-mi-$ao8BIo>;~V{)5mw`5 z)f1|<2jhNYFotw`1%B1JmzCM+6VHEbVcmmny7eNwa%HoX*y8M##c%Z{r3|)!tR$t? zRJv_ki9%lKMXlj`{d~6{o5#UM%el(>A28@zf)_oO7wU!$nhuE~%6bmv6_&D|BAhQm z+XL%flRVEEye(Ojvv3>nkdOeR{j=N_Q|qW=C4m)WshCs3C9>2F-b8m1T8mKSOhKTL zS&60g**%|1{A3dn&W5?9yWEXT=vZ(=B?|Lf_^{jNV3st8RV_*t+4|P&vP?E{Uy-RBP3(o!<^F^mm!Ie=D{1m3w9& zD~Ykd;9kBFB1KxFXWM69IgXY}$~lL0Jk@{lNoD_Drpn07qmt6@BG#&^S4}r=I8^OQ zN<}+ujR`)`QYl7zYfe&YLVV6zqYRak>A*x2^Ktx-1iaHe)8A!`YN zcw;3TO;jT!kKQ)tj6I+263m*5hRdYgK-_lR!zume*uc$=YkXG&H?LI9!t&T{SFNMw z$wf&6`HLNYeijSULR3+nY`c?Q+}i-tFOIe8@vz~e?;0kG&dN5EfO2|7aKH;LpJ|h? zzsFH<)~+aDr7+t*iGglD3z5QsRJIn0>1Loj>9QZM2A{Ou6I8)bLSA6V#H6DyJrd77~ z?V>7AR65C^Cw}Z znL+8XG$7YXvt>4#d0cH6sGYX*pY}|>kO(sH7Gn6k{3aV?`LkhFk@1or#(@RVUOmIA z!^S#`lbd19WszAkvA$_P8nEBdT**K%)JMt4ExzB-MXPDc#Ky^|xMLOW&C-RgH|F1g|Lj@g({-^A3aWb;yw zhtGA1GP1`vj0W1XgZj(i=?{bYU+Lwe%DRgz<20F6-qG{Wu% z?U49Q48Me%5hYUvN;;LhbEsDat3#x98Md6ug)V%y8R|xiovnybx2YXZTv*`0 z_c^vl?yM%sn4*yH^CBJeI@N zJax}YkQw`;x;3M|8{X$?E5ehIUDdnEvD``_>Mvh-m#xWM>{j@oo2@x!!ONXb1*|`_ z9~LyvMHz}i6?e*|2$j}y?=OD5T9zPn|`K0oQ zn4tdRobQ==25oL_BKM@5*PQqXAZCsSTC3*LB}I3>7C~|!=C5jwe-x|LA&=34xPRe- ze&FCFYKt^Y2fL&M(b2-R*ALDmS@2Wp*Ymul=105lpHDPZ-%p^gVq=zdkh!vVifd#? za+z+t%G}*dINrU(672E5xiABrA5z7Vz;-a}rege0xkfdxflX??l@hI( z*Kgh=$wIm%M*R0ampB?44rN1`ll18eFT8v$>=4#x;Lev~F$pUHPPEwvSl)%$#T91G z*;r;jhHZ9aKBPjucF`+Mru@qwoiFv4*?eYUhUeBWW9>mP*|=YqpUl=_#B-0bT$&k! z0~TS7ivlY-%AdnWCm1E(S@l>aU;jnrw1Tdyh%FSW!y|k-jdl7F9(ax2D_wGQAXk@! z>Bhq=UQ!k;JZbl@Pqgk)n71(zEEwC1t8U~kqa4o4b1NlU*oR{<$T?+;`71iO z`G!&>s#WpF{+5)zFM2#Ukj?@Ww0U*I+2@(9afH~H3HiqMNefUGfNA9qz&2H2GK`b4 zRvk_G4=wF)2znSb&gkY3Ve19GEWYwxEp{;@@ay6q&4;~Mc<(^TLa3(jbi|*3lgRkT zpXavh3TNVg^V7W{T}*VgD0mI;ZTsYMLqGRI;&flw`}U@MobWr~1_(@6P2Tlx32b(_?DQ|J>I%vMBn}ej+%o9i+AAU%y%w6$$Ar7e#Xj z1niy9p0buGi@j3p0DHaMcW0OM(_1-33{3G0A6S!8u9kTw&=!LDfOfN56w*g2UW)y>j zO~TrjtisywJaT|ZBDl(~4$nLkPW6Cah-X44maCG}mMit&6T*6=eNy4VltA44E;3c@ zi$|cVi>>w=5!z|p*iU^mA9JtU7RCSp$P$>p_c(6Sr6GdLy=KAcQiJmJBk@t;GM(6t z42hEj6S{3RG->H>?Y!rT&hb)kH_Th31RvW9na^jH8X15WI@>ZAYor*{YqOqF6|1N! zy(ti+!#wlOtb;3>6d5I?giNTbvg}pBymZJ%d>$YweQy-Xsz*mv*o| zE4@=Gvsfd&k$1Msw&jf}Jt>gfzHc)~y$MzY)smqwdH5 z6M}|*zLw2cIfOM_mvM|U7#lW@c`iKu4XY#2HPu8DApU(up3ov~<)e!!7Zv)4bwZK^ z_b$RFu1(eb`9KU%|6Rgjv;4{WY`C9;`8KDAO(Uw)VAXeX(nJ}7;Zn5-GwM~2#gwcR z^s9_-b3j=ZEfo-Sw3g*pOCzOiS?7>ZXU$Odm}GXkyu5W-*b;}Wii+xsa`rZb>^DYH zWrd0DwDx{m3xP!57GLXRZ+Q|BtdW#;ebzaL{p6?nkcaRkfJnyhvZ{9n3%qoGtQV4A z4EHM)+GQ>qzuh94^h!$+P3_F*P=>^70_SJHrQ9b5{N94>mS$SX)d=Q^V#7{_ORD6d z`~u4L>>wG^8#FYOe~|XB`%bx&`xn8<42jluui=)Fma1$-cwEr*y`l$ToKr1!yx6iO zn|UY@rR+R|TucvpY0hns6AyhgNE~u%)$!<62z7ftDCiYGY};xDRwN11W*4gm?}Z1= zkK81Vm^Up40v#D*Uu2hA@}-k-2s_vzXg#ng{FpiYB2jN->1d4&24;b>A?;3_Zyx-p zuff~TUlK9~9X}XUl-?^Yh^|=MKt}|8FbX}802195Z1W!H5z2SaxzU!B+#TS!&@Yuxgp~X3m@P0 zYBoQM+>YMX*|;4o@5j!g2n(mzjTK{jgS%()Vd3e@LV=~~ctrt;nH=7KtpbAK>3M_3 z`KB~u{ggbbrz=c-VZk){8V?8NSw~G7X3gb>dmiq~f)#!wc-q}qaT9kYDsy`cUJU<~ zTeCdVjZ^#5sk66YKTo}gyZNE`>aD4vECii7<`pC~Oq4~^a%vvCq*;k+B(!X%)sgVP z(z3QGloYg@F&hho3H88-qQ7*Q=e>7sHP@hIu+J<^*I!JMh+RV>k!UG9OS48Iiec|ACEXvluju<`@!^45)!x@#`-2BTe6Sn%c&VL-zD1q;jpr=tqT01iz1zd|kJ*vtF+#eOWsE~32>8%oL5QVlT>d+E z)&7CO-bC&JD;fH(ekEQVT*l$&lA70kQ+ZK-iJ}Y)T5J8=5A*Gf3RsB4ah}S}Lc~8< zzU(~jkt+D>?750pw{i2)JV=lGz``mmq))D5+xVGR(XLC>J8g!Fj$!swLiUTW zP!cAD3DQFIg=WGhH4QpZ6EEr9(jKYa_}}D7D9Af%oZS698&zeTb(exZSGuXBGb>3> z$6*RwWS4Q=%VOoF1E@jF=;w_qDbS<-%AeI^ua6Y(M7LUn@oa`}3=ZzYzGA7KM!S3V zG(6>H?5!Kz^W3DB=tyQC|E`}u_xj0Q+dMMA0%43tzH;%>psRQ{nx)l3p9d;3TEk_` zwmRQtJ`FKsV&A$_`7}?EqQt|}zDs_mIJTqXCl^X$-rgiD#m$@%k|yIfRqimJ0cA^k zQR=L3n>QU=+N*aab9{^j@?4Bulp`=yic%{M)`dCf$W{iq!S_JDgC?c>&4N4bNI>A0 zjdp1nw>7B4;wE8i&9SGgFf1j@R-@ABT@!nA1&l))M9YEdZq`i!q+l}0t4l~-#^#@r zru>liJ-)!G%!FRWsA)4Li_6lPAp!?7R zzlyBT(Ru|^9-d(xHj%Lmi^B#AbBKuH6?Kf%A-KDnG8{`|Hzm<0yl4XQ7oQa?ETshv z^Q$hh6>#_@IW#L5U$$BmDSd%h>!T!Vrd_+P?O;qOcfM$8PAh?>3DJETcRHYa!<^5i zE#{o|tnj8~`mJukV&{jp4}IKY+Wq^nIXA--$ZjWdN4wMYE5*@HJ}y^(kcb({^&qp& zuWE1zs^%}E-1fP5#UszB;L_q9o|Z~yfsnm z7}jpuABKblW!=_@RqM+Uj0T`<+Xh1FozjvTEN;sA+=R1U!o0Q0YguB#eQ7WG!qwd# zqN4Mi(iWFj1&nFg6_t?owple-Te-|f^Y=7OnM00lkFaqzw_Sv`(UPiGn`4@KG_)4% z9j4w{mo%NuHm4r(*kPZ2p$duoOv`u_6tMAlhXHN!^Gg(XN8224{$a^jSM9#k?MFW7 zZoMz_$f7iK#mv*1yQgXuvpPfS?ZV|fg6MGbTUK*7t_+W>DP4Zy3AHe>$&&Fe8df;a{WIF#~O7l_@lYO+u<-j^o;o$Z6w+3ye}@I4D1Ji z0glQ729Q3leBT^P^(1O_pvu1Y}Z;pL# z$U5wJalBLCep4U}V^x8mY0s#>71neXH!fF&7%M5N^jBoO*0Qj}vDli^(y7xWu)6ia z`?r|{tYBet54SY0s#GxH-7GdayqiT6@_A>XhSr&iM`xgz9SawJ%n#z=!J@U&NDwF3 zn4z56sgk&adzAY-1+7J(@M?2~z37DZ>eO7EO7fH`2#)%%{oI2MXvOsU*q(#SO1LF7qj^( zRHwT(cdm_WOl857)0w{n8<;}4jcBFySV`~J>&8{}_C+-+PzNB2*3kyG&ht-#LsBid z_uhY`U2L()=T>;MT~KA}vE|X3(G#~)Wd~lbWObZ-@~}xdZL6IVs;3H$Si+evrqP3S zjN{%Gsk1jby)|7PNAIUEYTTL2^_X_h?qlCZ5QugG_a)jeWzc2;GGHF;NceqdXJVl4 zI$`)7Cf`K!QJ4Fa(Q!Q&fsUn>#Aa|MRm=Zsp9hnA1@-|kP4f0*pN5oyZ-T-9;<}O0;it(~pu2(rtTaQ7TPjXNXvDnAFI+cS$CWpPix-*i8u` ze!sASn#wgbkrZ{yavN2*vcm8Y8lz$*ecclRaXk*>6nU&|+Wqv(<)74v0kyL5{M8fJ zS@Cs7QM^;?!SoT&jsAK9*g>MFuSoD^t4`vp$c$M#IQS-q@PcONIbY?_3;l<^i$~+Q zfIXv$)oH1Xb^!oLn>jKEfKx^~H34IXhvhIxk_AAP@_2u``)tjt^NipK)B9Z8ML@Bqfw{A>IQFB9}&cu9AR_VQO z!$+~$ZwilA!dGZOsuHO1?5gfzbB%2qQ-lrrz6IVpPBH)4h_m?nqPe4wl6Y0}NP zeVM2##UTr@A@f_#Jt+ywHCW{Eff|Noo2hv8kcXwUjL8kR#yWmnEG*@bBChX4KawSjiKC$^Rz#SKIVZ7&_ z-~L52GOY&6F(oA-i6`@Z0q*>m;!9_|qD-+AwJ&$E%A=KU;UYX0y)Fb^p`7ECz3ML6 zhfMXEq}21R-2g8%b9xzKOcj3oQ}f!Ju(@!-_~X>?an1W{Odxw2556Ki1H@8#Aj)d| zxK#WWm-D1*i4?4jGtj~T6r=~Htj4vNoig|2adtZcm_5^#@2MyY`PEq8N6t>o>RWaa z-kI=;DaN?0_F%_zY3DG$n}?H*;SEDc5Y7pUu$tKuQ{?fqfi-$(K8yakOvR@Oq#%;v zssq?H^2g*%6(V1qNbrT~dn8=0zFBx86|>ZXkyMeBjxQpN4(=btC;m`e^Dvq$+WSE> zr+ZsbJ|bkSdV>s=b}?`>Ca`E3A;C;;M};5ZIk9=GVAKx5*>?9_HzQ9u$ zu7S!osNLUT!{}P7el42jK!{y?i@w-iWsTU#OOj)VrN7;OPyM+tVYUjBFFVtsn)fVz zC!W^1ksJ@}Ilgor|1tX4$G^ViiV~wj&ol5zB+>lAxa5^zo886q;3*q&G= zR(4lhR*ZkB19=O7kbszGx$a_~0kwkS=^U)e@7urqjgS%73JUd`Plx)Kh|fd%O!Gex zn_Dn1X0NHrI~Gk5pZinaNQvZV>!AS=Z3G@6#>SzgPvd#R(o&f|GKtXv3$9`(P zZu;nW3lxtDE>SpfI{dvLKqSEvXp*@IgpXMsE`(Kr-~?S1+g@5K{cUiUzXs=0%iM2N zIz@nFGPQoya?FGeYz1PbK67*En8XYWkjSNDN{-4iSx0$C3RF^~vQ2U{5rIdLTUFD) z*dn^ZB@VQYfw^fnISl?T74NuVh@U!_T7w+VXCmVBa!eh!>k%y+_-W%g$KSReQ8wZE zMS&AwM}n<7L-60=$*&BBpYD7;LD=J)Nm(`vF;4hdfGml0@4XpHCB+bY%~bKN5H*|2 zxAB3&;HS(4))%g?A75iJr3LEWE2`*$rzSD6$U3N7f5Bg~;3EO_=BsFF;n%Mc*}IOp zPpt#xGnJo?MGo^a&%9Xa#;-x|TnMgvLYyh~SQ=%SgP+asWG_iD%USQ0C0aZ+1u!wI z&zuW9MSgEJ^0C}kC&CgiL$V5jSPy3WP#G~Y`u@w7&ILC5^K4}Q2UNU&;;)4zVin}o z$6ME88e%#x+vx}L2 zEoy=Fr*@(u=w<%OFXQEkv94KvX+0kyrwa&j^HMJWIS)o?I75{DO!ht#P?`#De*W_( z0eoE4^ZWtzzsyCWe_B^uFb4svHNNt^?m5Yv`tTLblo`%Aw#jJh^R&-)qy#zyJR3nG zImt7ej`6XFq82)&{%5Z7J^bxot7kkw3j&cPF$$*yMyIm`EXRkT+1kQKxI|o{V3;Ct z{osMJBCtSP=}c*#qUN67;Ch2zj>(F_IcdX z0$q2v>EB1nzSC0vAbbHM#flL={29{u!FBIgpcs#NapM+Z3o;-$1hlFJ%)|I zr{;RaB0_h%?ONJ4;&hJ3i`RR^(inCuU=j~j2-t5mQ#Hiqc+UWX?*32ql_U(Wv}jPX{B!;5}T#`$B@xf zm7^9-x*Jr5=jWOg!9<3Bn}~;$*hg)b@q$B!8x^bXmXc@SM&*3*{nt1-d~6Jy60jdO zzIkksw=M^H^={VmMhhZj~QliSJ8>9XGR2`|iT>nvO!c*`QrcxJ5gU@SE$cYPovjWndr)-mqR+I@5OLSlv!v2xv>19iuJkQE$MX zuhSE&+z}e*o!kcok3WJFrlLZV6kV@~!YQgf9jQ@f&@5A1mDkxJ!irhQJ1}}%PSvE0 z_`kV3BWObZ2=0q>YY7QSO{Bg$8{mTSc_rYbI@4Bj;BB&B;&{I{ZRZ(XZy#0FJa({C zFfVo6`gkg-{cy2D2LQd-DT8aLM;WLQn;)DpA$n)7j$h%}ksp!bh^9`wG9tAw{}|q5 zT{FC}!%nCMiNv)oGXqCdMnT#&u`s+Ci?$d4YeF@iF0ji^iG>`gss1g0+xgC~LJ4#x zKg%j4cQZC6`j&b|X#O9c&!&{m_;$f;@G~Rb{7}F~Vi)}Ese@E5kgP4gX=f;5(gg`O ztaT}8NOyN8fDE-%(Nwgw9}o`$Yw{R7;kbKH?%Oa_)H5M&(a3o!eD!?H{`D0GDy4HB z=T{iE+)8!k1x@U?_Ae3SAXlG1_Nat}ihj9rpo%5m{ zdQ|G_GT;6tI#%0>DqLePngw?(f*>L~Hum^?fuS(G<@BuZ^qAg5UNZ2UjqSqYn8xh) ziYGNEMf~*V6(^zr7>L#gXs?8R^wqw}EhvEU?bFi2&q&8lYU@27y?}lK^BfV01DNp0 z&fPHyf3}LLLmwU4DppgHInIE856Q`4boskr`Ko3cHW><-#N;3@lKgCGR`~jm8zq$f$E7s)JhH8lcO&wyridV-Y<1Uq{mmlj0|hbe;8~pbr|C~ zebQ+^CI@iW=ph2G6=)y(Ai9~$*EoFarPp=v?iVSrd%UZ5kFO!zCjX<#0_xkIegoWv zPp~Pq>vXkyR-*$}(i=mZtG-<+JL4NEyaE2|{)T82&rkaD6;Ymu@ zt*-H(`Qtu~Ql2l>zalbQ^doHj^eyx5DJdFBeUbivEFqLrJ(iS?`(>f6#eF;i*%WxN zbglu=w9s^B;FFT#%71vq2T_dsYbN_;CJ`3Z>V6CA{%dmThfU2ghd|VM!hbGx9gT2T zWIBF^TC|lI>ScCo_QZtWoTVz_;ln#dC}iG>zDH`ybJb%{1%`JE-NrS@boUic!xs&K9t;VOlPjuEcH{~usG`h8VpH2N#chDnq zwk&MbmtTd~&e$67R}C~zjw%MsKPpb9VUSDUtv2C(BXh9Xn-0xvk>cCQ z>AwqnBa4s2S#e{)a-~FO9*ai_5RaY$wQC?;9Q}9a%+g~gHzykgTq4+>&%*8Bt$W4) z)VjaX*-YK>JnXbJ*@-I7@LcxvZ|3kRJZcqbARGJn0d&s)uD2a>=?ZvfTT;MjN61Yd z;d~rq{#C>%*Q*ujU*f?c5u0Mg|1jt{YPb$GQKWjOFEGZR_CE?Dpj88IZ0l!Xz);1@ ziYa{7yf}BKWuJkRv3mEVi1FbM$3x4jyIVP_;sIY{OtzQ1+Dg8`SmXK^`5Uvq+ZOIk zVXbiTpGIyRJU`z3>)nakn}TXk9lSTL<^}@M#c(wi5c-V$CwE^3F*myYE%fsar~g6$ z+2LoIur1X0%hHy;!)d$iR=l;l9pn3Bj5TYGCQ$QMpZhCIKb3Zx&>r%uJz2=A7iQPa zEF32>78jasQlZZz8}ZVKJ-4PogKZ`ggzO$SMlX;?$D6U=klF7d59i$X70eGAu1NY4YXId zId@KIZI0#`ZoYo6sIDmsj4A2~{4efH^(w=b3KeiWu?4uxcUOON^ltF|j~u-vlPK>M z*R*}V@tp%tz|@u689TlpKMi{0(vPpDJP-H;aFF((C_6PCB@Py~p*62z3$f| zr%P6`bqe|{=0e}UE7t$zn6sJ{=}A#vS9h!vL>@uYZ;l~}0Q_i&t0O5$>rMXqia~HW z6cREcfs2Ey}laQgWK-E#XyYAk$<2${qG+B z^}^Prlm0n7Op%aL*(S2VV7sC9ISsH zox5=OUi>!?J|eQ^kAwc3X^R{%-&Qlbd^sKumMe7*Majnps(}}D@0I+MoCkORU0Yv* z@CvHYopj>QpaJ#mn$F^;v9^1_gOuMwndW=uf5Z}hi>q2}`F+`Loj5>!75sAls$)%_D`XlAb`| zT9HQgM)XL_zb19F%eL&&(+dO=$C5AbAv%g0+x-y3v`R;2&Kdc|?YfxZc32@igZaDYD$ zBNTPT|DPCIf7#hEfR5hr&pv6Oo`f&l{m~U8aE*L0cP^}XS^4AHlQM+a+6AV(oyXy@ zxT~we3O>0;MIhr%qW-t1ejmUZ^wLmtCMVdZh}RGb%Dv)_!z@iGshDB5eR#{&?Vu2><5CM!PPX-o@z?K z@-*>zrLTX_J$D3sQR98#;e#~?O-1oOiTmW(`B8kJC2;ps?0+jM*FfJxx_831w@ptF zh}8VBb@X1BpZC0p8>&iAF+RJz2|B8YUc*mtu>pOh>LJKPSiXA3jW7BC}dVw=OMb7@ZD*`I8{ExC%^t1W&W+Wmu(&iToe2X1O{gVAWQm-!e?82~7 zEwI#NJ3g7$1p)lQ`j>xS05jW{Q7vBoZF?51KI#%KtJK*JVx4yJPwjNNftOT5Y9#r0 z+4qQ{EM{xe@^Z#onM|qhgaO=QrU;(F4?VyKr82`b? z4^8>i6$3BMqQZEYXMN*GocvZ6f>Z_#>+0`w-nOs4<|uZpT4Z^+ih$|`4f5O1;Mt&xw#eH~P7ZgV|ZQ7!$(Rn{?97ZpcDUorn}=AMPzr`X_i)BpeePlDm9S|e0H|D5YLoo}FDIRIN>v)KeLG>M`CkhE$ZEflv za*zF>k>(T9&-F6!WD95AmwAn_g67b7g1cZjTOrur6_3w@V6DHZDs&+W@byXhF`rxj z=Nvm>$5(Kf!9E>ECfv4ZU0=}ihhmv_;`v9rn?w8GNTP*MZa3_A-oFw`SGty)9ifw! zAzmJ9X_2lobg#5V80mx3uY92EhFehQ8_HpC7ML3C%Up=@dfY)_S~@bg*%ZBL;$)4Z zek}Bi#dqUtk4>dbp-6XV_}YE*{QQ&^1JDX!-;JS|{|{Zm?`yU!)0mN-rzTn(e^bE# z+*DX%hKnbYHc{;}$UC!s_@ntzog{#eq}fX3BH+x~dd%M&E;u#Bwb&=L*j;kAyr$gF zzVAiGa!FHkN1prs24Fx5$UKi?CdxKY9_ z0XZnHUmTz|fy>Co`yn3Rt^M&uqt}fEBRz#{ZUbE{aaI|B$iXkH-6IiPfy3i~(A+@i zf)m+q*%K*s&Z>G`>5yhuPfh;^zF6Yhw7nrq%U4g61+15T$Nh4>5%(Q>&$0b?jJ{uk zS3WLMd7@`nWHusdSAJ$Qkl$Iheq{M!h0>ifDE$QTho{mqw+`L5kA!!mUHu-F?+3ue zJB+&NrveujN`nU+@*L%M4L88*;i^ec?^=HL;*`CVa!%y1oOPf>J|fD{Se-X(dFvZ< zTgeLqT?z@G@Tr@3lx=*3zF5s#Q8QRNRVV;q^A+LD{arIo1ga9Ot@yawVd2Ic;6gE9 zEx1s;9jQalLj(_vT~vT<>l-}6H^RbOgTNuYk->einsQ}&tGjtP5qj87b`&*`d{75H zTG^?U@(&oWcSIeq8Sj56ln&^0P1X7kc`4Q=F0?p*Pp6yZaN|ZkoGN?xlzia4-P%Nm zGIAbuST$W>Wio)M>PIU&u6e(K7sj~ev5H4=SGglcsWY6=xa#rwcWJMem(pDNRr?HF z=MRTNv6*&Sd3l?f!)ijnSSsQ6+xXA*hrzYS#;+6)y?7~Nxkx|?Tmk2kS}K8mnlbzu z-pE#eDt8#pJzlG6=J8sy{Dp_H^6&rcgIeRx`ZMxd<^8S{P?!J1qolp5ne)L{M)_x;wC|lDN z+~-WOxg!BuY3#&VP$O`)7}nvzbBvXc-3=d0yPc-J^TJRR)a)Z^Lc@NjMLKm=_C#%Ux<8JQ1mB=1;zRnZkg}pakc9O9B_-}%v=JUtk6%H$HAEM5u4UCt3#EmYukSIeyj0~wVQt0NCiMv2As zt_Dl~oWPqYP85*I83h4%yQ$AJ+smQs>JcT5>zMS7{Hk7_!@19^UjMIPDrekLhK z9aZIq;8J$P4FP1B!sZRh?8F{4=o14E%A@}aT7m(z>?R9Iq+AQs?>-m6&fB+SCbk;9 zx?5MfUwbr;Jldm|IXYMCJ1%kPeYJYZ!qa~~&fmIK@qe-R)=_me+1nuQ?ht|mcMmQX z3oaqJySoH;4esvl9)ddwevu%7;O_1rbMkiguir1--^_e}&YG;VSloMVol~`U?Rsig z)l<7_vLYnk$w{JOPZ+vr_XdCS7gi%w!>Q)$W`u z1>$_T$UL=Z-+yXV$#3D*a$o9>KxL(gzqYODO1vgC&D2S{-k@m+)!-M=tQA0h_WAz) zUDV&bxIFp1)04K2&o%V2n>6vsc1aYfp9gH=G%e%)$8*{FMfDY!%+{R@7OC3v*`4e> zGp+TNXI$yL$?krz|5@7o!Pe_(O1)#}Q2%Tkm%2WovC|E&)D9C#e=jX|&x$W`oY_H5uwUpP_fDA{L zGmY=w@sFK&-uiZ;*>HDVP6lOvnPA|6{JYC-pKt5w{NwT?~509>Q^5K7lpU0GHs2$-tQll(2Pna+cFI~-%FcZ>q@A5 zw!CevYiQ&WIy^Kj{53hBIQ4J0_b<#dfY}fxt&)ZQA9;0t#i@;SG{%FG1g&|nd}717 z?z@%MyDnV4oaP>Q_%3_;bM1}ap<<1|Im`13`jZR6J$y^|esS${1k2;i?)8y{stHoA z2EntMZV4}cH`a5oE_YkA5f-fG@ZeEqwoQD)l8W9)2vAYj51ft>O(p8OoZbbS7y4$~ zpLyL*5g9(@t0MHqUzduN;P(BR;r6c8ew4lCxEhsac-}AQO&%0|(F_K>@;8_n z47u|Px!Jkq=UR)Zt^qfBz(B`ha)bWw4T}sog5x|F-I=;)xq0Tz|#ooKr1Oo%)QE zec@U5p6U1&r%{cRGd($uh$Nyo-PeyV>;Z_F_IUMxcdRD8;9}8Gpt7u7sz0;;JhB9J z(k4Xo)r{Vnb8nV~>AQZeD*b@~68!sPt=l)dbK!jZ(k9o{vWRi67ZV%$>iifz)rr} zhl-zDpC|RNJB1$}H0B>C{m!a?evR#5OS~*x&J80aK9j| z(l(UxK~G%+8JB54+S6yS*@pDTGv(=4Tf@TJ=82i)U^V7uyl5LN9^QZayIsab#Q()0|5k)Npsy-z6h-{yUm5`8H>5S- zkMe&%!sh^rYY!JTh6jW=tOwi`^(h$kH0hE{7OWr5}WKae4__#E0 z{weR@O7XXkz=A(QF)xpTYmv|(irBDzofR|tG3!fXD)afj!)*UnCXqD+nAh~4 zZ|WwkGcnSHAnmE(pVBb`n63*Ek6jqG7oA=`5&edoe^Z$QF`%*%eS1EItsYx>1IA*6 zCt~tn4`ZHK95J^jZlR~>8?SD%KKIM)qWm9DHvV^VwA&909k2AzmSZ4T>0#;r={x^- z6POnBu|v(NmhMYJm;l{+aeb#|-|M0kHYvj~V3U2Z&U3dDAAR^*U6 z2Qxt2`V3r$I&G-a+d}Qti|Cmy`+?O@tL*<>Isd(oybHlGKzuY3sn^}L2#8x{21|UD()0LZZ?46Av+4B3IrmA+ z*#Bb<{w*Ukw{3}9{naBtT(w3hG&k9`AHFV!+Fg#{ZlmvB+-jTsUi*K0_CHJozWt(L zzSiE2cLBFj6B54tkD2}7wWGf@`Nz!unAv}{qrV{c51Rc!vww8BzeDbyPV-Nv`5#`v zAK&bcZ}vM-{M|$T_Wz(;%emb*BxnFLv!EnI~j|#or|fHgT0NRiz$+elPi!?%-+V{NyWj?*p%#7cEGEDrLhCvsbuP8Z0g|R zYG?zzU*6E!nv9q0A1OpFU7Uem#q4bz?CpTGNIYaLl9o2WtAYQ&-YsrwY;R&pCM1OP zPdVKokFw#t-wk4aMu)*|UqF`dd?Stl8DCHquAx+?K7e-f!4H`p_(m3fwc>@l&ovvT zBqpVZupgr5^z+p8OGC%|R-H9b=1;e+iVZFHt0zYt+1cr<6DQ7m&OeTpT3C2_@5}IW zjX%dIyDQ$%2nh)>GBPqTG0l70;u{$m6%-a?V_`u-L(8Tx?z58i2XJhPEH;=DCR*iP zpRWEKJK%W#0*&B5t~(a^Z!Pb6vSVi7eJ)OYV2KZ9&vh`kIa(Z?^iq0n#xXQ8sYl7!t*YztvngoM1d-msOm!tljT;`9 zJEo?l=vCA%pP8ZV`5?kr20JFom1>=TBA8g!gQ$m z3L+{M78Oy(2%NjBgy`f}mXf!Rr4#IKC7JS>@)-Pn?fOHq9SQKNJ3nCzEOhxi20+8J zkAI#gUg-Aw`O$XCWj^Qf9q)(aL`CoK@5txPPs<|)c+|~VXIT0nf4_JPM52C9u(Fa# zl0Pn)*L$>E+XFXZiZXME{-Zv!`iWV|hIpSGm~=12FoG-~myb~{&$-~kKh?c48W;Au8B2&Mz|1fvShi&x(P+gm=w&YM(QPfuaG5 z63*)DcYDoK6taq_;f~ae!L9SZi2;Z;$!j2jBJ3w3L^;U~w^AIGo(cKa@9gpV|_J9GEAQ#v8FKsk& z0@`qKK?%U_vMmE!7rucTp#3D>KWp>5CGf1-1Ih^P7K{%p{7edpV$#->R+N|K(qDD* zcR-G`o=hj0Ql8p+{FpCVJN~O)lpUPI%NPGco;)xhPn4)1jCnMKFbvyAo5c|sPZ=4j zuCDU({Tgic<`;+p4iYX~jXB<2T1`mUWQOo;1qB7elj?7R>mgttbUhq1-;8WJ7^*zz z!UB7+0FljYW!O*&|I>(kfJV7_blFj-b;XTA!Om_pn!?1BKRisg;&&c$D;@$5Z@Y+D zA6AVyJX~HbEuGKb0uO&2{#{mLYpd|1b2F*P`V+S-U6q2autb%vSxS3}5^V-H37 zz3KJ`1nvOljFi#;tkV56fFOR63@*l@VSY7fEXZ=k%S~u?a4sR+YjT3e;SQX`q{!LY zyI#g=b$o)d!S{BWgZ<`oDfP$ra%xIKMp9Zu|`5H7ZED^z{81-;;NJ>t)}bN7Isg94MGB zdn)otdx6+k-Z;r!!d$%3+*>&&&5_aj!(M7a?q5UjQ``MqT|D``CtVzu2BjBdVIObI zOUz9;UQ;nKaU@pOe6Ej8J@S-apu3V)j3pJ$!46;Nj3;>J*d>tp9a&M}y(fOPp{!zG z?R>vLf~q1KYHF_^^20o9P;AU!b}k0zxpO-Of#bgCK4&h68w;`fK zUpFiC^g7)4;bsDF&5DYSWg~x7^dFy((+geqzTT*&re@ia z&O42E;Jo+CczmJUR{E$yg^p7B6e-+MPud8Ec%1)sSPJFX0crq&KdWsw5{>I+%qYLl+~uaZwo=|)q5i<} z`{&2U#=-MWmhO(bd;BC?$s1VL1I|x32?_W~0WCo6>-@sNBFeDEpgx)`#E{>$?cJS*PuB>T0Xx+_eOoW_VXU%=T;_=zHxz358 zO^Q%%4)Jb1j5N=AARKdE_kDJb{-=FD*8`JFd+%l|W@iZ@888rR_2+$Z9-B}Y+DoL~ zF*BK;^dJhG2ABP5-fY^Zp8(J<#tJ_pG}m@NKZM}7F=Ahzi-8zaD{w?%npb@vtAFIL zdhBMiE3~;CS9mIiBa%G)xLrk;_WSwc_N%&nwaNwXH8D1?*F>-zV@654-Obi;%{$*2fVh-Vl8;z@uIs%| zhqGfhzAPVcv2{yMBZbp&on7jNVD9_H`9kPEDC+svou`e|*|c^JCIgq2$d@rzw!%m; z3d?+cQrDHTIF*ZzV&d#xq#e|gk1;ql(onby6~>DSSR^FUzFiLqDLK5yrMv5D<6%Nk z!#rJ{SNH@Ts@mPy8BVlZZtqv^SUNAeR|`3^_uI|BdvJ_=2Jb{z;8LH$}%zb$xi_>d&nA=xQd~mlLlqJPfvdUWscOi}H?t zW9Yd>IG_P|10Qf1Bx8uvQ&Q&IT&<}neAC>ozp8Vvv!{9Fxy{$;%BA7!kHuXbD*kx5 zHln47gaZgpV0H&rd;b0hfbSI zxdI#>*N%#~I1KU54gsCuX9D^7Oiye^9 z;ME#P7wjId>Ggyme8*`$hq7WsBX(S&S~*vvTiLF@hYWL^>-T&PEu%}h>@>=h`Px>i z%bRz0B9lATc*(x=S}i|%YP2a2=D50Lh2AAYxf!F?=h3Z9qL7VO0Ek+u1X~WF`Altr zF+`aX7YEVo4YpoO@9PKj2V$zZ?F&I}v0YNzi^7HK;i$(G2LnSU4(mBa7*qqd-ayzf zI2Xw1(7Y)+Ke9B-*X-<0C(ErEcmxDrSm$7Ezr>Lv5UB*G771O&_3yhBmGB|i#lsk> z)#{-(r?EvuM6~6xF@f=!&ms5D5_X`NZXQp&!$Lzv(a~Qj2mw()IpO`srlSzFl$0Jknl0QCah^pX74LuOJJi|M!6Y|R4SgU5&&&rX>F zg-aSOPL}`z3boUX@X))3?~OyK`xjTn$qc&i3BI=9=U&|K;NSYhC!nqpefqgpF9?ZU z+r8lET8x&Nh;}54=KJxWIVQ3;y^GxU3O}}|Y_z7W%ck|BC8-l}^80!jo0|`e{n}ZE zz$yRRI;sfsx=4f*NRTX;ZP@vv*`&ij99v2WQ3HJ-CRF3 zK}J#zDe>Hct#Zy9RGbtR{hVYpgpV*c%RaZ?KkS;v9I2KmLAEq`-JVEgL9xZ*P@+Kv zQ$o4ovFKHOX{6LRF!}^FKU<-S+~=|!nn2L@VRv57t9pCo>A8q0igu2U038}0ZPvcj zRR9VwtG8kH+;o&$DFf5%E}Wk0k4RpTi18YW14zhxPW96)MP`h=rjEp7lVtevO4i zJ4~axL$-ZD5~>SQ8nrN7Su?jwc27j7QYt=^TNWY4MqDt40K?LQSRC$V8z+S_wL@gU zU`MbSCa0MJIl;%=*1Y3|`a<y@)148M(;B8xqIGU~e)Jm}o?KC#T2Ayn1#9_To1l$~l&AlnVC0VoC9qT=IauErR zu{jYSAeE?5`JmJy^8$<_5PSW_!OL)&h(Bg~>P)gC^E8J_?O~(SLHlHQI0kfXjOeK_ zg$jGUmCbo0e7T^+4jj%%-Xir4Qz8X=t8^^FN~=zPZi*9P32d5hPst_op!% zLa+m8)p;N|3XptgPbLx{by~2d==C6UF90j_R|$JW>3D0xkwOo}GqRU^xLXtN$ef8% z=z{`^*$%ceDJ+8bw$!@eowLnpW*@{05g`RwST&vy+$*K}^eMbkbKCOn$-^;maI(_k zI=F?V4(;D+nT^bn^3ODX?jp*?#J{-8R!^KjQ>o%(C-b)78@WN%@m(E9&FnaTu1t>P zt*Myt^vQCbB4x%X?A3;z=0N{!8bmO!<|{-?Mf|~{z>hAyZXU^KU}z{fL?Z5#tFrMiAua*4yU^MBC>nX? zu1$Rusd%FOzKe<+v0S>`v3=gnZo9#~ws!uk8C}2D5p*s~zuIcD+dKPd+qMA|g^T$( z-4DUW%IJq%4i&ORbVgjXv5MIivC%8T06JW5Je~&hjD^e7^PFl!XctN{-cZ3e> z-~N5YcPD*e45`^b_XYIVp!s0|bhav35vB!xIFt`4M64I33fc6tB)m>AD7#~bqt$RY zjv5*SW*nooGMnv8QJtvGcTx5A^%!z-5y9O?L5AB%%~z@Hu<*zjg*?VXQPPRjhT%rp z-!|=Ww|VlTX!nZVKbabY4@aBIx|8(q!)#uN*!CKV6ZG8q%V)keMf-enmgnfcA)Qs0 znKPjjrln-POtp`EkRWHsGTV?>`=Eh=nDcT9YUFJ(V(2O|O9z>4uO#u%^0(q{O-;?X z4|`L{Pehv8hIYSPzbQu@Bg|ku))l8p%Ix3QTiiPoqW?$C5wUf5=UIAd;skwR z#3ZL2nV+ehdYL)-P#e>MSuVkf=#H!hp+ajA4^B0*Px`pV^fdsubS|?7^Z1eMGmG49Afp?+QC!ia|89CO;lsC zTqnQpaL;X&O}aWMvu zWeLs;z=4J}qPJe@Xno28&T`5@#*T;YkdQH=-wT|}7lS9{n@xtRglhg%wJwI62zrx^jDL%W|n<_+UoGDSU6X)_*_<{tx8BLgw zouFehTb~N^H7XA?;y@FeCMy9R_(0|_qlsyQd7YnEKJn@rV`!k=;}Ss55~V5`I{zc@ zhyBuuI;yEULorFHcZ;ymKJaal&~uH;UY^2+?6Vu1K{a*yA~5oyxd=or+MO!XX=30cmm zK%sVcSL6UOWejIr5;CEX&4;;h2r7ge-wzB`&4Y<THnLtrt&>>`O&zt}p~hnL>=XFO`6Z`;Qtm!@xf*UFjH z-aaJYacI&3Vz(1SV*T%qfRpbIHL#bP;=$@R4)cnwru<3Az{pd}D9)cCk(;`j=$US^ z&}fr8=oNe6N5;pS&Kg}m5<-$gB;9|xLboUKCRYbb(hVS#s1)y8Dk>&pt=QcUjklW> z)-3eEEXRGzLQzyvQdHi);CVX3YcJbdU-OFz!WP}NPelpH15y#%DX2!vEdsANm~1RB zUog}A8ZNHl*@VX~-0v4gd%RQC>c+}Rn>}9Fvf1}Ik1Q{LykW{*s2%0keNOwx$3@56 zT{UrHSrbn{@EU2L2X){}QvJpa3m*O*CC6)LOskNlv`EG;1+_TXN$5?~>3YwOLc+Anu#Uv)PcYn~sPa>!$GRd`#Io<(f^qKomk35Up<62EY!R#nU39+|q-h!AK`JAgv?Q54R6!@|6$om9LM<3cdMTsR{7%X1Q8W|f5 z$PkV}N5K%HxF7O$7}Rc!IUA(H4uH8ZSs&p^z1Q+_pHv)P1jp$0IMiRV^{w+Vvvxw5 zlZm`^9u=dyZz~ESIFMWj4;fy#i7JJB>E9wkFndunFkvpfUV}x#A^_iBsngm}agTx> z2PB2i(XfsZ@n*nnO4P>I(3itpC{`LB5Y_SwqYH0gp4}j0sAX#L?3gsSng*dDx^;YJi^?Xd7M?T)?=wbORo|fb-hD1c{Y8VfKX(x()MWSt*0IG)_ zBd_thQW{Ze_Qm+tx6R={@C%s*PAdNue&pP0a#~ITkNs@AV?B?B_>Gx)cnG?P*W9%$Yo?jc%rFG-Pzgm7e`Z7xis z=qi*nURjLY(9h(ozZR`VhmEEQxnw@8EkneK!{U4uNf1B+6McpY6a6yjDrr9^23b$04$`eeDKhWg zp&LC2dj=EfdN;%lD~BSKWMOozmlMhz6J$*JcDK&*6?b(KiDldR!VL$H--3lyB{k+#Zg>D zd@mv2l-Wf`W9R17Z~xI{v;wF7lT4#({Aauy-x!z9`G?|S(kNZ7lZu2Gh7sXrI&wiK z!%LMGoqbwm&#{bPpZFKKmOJc-%xza6Mw#iQH{uoF3{VvxkHdScye~44#TvsPHi2ey z3rhq%Cq<>SxHuwQ&f6O7FnisH@ihP0*`(lsCNS=%mEHlZqi%Jr-^t?zbWQWr<>>Nj zKUqncqv^SMIuj{T;4%a=8@ zy59y5H@I&yTapFo@2Mug&H!g8k(mM*q;GbeRpRsrOfX#ytEP0PC&3K#xb#9976+k^ zO6!bN!{u%nM;o}8Nu(?v;Djmfyeh%LiS{Re$*Q}5S0)iw;aa(Tzoa<=^`K0jnKHmc5KLswXjlt!5P zt7Hfq4leV!T3+Cuo}ZWU7QnxZt&+txO}kxp5(LzUX+G3^ek-?2B>hpPp>JWHl_j_Ml5?OEDv+H z1P0YBN3g=}l`5K|W;)>~UKHJP;sB$hJjfRhGGHZao;Nb8AUIl>iEL3%j}n^&mb^+mJQBDdx;ZBA}QSMTnbJwoGhv znUojM39;}X4qn40BJEo+AAn(~gLrrs@`{xakj8;OaA*xb=MtwZj59D)f}99d8wzw8 z#q{NP<;k>4lnDaoE+;S?le5RIK=BfXvxVqzr=!fstd}#6co}Ok@ma zqog(9WTr+Lod@ZOY;VXO=oY%eXAA-Ir|)6ItFHS@fd0xNC61;$dSIc0H$3@D&?`Lb z_9C-K8s!q+SG82(dyP*C4Q26${HX@pb62SA;jAUMtC)t>bPgSKmxX4#WU&mF@Xv>^ zN&c3~rM%-S)cVRdewr-`-%HzK<)y#lw;L)%@*k`md!CwGjjY(_HreWx8b+qSFj7&O z?h8usd%Bouwwh~ew(GC;j7Exm$3ZMzj|bH^t_Q39p3+iIPY>J~Tox`r zt*t5C&*hx{Q#;s!Lw#JsB^Y+Mbp@_Aq=G-C##-(-7FNy}4~F(`;0$oO}6Z zLbK&P3xcFVp&rd1W?NL9AlaMyJ)~?ps8c;78jb3?!sH`ts0^(D0{56SQd-y#Y?ovm z17>F++jW_R(`#w8415^q2SKv73i2@sGYQRBkpo270h0~-M0(aprBULEq)NMUTPXhY z5ChJaJoHbybnZC;^?Eqr0mbBpp#%zR1ftagb##|QEwgW5fbwBxrJLhMEY8d9OfEA1eQ7jm8&FT`jV^$JB8y=C)= z76ZCH<|1&qj<2+cQ3|AXR zTvp!hPAK@5yJGBafD;e*{x6&k&pr< z0hNt=`hgz4A(E41y#zVJ00bh7I*dGg@Jz4(9R@U=*xaWIWTHY?t8~t*b_I%PqdtaS z$z}^qGi5S)GCKPM7#~$KIBbLuk|)!!s7$qUpF7JrTG&yv(h7m2q`_%nuAoasiUA2) zj37^fwhe&;H5lZWFHUxx?zxjjoR`T|Mv0QH{>YOEEOae=khtmfgX@s-%v(bo$X49;{oLUjZpt5hQ( zao(4+kE@xr#5)W>%4nO4+y%cN6b4E;S&5;NTgb#L&&7UWz<_=s=Fk6Khb9auUbCge zD}6Yte;StpRnv?dqUuFFCJdJE2!cs4L|S;>y&+{N@<6!aGIk5M{_5RbQPDtBaMp`$ z8u;KgowZNF0|W1BF60$twlkCBh)G-2M;zKhD)R+9W?oUzkxLWFOF7_9bm23wEV{~0 z9DC{F;tjK$qu7Z=fmtsUE8mov;-}tO3DnnTyje3+7Y(c|DherHyuILQuwJ8`up!cE zxeU}*Z?2K08QD!0_-kgD46a~cARNb-0}MaO531Xalc|zF=^LUzEo0zXqxNsOz`UE1 zMI5(h!$2Vld57Wkypc?sHm`?!sDhJXJfp-=WNOYP3%&4Dl!?APPao2sz0bGAwFDo1 zg-VLwlydJS1Z1gySf9y6kxUn3y9#t=uAKGF!~-S#AzB$J3epM`bz=(^cP`Y|SffE4 zm~l8?nkWo-_;KVcwC1~Sb*ZI6t%vK7+2rGC++Y!u(6J@@tgm`Z8L-w!)s*2#T}+@@ zteU8lH@hPSJnKl#T+vPLw0EGom|PTz@GCH$BK&yFtNt3vc`;t7 zNY`k2(QE-O&wxjN)bc7W^k$DP@$9&Pu#EFNsXB#`pwRUw49jpi+!o_nR2ux;cretF zVwAQ3`$yrmZY3;dd^O}r$F6rh-JMu0&a9r8wtMkx(t#18-y^q&Ghm}f-sN2|Au93k zF_6IQ5nFH~Fr)-D6cQ7gciVW|k)o<;t(ePEeCe#~^iB1_OkKE~k$G%e1k(q2UZOCl4w+$r z-sGlVh_F6~Wz>_C6M%wnr3!xBcyEUj4HDx14}PW?mvi}M{!xw+Vd4`HzX$sWvWk)cXL*2Q_{kR)u={e| zc>p{KED{yg=9l=&72hS-2fU=iz@5dN+fcIsBsGL)6vQ!6+^BJ8UMdR|EOY}*Gv3*T z$q>B7PKk_hqM}8G0^|%B0e3M~JJUMuGGaP^gXe^lm)i(LpEYSgVr7CBoCQ0d;(1uaJizpWr5%h!CZlK}%n3OVi z!{B8MNvKH2yf9wC6)o|7H+wZpt7J#Xih@BQBt*{4yaF(|AYV}h+Qbv&=NtZmdnNCA z06Ab_`av3+AWN`2hbrbi_|A}b554gNXPHn#zZN|<8rK=`C7p6awIIl!FIqhk1*?L4DkG>AnYZ|7~nMSSXUY2Bxal7uR7T&RRca5eAeXf?JOan{wQJIy_z5*J{ zBW)6RyXFISmiCs_y?$b9LlML%&NMNR+OUj$oWVQ)#711L=`@(0i8y)1@_?~GWFpLO zbzebR7BMf&NyrIK=Q3O2LWsk`r(aSdmqKk@7d2Zuo#Y2?>7} zAyO~7mXBX$!D@H!uWYb`ILYR7ZgjR7vWt?u>_CIgwZvk`j;LsWWB9r#9_Ko58_O@9 z`{-5%jhb{ogvppB8~ILB70YEjUH8Lo196HrmEKOI7^+|&Hr9sAmt&0KAzNC^RN)rB ze5Ak#xk3myTuaSwJysiT`|P3_OUL&krM~nI9=_z4ryr~GpORPrTGa5lo%(BCZ!zcwxdLj9?0XClPae#n#oB&8BQk(Gv0XO zPfw2tqR@zMLLlPt$KrHx>B?ze>MM{meZaCRRz~t-u~32yX?PC@BD2Nk%oPc-faLN) zY@RN2kw+v#`etiRg&mH&j-`kZEk@KGb&jqBvHh0m=~gM|HWkB!t8HK;!7Dkho@?3* z)Nhz{Qs_xAy=RSy)V78l4fnc065lzHpsmSty){fpj>@6|2X+F&7MKCi{eWv8W6&en zhEl*V83}1~ULrw7ezAg}RjG!4_WFfLRDW3Q(QG+IGSCFEhJZj^N8HAyf9s(UfUSDE-b5?IBxLHzNB+?Ba+rUF=AM14pz@W~YR5iPYqSlvDW`<1G9PKoSdp|TX2k|foWUT`V*vh6qd}PJ8>|=@mYg+KK`aE0#q9wAJqzmf8IR|_GL*m z2TM?1y@l`2byhYJ#7|7l$x2=GFf4nmUR(*V2x|x35xOY?8;;uUQ32R_s>?U9#P4E}DbYO6Zqg4|W z75DugsKV4FVxQ1bQu-5D(LbW>JDe8$K<{e1z|Y2VRMf;_1Q z{&f&mFx>DtzORVv3MB+lqdZ-A;7%;rP{}w?KHHSzFX{c6U~E4I5|HzY4d2>|h3IK3 zC@M-CK^Q@l8vn${#Rw`kbPI1>qOu>pjl~kbE<0{{2F26Rv)+fRUBBzgty$OJz7KWz znUBAUBwkr|r{+(9poJ-lyy&SJ3>HN@c*p1P+TC6m&o3skQNgW)MTIH<@I-e0 zKMkd{lp3pW&Fqc!I5k%P2>3?A zV%<6>r)pB%C<{1;M}-;-IwnI3g-{LPAyZ-myHQaTdeO`3W8$5bs@5R~S!gmv*P|^!GCZHwN`z#qB0k@@2k4qf*`@EyM50s{)(=Sm zu07Wq#W{14sKkyl*AagL2Y@}yUWF2_Y(XY@l~eXbIfg7x@!iNH^PoQ24cv zcm;TV7}NC>3pmpn&>7!b)(#xIB?z24aj8I{e^baQ#zg`i^-BNO&!t;ePDe}9-qUfB z4^V5}F9&GzLcQPqDvI031!wo9htF^QWlQ4|cbV)!Q39t0{>4$-yZg%4-J^Qd|0)!C z^b;)G71V`}0>x)v>LJT_8!1dFo{YlBE$)fN@N8vsZyUEuxxaoJHJSG;DJfjyU}M}` zd~wmw!S>n~WdJccDx3|dQwSSFXR;+}g@}QLdcoj-Rp|lVz?z^wHjRQf$=9$JEbSGs zPKR~TA1<|#EL~~b9RMj$?7L_N@r5edcB||UN>KJ})h?L1x{??sks~;h%eutrh)fb- zLC#lemy&v30)#w;basjFqJh|9?!^r`LD=U5*otMudPAMSVxPxhi-{U=6f8guPu2Hr zrN3MYLcf@1xK12sS@j*QOur^xiM<(mVZXFVZ~~R; z1i-rBHy%O(9s&4b6N%H#J7ejWvnq<^S;)+v$XHq9TDB{Q(dr8F|6*3IiPvh>i~gYO zl_hx-LtMTKRLun8W;0R67{KBLH9ugkULSldv_w!c<#Rg%#6&Z1*Wf~wfCOa>h zrozI*1ME_X?b#aL%r|~h68Pm=H^)oPvmYO%3(O~TI`VWT(*-=(?GM#>QH0^hSss*m z{UN}y>qUSfLv_JCtL<-MNe=L^I)*l;-jJ&Dx`8V7I{5@Q#;+?-cD0$cnxuYEo&&VY z%MTuc=D==~j%eL&GK-FWSwEGIm%r-raK3gP_BaGzFYUE$Mny1;`JN^WDop`EbEyCb zT;JPVRTTgt9|q@8@`xp{O1|AOXWw-nn)iqgHgW?Q!h4`Z{Uvc@RyfChrw0^IY; zVp8C8Y%HDQ+6t3X@1kaREQ2djeYlcX&#fDH!Nc`oW!A;^=#0|-bg?Sh$Ifjqt5kCb z@&cNXgVPQ)xE<;8-NH47*urF zLYXo}GDYCf3!sbuRgf{z44%zBe+4*px73cjH*Q9ewd-nHmN4aOg{q3F)z^v;Nib}* z@nM?7J4h_y=et4)FhCF*I6Qkt7;`8NJ^?sR$qSo0gty7=fo`dQ^f>1S4306elvOu|j3Y)``*7Y(0Mv7|I;vdlgs#Y-Py9 zo{9n_hCw|p-_6sWs-uSo1ua<^CI`8dys@xK4uX3VTbWAIEDo*{{i>@`${Ac-;e^3Cx>R20#B>S; ztaNCME{s)R*;ww79sxz_w8iAKapEkb7l8r+YWZLr8&W$Gz8`|0=X8e27#Ip4K$?%7 z@p9mdlr)SE>R~_RARhQK^!Z#2!`L}*az)`48{AZU{SGob4`>$6=u2XBDZw9vZ9;R^+a>kdIxtr z-KH0+;^DgbAw7smrI`j$PUP=kpIP>$!LD4U=CA zd24*egD`yc>J?zJ03$j~!j#pXL-4@#tQvex4^MOTC7z#Em)j~(UVY4O&2@ApP3n17U8}IQFl;JH2F)_){7maNJ0Q1$ynUl``7R=xQkO>(TU@kunMj)f3 z&x0TsQvjscPl2E&jn%8glQr0#$o52wi5Ps{f7g^o+##e2&qh!HuGMBI2=0KBs{JUN z%8a)JA-iCtee$hYmOK5^%lZnf=86jVN`I1lq>S5!3yFeg^oisV14Jv%(!Tgkkx5UoKD0eGg$3&O{6ff6w3PDlm-b6|&T;T;! zJij6A-nIErGK)6*5L@&gd|HtNT6?8%$c$nPcu&MKd2j3ytXx;)y1*1K2MW8dw7Ow) zGz{33pi1aEQJQ)Ulc9GpIbT+9?`yzB(|mD$*AllzrwxXa3`9d#37snFzlfp&W}#LH zTs@ob`0?zs9j&JjmZ3;0PmH!Wo?Tb*_C+KCoBD+{yJV(cGID|_4=iv~ z)uc+x$V|`2f=$7-nR*=y695xQoU>35(Q^jsFkk!n8Xu|9@A{ghi9>L@9+s2GQ>PY> zX%eSm*I(WT*g)Zl)?~<0Mr?x!Bmc&_9>5tus0B(5YEQIZNRty}TUe;7)Au}O=ut%h zSJ71!1RLTx&0zRrFmTlbN$8-~Bmu z$+2SY1TKx(plB(r?=Rk+%ccMQ&m zm@O{`_68y4`IHHyYQ1^EDTX+egauOWxe==WDiS#QbjO9cP<$+Ez0%)O%+$KF;sQsN%9i>ebp0xG9E5Pb#>~FQ*94#ne zlp7!T3&2Vm%AfG84aCxgBUIo_%I?pUhx)+<^8;J$7QAp~UhvI;wlWWcm@<5|GEaR3 zA#vM;h-FeSu$hYJjG)E`hZm)9FI@3+rw&4vU=Y_%fQOMwk(47LrL@xt2f>3!OHcQE z%-{`Q6=Q@I(G8+e0Uv69QL92#1GaM@@aimZL^La;Gsb1FcEQKck&9Y^Ms|jvs-+_f zTsOjH=dPYuhDLs|jG!r*xPtPGJE4JZ3kq8(1m2Bp5N`nz6wD^*?79-V8tU-ygizB# zoQ4BXmBY#!?hO#qaX?On$P)qIjd@s&%1xvgzOsZz9p*HG@IDzT2&Uf)WyfG!=tt>p zWek$qkC`i`3LKb9HkOAws932Ax@*Z9kD0XVK_!?}AO;HxBl+nBN>HBMQUd}yV}ty> zg6;B3D7|n?IY*iB;6c)dM){uGMQWF5| zl?C!F$gCleg(ltNG^znXz^Q4Y>a2WzC9xIw-8?k7{uT zHv9S=Mr!y2V+Gc>9P2o(fgBl_P@4ZA;}WR2}xwb{2SY0{0#1 z1G-s%&ibY{=QcOVWbs=A=LMZT`q+-E8;Aq{w`XTTX=U+)Sl}%$!lwAmKpu+(b{VZ+ zV=tm|&Ch^>6WjOqZSavw#^GeR461RA)Mcw;TjtRhGr8sH{y}X>%_1ei>F%40wBK$Laz(@Y@T&GN_ev-eefV)1B2&bX?%_~?jICa3DYLn_+|P2@JkC=#(zG0BM& zuk?V#r*!OxBW8ukyaoMxG2t7*{^3)H*vcDw6=wJQ&jTY{X8ZcpgfjC6=+e`ct zVn4|6-*-nkb-k$|3FPP5wz)Ln8k{X@PVN+GHKJ0C~ zLlxrjE1zQgyoWu{`{*x=BY6@!OS#6xByM`jAC6kZ+%=yczrM`-5GjlCfAXIYHf0-^ zo1u6Q(lSZ&H|1TttT$?H8k38Ruo7c0>>- zm(I)x4wXnYhiRHoT{>i{jQYBh(SN_*BJ>YI(}KLb0*P=5fcM%dX;4)ETN+^B;x$;a zYZktEtOnt@3X9J_?_5mec1fRbs}=Zx z&#ELsb2JK4#ko`>eCibKP>jrTh|qxorKoDaG!c?hi?e7}mB-DNtVhfmzSHi06BCZ1 z2xrdY{P-!@!ZJ})pdzUvIuI*~xY6OcZq^+mQH))5yiXu9IqWjqdFChClF{?bMTE|t zetgtYz>37wb7kZY$*S2jw+p)O%b1gP_qeDzhyQbLs0GBoi=n2_5=2WPNGrUKAE{i; zCnWr4KMrE1U`wGdgkXDAAs;`R&I5p%0Z&5pZXQRK|8noXpB|So zyRYGUyd-|YFAs9OEhULM<}uIu_ta69XP9r&P!;CeV4oN)!$qxPAOh4 z36osN%~*6T9b=c~5ojX^y_I^E^zWF@#2#V^a;sGw zAR=li0}KFk9sYHLlYH!wN-k6`l*;Gv--_Wzu-4C6jyz=i`-1{o+cUpSxQ~!wsrF~P z?}YEj;i@MN-@MFmE^52aKMYa>5N157b`b}*O_N!4Np9`Ea(;gGVIkrmq*X>iQ-afu zDBu|TxDowIVF5kip!)2e3^|0UEsO+J^WdM1`x&V>oo6hJ1ZmXXnw)c+2uiI~L)2v* z=Bdqa4l3SY{DePd`jy*tEh{DJAMTvAdu@dR%lt9$kge+CZA{BWc%^}|mVk^(uK&nG zDAowc18#^;%RlG!Pyuu$E;}sdKAmpAcx?5VfsHmTYkK6AP z+5Xd)VS_-XX}mfzhKU3p^ZQH1kI)}is#PniruE(O#abSHxQB7-XAip&Z*A-}B8q{1YVDC|X!A^GRIu!>9sZ9q~@X zF;2oOdz3e~$^zF%dn;tuFi43M$lg2zBOi_bK7ELSlt3S%{qf5snOdRC6Z3SAxwq5d z$M3do*bg=ztGaDfuQv{>u@GpFBl_0TM|_r(!@^`Q*5O z@v}b2Y;r}{1?M#r+?e{;nfVpDm-w7b_n|Jo+RMM5BCwocM0sr1Dw~E)Qf~yIgT!c9 zd`5R&J%fj_nmD1Vb)B~~*?d}Mh+vsb>;X6LBR0BSb9kW4SmOXxal%{ydxhTZ+pwvX z4$gs&FKpJc69t>$zn`6T`vz>op%)!Aw!aK{!y1{`A_(!i_pb_tPoHx+?g!WIE%$n@c`K8U3&hXj()j;BGi+_x7+KUANS#3m3@mFA>KKh2`+rYh0o+G zV@asw@-VLc8X%|C_j}$ysjB-fuIqJN(#4%!)(hY=fcjBmKe=49!RYZ~y<_MQkU)`} z128q8`$bBQL^RHEXkEua*5jtXKGXZ#*Q$FIy>Dwx=1NY0Hqj60(s8Ke9e|A{49r(B z0eBb_H}_LC^E^HO0=9Kf`nTEix}KLx(NKhwRiF{K*PTTrGBUC+puwT)H5?2B;NRrd zG5rRkVF}zHLnVT~F90wmcEe?}tXzo&JCGHROX%?xwg*k*tIoO8;Yuvm#?#Ab-6V*B z&#l@tW*rcD5^&k=os=qMo5+7t1rScb=RHiAnv(A}Aj-G_K)S@F(^{~H{VDUew({iRpS?dIlF9dd(CTKPB$EP0Fi1^cGtcbrmR^N3pw|TC{Fy0u zye_n=;d}P$zpy)~Nmis1sFHh`d*2$nMU#_ZVbPk!cutVC_;{xG9XI{=8vkK<}CW2>ISu{)~UFHxnsengGnWLbdE9v#UN}Am#y8@JV>zSi~0?)II-)74r-q zI-#~9+qU(v-T;duXkM2i(qddlq_6T!3i*ORD#93bS`F3{{SR~9Pbvcq>z15x{sK!( zU*zd#uaG>`_v#FD9B`wzCa1^vV)Kt~y1lynf3OUj55Av?pS7`gJf_D=y9Y?R#}kC@ zbbFnCgNtX}1wMu|VOqPNCK#S~*%H~i>lCPGk}J)|m&W&Ux|+3SHN0(0<-R&C_%+^B z@yM&#pVHlbr}$i+t%erw$vS!0=Nh+dAKAOR#}}}zdTu6-t}qv(7!$EU&OT$zBx)5} z4~sZc)@!=WE0P8*f%!!|C^-ASJ*=WUG$cMlZs8R0Eryb8xPp*aX zxdO==FOF;%!k)XngL2FU^1y~aE5_dWm$V-{_NSbeWH1!xr;5{?dR61=3YVR=@}X(= zD7r2GWGKgzN}^X6>o%X_THBT?omFEy{c|u{d9)?6O>86iK7Jggp-6RuTR#To*u?Sv z?R|YsozQ5nSy|tw)A&_(yJfnqC0=H;jD3BgIz_iozFBQJ)rd{tnorolBdIQ0*92Fn zg^B_@H6gzj zUir_wfPg^G(Ckk{1RRH$SNkw3GufIqt03yH@WTsF| zL)S4B4ZOJ%$e-PKzGMiGkB_^rIfm6+(3kmC2^k?G?MRqGZZFH(Sn0-v9J@ zzOkT(A$Z0bxieZ^?{sIzPs;};*aZOEKGW}uq~ax~qz?eU_;eo_;!;wqzaf5ggT3Rqo?E7~dG=v2vtwyLDU4i2n zaD@}(iSx9KPa`w=xRh<3T$YKCXq&O7Y55u?H5lT-c%HOt>NXa#U;>r^z&As^R`BJh z7|4DJ?*JwNQ%@#p#>W*C9U)>aZ%>XN-!i7D(shGke|cOReW~suu?L6uc1wCg1$7w)(dSDv7-z`Y0J0krP60)F`do9%N4cc_@~1yUWHqp~$f5laFMGLPMA z{%Bh0GsN=Aomg`Zg7tf7hj2_P-=}t~?N(H-??LrP#pO&MC6wo}~fOkj`=n(_3m``XP#`4wq8v%k&yg)tPW%^LB- zM;we0qx>fRDbg}Jm!sPgzFUeo-{{-&dDet`?79P?Fj&IS`UQr$fy-ZJp*#F$T07%r z=iNA4)TP1qe8Q9?U4DDfj?*fC0S+&@9OsW9OKkhfoA>JOew$M`Wb1#;&q}u#K7hO_ zhM(8|IF9G^D?G&t2eNB_#SH*We4 z<=hXUEQh$$f9EN8nzazfab1$s$CJilPaZ6`7rsdqiv{iGM5i;C>$Uzp#3YZbJ9=EO zZe#cJe2A;AaUAvX`4~{R&(G-|>^L6UzzO1tLpi<`o0>=^v6wq}a!gmE%-8q7^q1-| z`Xe(O7w5+B`Zwo}#qI3~g;tZv_qo z9QVWfu|-~n?R|q`{@nVM)GnCw7U0nECklHc34&EwbAr8yp&pVL4gO#phf(%i`ToSyl@UC2%9;GRN%Mt^Z2QOh^dGFJdyBFml$$ zS&fJWs~CYEOq~m+N3*5GZx>rvg!m%)0FHMsonIHugI+HpSjybC2XA!b6yjn$5k>gr z?y@x7Zs0G@1UM^;wfd&3k^HI-3aJG=4=D_dF-T+%G`h-8fp(>Pa}_UKNfkR&qtz7V z7}p+&P5B(-h6eL9tSV_xA7>N@CFLQ^lZP!tRwHFaF(T`P3y%yH+l78zmeQ$^gTX)$ z({5MG9xEf=LB8pxw$VhCiPBX1EY6sg=q&uEGC)hT{(%uGA#RM215s+y{oq|JXD+&0}0)|2ta7eovViTAO0RG*@6eKFt~*WkEK z?u6S;_DKLwf%9m=K)BIr0Uu3(K#~@vmc|SNZzM|=;(&CSbV|i)dNUm;gTI0$b%cPN zSO2LLtG5PAh|uwK%vc6dfNB6P0$j4}Lf|!$9KooM)}?C5_{cdrG>|uf)#3T!BbxJ( zP~f(TxVz_AU~B11^rv>uAaX=ta*v;Du$TKgzn?_1EVd8`H~7=Mmbyy zh@>9uhbee2|Q0 zRF@jC6Nq-#h!1^E3#}e~&LCKWigNUO=9?F!=GrLR(Lk<(bcv`sM)99dBBN&0KKCw7 z2X$}1V9kZ_J}Io(`-cgLLFI>NK^ zwb63wuWYK7zW^1}A)YC8D9PLT67Xz%2D<~-TBC~pvDnu1MqEb*X1C+$;TykvBN0Xl zKrHFWM7mFq_SVSwM(p=wI1Wqbx1XwUX8I;nHsl#sLc*9wtwyaDF zBVPY^Xab7~Lk`l1a)(G6vCscc4i6YQ15CvNZ5XTrR4LK(gdX`X?6YWfvpecag!x>a zv=+19qQ{il@lOaMNcE^xqQSixDPXvCH{tgTFm$I7o&Kzfpgu*un{%C94h4HpZxkE#z3tsF@2 zOHj5i#)18IhRrWSZ%0F4Wm#mQ%YH>-@%V5t)_2AkvVKuPQ>J zTA%8H>feN{TX-YLGBvv<;?zy{(ntgFp2_Dk$3<4kzk#-)+Y{LR2wfZJpxDq@eWx|- zII?}{f%A(NPtZfz{f_?|moch3IYk0%~eEZhWg3gONRApT#9mu(MKQ3wc$n?=V9)vZ@lXvVNq7lJ=y{az~odjdH|F~)|9 z#w(!>er92UgQ9sL+1+ZjAX}Hoj-Vk7`uq9u%8ZXp1nZg7mclONyx->;_wdyj;J4L! z)($J}LMSb=@z=LxNWhnD8L|#WuS-mk!iTIF@S#f;3L{yf--gL9^AwT4jKLO|c?2jP z4F*G;Wg5N0wC5D15z#L@#kf5r{m$jX1trM+{tZ$|qJ9dO(Fyf0Cpd?v_NTJb9iGAG zaiVk-PY4GurDyKIMJ}NQACi`l5&3rX^vm@$CDp>dB)}<(DFR%qo(gcsLQTVmZfkCm zhGZ4}&?UT^)2A@|oe?Qe?{nNJA}005?lq!M@1{x4${p^kmjAh6@p5qEVcvFlVS8h< z>DplDma-QB!WPU-Y3Ez$u&qNhTpqGkW=9L2Bl`|B5|@WF?D2L#Um_RUP67Wl0#Qc z%Nq5nH0U8P2hts)sWB@C#A;C_t80J=!T_5fwoC>JHMl1QRhzKpXz~>00bDZGyczcx z_7wckStD+?U&qoYS3L+uH1jxLC{9ubmcuRdQt-jaLWm~5o03!A2}}}a1iv%|fUwLs zqI&9?VfKccn8V1Qtxy4zQAqB_;GTN7fDUV|uGTeczq|qPhgOU*$KTsWZnCY<+i8%R zM9U>e-bQ3U!Myh}E%6eh!C_#C!?kt5-;E0u+8}WmGy^i~?}G=pzxdpp2XZU$Mu0m6 zk|v88?nO*ciR!JoA{uS!d7>Kmyq>p>;rbfF%;a*Pj1EHzCB_-R@6usBLgT5H%7Z_c zU}nx3d>jNrq5^6T`*k)FcS7OUtqbsU84$lLxW3*Q9=jUAT5FFClno)?#q8g<0pB51 zQy|6I8&d*9C@G^12YC<;Jprzb%O?@bMI$)Gb`>8U-SkBd=DbbkN@vC5x#&-ncDE9;&*PQ1Kz)kK56jlcU zA8eaMVvOVDKFvFJvhzbWw8CI1kgXBa5MINfiCUfJFzDGpERFObJS#zAfd-RnufM-e z(>4ZlnRoB+0@>mzYgdB~b?83;)q#9B5AV0cRchWvqU5CyZ1%Py>@yz>^@{ zE%En>G~_;LP)@KC`3NSA#VaxldVF>vbAKb~N$aNw5@nHN}BH5%n>+I^R8>MqRgGbF0jeyDGez z469Hp2T4-Oa*&PA&S%P9QmNycea}{4iTz$?x%~3l7CJnonxlqD@eLR6SRdJ~wObBc z)$#d1Zev(0dcNW6naD&hZov+fB{%LfcW{zjaLEj9- z9S!#SUA@GnZ>-hJRGro_K5C}Vg4epIV4+2TQ&p^Qc$NAUubR@S+upwP`HRH`YuH3_ zFP`s@+FLJInynMaZhm|2(eTS8+2QpyTBtOgI@NgfQ5$;be$}^d3J(AcNmpwU%rq!W z^4?FT)@=N=?!~qtTR}VEy)&M%|FoX}Im@VC*L(hfI$AxsHG~Ql?g;s7q2oP}l>oKw zM_cp96pe69!I5#Ww~y9ABLM+>&*2EcMC&oTgF?0QaF$k!+~T|I3OpJG3-3uGTZ{e& zM}X{nFWwr9tyf4_Syu$jP^pHVl(rW(TyW9 zmg#bJ$mUN3RD5>0HVMDRU{rd!wo=Ups-kb9LG@7~`NN`McIaFDi48;0q2b|}`?Ykx z83F6&nOTMel{^XF@3oAl-}~@~{Mu`fCzHL`_1H4)xcky5pkgz=WA0pp@m(|p9M4-} z{Xs|RNips~o>LJ)c0+?KlXSyW&EsG$IvVCk{>MlPb5$UiBvSACh1(fT4Bs>p^i!fW zSsKM3ovB1hjT-*9%^f;&tphcQ=vn9&T2Qjfpu!uxHG zFdgS-!FKOg{yQpSGI;ukDbk;K;~C##^iVYV<;nF#Td9vzAahqpcn2ZDL>{KuP>b0- zUe2zdM=5I62l!2x8T;jq@OP+$3oCG-vco8IQl*i`CT}Ic)=VRZ4AzY78gdil2v2HB z7MM~flI+@=G1bSYutUj15nt>RVOWLzBDTqNM=@Y3GGHRE?S=Q!d*4=!kA4#SzYR-+ z1ia3y>w2HZ%krD5Sq%UFtb2WX->sL~d^n-8r|Eyy>$Xx1y{+rIT(B2dMXb($4hfg2*KlBf3{SYy^BnfpoeAc^DtAzfU3z!>WDN}}>`ozyrSc{?7YIg2N z;w<319gXr74XHq#aW_-%N%vj6-yX)*xz4%fzQEY6VBcCyzMS{u97p*?$a*)76EX4k zx8#OEa>mz`B(1}mDd4FV>vq&awxaDgC6V!A^mv_aXP)8}?{PHvo@Dk5*SUZFTtv~X^N59Aq` zcZ8@pWdu>E9fZ2UE!=j*Nf*`t1^h}X5!G4*MCv{y7(2=iPIheasAgs=n%1xrj>)Wh z0Oj5m)V4GFPQ-%=wx!2IxP*??>(wCY0waS2tI>UnWk<-)2>SwRSPf8fs3cR20KPj&)+_dIAVFhlIXzHz|Z2h3dg z5N$^+P!15)7lM(@pgvHlX-eoDbb~#TIaXQ|=YR|3?gm>I*|O8E!FFIr}JiC}(2Lk6`5BFd!7c7c>F=b`mz7J{#agHbo+IR@!v zz@c9CF3Q2)M~?S{$PvqGOlH4&35}t@hcSm+7>U!?5ep_g0PXrIFszM) z=?{+H?{{A#qm@zdQB$`2jiI9tRHD$CzM};|+6KrPhF{(F7xdw1E`ne8{n#I`e9pw= z13XM77Q$gi;th1Gxf;GHQ4R61w8AH1r+~$v*n}LgdZtSI)gOl<5d9Z-0d6TWNllTG@J6J3?dR-1NjJ|C6DnK9h9| z{kG+Pi=g7m2Y=|}y`>9cZQ8MwpR;LAKb2UAU z&h`4fjep*C-U@|!>iDTu{P0j|ZGA5kd`M-_#LUs@con(oG^O@wJxCy5z}dXv+tT+6 z^*XEjU)c2Og1tk~OKM%W*_P-+E>=G}&o#guZT^n$C?|>t{93eF@EvZ=t)ctzxw6+? zE5qrE7_-& zF&Re)1u+fhIr4(qM`hSs8Qv;r0HL5*#YaH)#?Ua%PfL_5RPA;N88$-RxD%%bdowvK zCOhc!n!!fxp%oL6Y%nIVLNT7^CFogeFKC!qF|41ef3bwJ76B4lD7u+&f!zMqa5$6D z#^C!7==T=wg{)E^N**95)hy&E8u;@i3@S06B?*Qy zu?(g_p_JDvE)pUr@%zM;h_ncwt7?=5eU`Ekp{lMKDuQur@BN|<#(5n=hWG}d@Jxgh zrU-pT&5P&URvp#VF=!k~rOz#q0tH8TC@5o_%Mn{-h9a>(G(qa-^ZAg3GW5pAey9U; zPdAR2GMTHv4E2^)7Gq2{%NC!|1I~fWmMjlS4lXUC=x0WX6#q5r{gnrVQHPb|w*cDl zd5Y2mz6$Wh6<=yQihk`%Gj@FTQ+(vWok}lv9@yZ5*%1C0xCk<485MrkNL?P~-?JZ0 zIbT^}_Ows#nCdHwh{-Y84Dh!&PuU7^G9>w3I?i3f8$^%BRXZx>gI84_Mz zA&2FkEPni58#mqCEifAja(EcX!7eP8jD<%*Fsf(e0kc2?@mnd3ku6zslk18=a32T$ zqtITex;nG(-@*vM$PwzWc!{{-QakRp(0`zpR@?#ckd81CqdXwN@r-dIT*cOS=LQli z&eQ9k@3IG8vst zT!>YP<>>TT9Vx248MjO}zxzD=Ef_1rOnVcsyY6d{3zN^7tCVa>tNUa%)B2q?Lji8M z(x=$vY;GbtI?_V?x+xUs_=kfJ)iDf)<5Jp%DP!%kw2=`8*5r>?m*dqF733%rF}tBm zzMn6h-jCf_Kg0ujzM0Eisk+YlacHvIfzAiw&$r>l1-^$(eCMl{aO=(cjXffnKj$)k zIxt@}EU(7)wsr~JP5;10=wN11X(sES6{~arsgIE2Fna0ABVWyRv!K9$D)$>5g)m|pRf_Iv(blPsAucRa{AvP>x`KIBsbiW6H1+=DUO zvtfVi5T}Gt#k`iU>1!az9f|>)OwX1v155)!#7e8Edmz3&Li^UUw3C2O+~%wS6T`6OmzJp^O5qfmuaOxOg5dp8UUi#+aq zgM2J8m9SreA06H)alf)XzlmdmgYdoi#!)tksDfcHN@@Q#tIZjOwWTzI49+bo zBqOo^EcMYtjs$hi;J}SSL@+S?r9DxjHu}pp{U%UeLzdu)Q%GcJWq=D&3Mn8$A2|+z z4HMsL0a9xmtCmo;L}Lvr$s(0V#X){4<`kBj2q6uT!1+_w1otK`05Q;(Yyck@_@epA zh&3F%P)j!q8JZx-oEjdt6X>vtFvG|-%Rb{2;qV=+FuvY{U!x@C^b~ra4SP@jYZi#9RYy%j1M=J^{RHj%`*!ri`QyENJ3Z6 z?T0J+AL0w^Qao*L=G*uD0bhv*ny^c^?UB6bbOz?6>4V*V2u+nn=Pgu3l=!Pd^uFeW zCMuJ01?Wg{smGyo)pyU~b{N9Im@wgHryx++ciLjpxWjOY0!BCkM3R$8a4|W_N2}-{ zUDP;X7~wv~Z^yt}^hw}sLrn>E5Z@?SS-c};z{xJNv>!q!VWiDgTE0z_251GPLGrjeXKaMLYz`#3lTG?RU(s$fH8T>N%x?uUY2k<2m`&@Va_~07q!uzm0Xz%xD z?v2>f{L9_(an$*lT0l&+I$wMFy_4*{xq998rJ;aXdTCUsKHjA~x@V50n%jKBYW4NJ@%i|xMo`TcGUTR=B z@4Riybe|T%bl*MnI4fC%!}URp-;c60lqb?nS$4MV-!0z0-fuse{3Z|1!)$r5WmJ(O z-ux`;yqnT$0#C-UHLfl|q{pYOUFvo4uXX+-tC(L#U*>d%CvQA;b@dq~q9!wxxRJU_Q>UO7a*f?k!PD(f% ztxpeSI&}&UsiuJQc*J!Z&IAM{i`jN4ivbySkYmU;^0f#C{7VwZsxeVE0U?s?5P{eS z);$naarr7UH=waS))ardt+x*$q97te;%i!bR-?;rwP*9YJ*wpNT!HAN2!0tjH8v2! zwi8CN=?cais?-noPn0||IO}g$ABo)0S?H!wH;;}6D)4gb$58g))y30#>r?&qUJb^= zpEYkqnGGGIa{2)ZHwiNg)O?ki>&3N4N13=&?!rem{xu`*&A-RXi9labh#%#4CWfl+ zu2&VE%UWu2@IImm2)^b~0Tl_o(c;7)8vQ-dj-b5?gV#7Ho?Y}0m;JgpF4 z)j-e`zRUN1Ehc0FJ2<`hAcF0@z$clGH1pfeH&zujY`(Z1N$H-NAq4+@o>)aR6LxUQ zuw>_JcPToWGb);q#A?Zk6cBMW2OPsCwf=|mdr0GwhK4o!Zj=KQ8wSK=3bmwX%nRNZ zdwym@@EQ>?Z=`RS#hb{@lB~6GQlu1Q^&#z4aMCeh|Gz~goCzle#dAB~I5KI?73^kR z2)`Du|6qug^@{mo)-5hE^+jLbMXI+^$dFq_@@9+IoL}@GLz5|{Nbew(w?Nyf6ZY~; zwdOx;n}<6|pq{NbB4w=>K!sJe}|m2aT}}cC6iWi1TMbkujf$`lD4r7 z+^F8Y?-8I}2UGN+qY(sZA-sACk68|ne`(lv_9O(414GNGU}w(&c_3vF2ysIYg3qF7 zB<2iVVG4jVDMzDiQA+-jT=763!F<*gdhP2Mj-?1(Q`$N$N#ODWpPt_E%x4-uzA8|r zKXe+)R^`gi@4ml~ebQ(_{{~Y!he@#?n}nz$XSBzBI54RIG*Fhi69&bx0zP3rQUn+ct2jxa@k+!_vLILdr>v2IIXt*tP1p}6= z{()`esAPf=O{+j7UFbMrNzpz@ON-?~ukIZ}@9)lHlhW}ShY|#6V~kw2CX+G{?S+gR_rBAD+mjCd3VXKZkY(L!l0?e60Ed zl5>dyFR~k3g8t;nwD<(45Fh2cmzOVYL_;INZ-eMta1lYm9HKpRF;y63CGehg+$4Pp zG5`HHvBAwOQsMi(?J5cl;~iD1GZ44o9H3|+)b|;+*)Sln-bw8&@UQ}P_l^>ag9`Ib zjsZGlzL5V1711cb+(dtl+=+;djg5%#xIam)Y@h#>0{$c{XJ z>w7N@NqhukTJWA#Af4GS#vfDvJx4TH7c)y>YG?x2+RT4HwOBH+OGGP+I*zoEb`Hq& z5%dI7h)T*ZsHTJ?vT+V2g233lql*}MqvlIa%Cpor*YY<=D2O7A3w{~pTQ`hJ{+6Y6 z+g7UT=d`PX8~btpEE|N=;}7MdsK8hO5hI(8i-xXjhHbR|C=7U?zGi7rrAo@?b;im8 z*s;7`Y;kHIJE2=95~%=+0#>L8Iwa)D7C}pf$mUM+H##ZOucY-#mKG!(g^p0%5Lu!D z$)LUrLRBac0N z5?jONwPcYhT=T9|Zd?dmhATZXV>PD34v)85jR{~)3{(em%nECg^m+|1Ss6xn>E#L| zTMEH^zb{E^<)>tl(+WFW91;n%GhNy&j(%|`6o-FpqzNlBAL&g(8%w39S%hgQ6rf^F zVlppi>hg3#JjMrRuo9A}iJ6x4L-p#%xV`zY_uBf(tn90L2|n>!XNBLC6S-CPl%_Hv zYu5zRf%$5qrR{4Awnpi}&yIVi>=v)IgS}|_4wv)4I`KBCT4O`lRb-Yp&kSq6>(0D~ z^9eq)!*;yw6+Xc?Mp09xB4XLUT&y}j&WGl|&wB|=G^7dW{L%ZWL#1r}nO3Q8m3_!b zBu7-Upj-~(Xx3%w2nk&E>ACJ3K|rRDs3@dHww*}&@;-8psj8!ZuN_UyXjYdRQw?^K4VOK}CW!x|YY$x{#DL4^tJCTTbP9voroa`iT2q=Vc~m zWanwY#pb38v-O0rIIKoCmQ`nXcFUZgY;0}6!bcjKo2MrYIk-Qvuq6oSiVE6LU2<98 z9}b$WED^mtEjkHgdg-^G`mYrYethhVk22nrQEZ|G6Z>L$dmDXaPZadZzjqNjj-pbS zb1JCZz!gv^BTw_mjpu#QzuWh2h`Ot1r;~aRDg9I@*~C|n5Z~^@fs3X_vpe_r=HUt< z;N>iq98)+hIMH4hX$JR&jy@KIuLmO@uZPdlJe_1Y>mqn8T`sX3R?0&kY;ui!4(&)# zM9NweajXn1NNp0{G7&tFL&~jRGIxRH|HZ3O<5c<|!c7UnTX73qiX%B{dUDIr@vJc% znMertH7YPeN$DVJL-+wqEfIg!Kr~1qb=o!!SOLKxSejv@+CZvegQpmFj$*sO@;4+2 zCWmx^-e!(~L6MXZw;M*yIk2jl&xcVY)=mRs`;BefotlQVo+hk2mBEbXi(R@5aeGKp zM>RbU>-R#T#iZZlQ1pRM{r@djaed!JyRbzKExy99FndAhk^(&u9>Wmz!Q$?l`JmbZ z8~ty$ngN6Onp&snD=Kg3vNppW(C`qkM;Z3OAH7*11KjD#E$P17R7&y#=BFn{Z&cq7 zlM{xRMmQSc@Bmc0KK9tFj z-A89&A+kbyN^keo@Gzo8Q|-EqAjraxVN*n-VP*T%Brm1N#uM3KnLV17*SKr^HxMiS zrVPDrB=5RPrsOTegbIrO(NpfOEFVru$e7$fQJRnp8=h4Sq@5U(DVIkd*GD1=7KS4y zZ7Pa{754oOwQ4Z=5Y9v%Nrd89k@Ej4RvN}1v8X9#Q_)NtyOwqHi(mfuW z+z_jwVA{j!Q5>(y*kK0+KUN)Wflw9-9JGokI)TO(NuUkm`IDbuH6oxkU`TigosZ^< zVwap$0%LG_xm`FA;@F3}!};)n)j~6#toRoy9>8uGD;kd4l@t)@mYmYQ!{;r1-BJ+< zkF{KB4UUtSgvQU-+5>n$b#L`8Y=eXjmEnmpZl>~{XS!*nXn}oQZf=SXt&#|OL-T)E zH~wsJrepiK&bfp3|3HGb;*`qxM88Rj&8<1bIuU$<8o!IRInO0LyVcG@+!TA>#qx_9 znqg(<;OgJg{aa{nWQe%$RQ5YgkLk4Q!Od-vr)lt=B)qmz=7PV~N!MZdVB9JL32ZW_ z)$QSdbCKuH1;dbwrB%H3cJ*M0Fm%Gu&M)Jx0hpQib+rpCHquIfE@ z)idHRsGP^AE(J5oq6?UF6tT=Ypu!taHWE;1rAtM7tuOr6*^1^r`g_O2R z%M(6m6gFDsE9gZ~l+&uA$X~hzFrzu!>?KjkB#w)VRB#0-Ti2q7P;mrYOgfwoez+ZJ z<#qp+aC6)dFKtXsT>yp-ePs?XgXHM?CU8xwRz3q<8BMRv?#GXHN=(R2L^41CVH}v2 zmX_dow#-^N0&u<+B!F6u66M4f-zF6Amo_&1HhX-HM<_jI$(a9RD^ZGN0u`EO_@c4C z>|9(ZCX2ncSk6&XP*CXN1Dy3jv2Y7+wIJ-DSs1;f;$R92P-HD|D-vVW+t7ZsQ2{M2 z_p2?|DkEViL{?^I)Ll8u`hqDN05u&E(vTWpU9WYz*VT_285*)$&C|kF=yo`zF=$!% z+{xtRz+tGOyRW?*eY+F56uSd3Tx_Pj7Jx57I4Vi$cKs=k3=yNo3iDz|+XU)#%Q2D> zGf4sSNm1HX)D5FCRIG>?T)AYBCx@LGz62*c29cPNsgmSwPpc8F|2u_#2q zVSww@tTzD9LZt?3TZiIo0oC>T7cID}pZ*_JZy8oc6Riv4?(XjH5+Jy{yKP*8ySux) zTS9ON?he68fZ*89W-r)J4AC!i062DP0V{n)eT%}}yd(!jmk{Qn(mb0uAWj6x1+ftw(xu+1I;_!M zPHF+7Q96PKh7ISA^W;n@(8j&Jyfo^Jh<^Yk#$s8x)^usNgwy3RAS_lR zzu_3{0TxdJk(K?Qoo83s$hhLa4cmV7e{5{QFT^7u&y{BNPO6i5eKuc6haIe(Rw9ze zoWI@#(1^W>iQYtnFVD_NAN5ieDT#ThDYjfb3z0uacK|c^0>Nlsg2QeztQ>|gWHBfNCqbHi&pw;WSEHt=g<;0RSK8h+bbiFLQie4@*V#-+_9@DbhBWUVedVetu zeELj7;yuy&$&LEX)Jwu(arc~4;83NWtF6NEfGgl{@#yw7KoskBF_T93_vXp}GwpYK z>~7Cg9~~dtv)%(kvxps8p!q0!N*dPF7RbBzD-?6-`yRGe@I-z>p*NcUhv;4G(eCN! z-sAvW9@9_Q>2~)=$*26J7w6yl*z!Cm3>#nOUS=BJe)f;4R6w1;061=d5G~0$B80!c|K&z^u5e(04`&QG3|~E5 z8UoG5&TuTPa)E^T-Ln(rDu=-{fXy@N4d}M~Nf0J2Az^YP(W&g<-~jNVp6-q@hdAI( zNXT3PLYqcB04ZCLuhy;$xY>h89{N!)Jbr;)AkuBv=^>hynTdwQb}zT;6Adt>}v zhuz?tdgb&F$4#voy>KTVMB=)9fCdNb6I{bUtRTQEqmsxr2}mIdW(hM;Pr}Phjl2zn zfD$3>@wrDh2;%T~IMc;a`eh?=JnRKkjw__uPkdwg8NylPn?`g5B@MzYFbEmcJR3*J zHeNo!217v_?+=AFGc&U}#Dpe!bXcwjPrijD*qlt8{M-@AYJ87d}HP;6} z36VO{@R#1x8MXUt;L=t3jhn5}cPKef7OBox$Az#yo=;h|s$VYyKg1vL5GaWtW(tK9 zv*1J}*=^>jph7N!8Odp{|=DUy?X|gYK1A~L2a4NPCkb{7Z z6odKpKzN#Rk&9k4p#S9gyIGvfQR6IQ8>S|j&3x*LM;0Z+7%)XPw@%l>u-W#PCtmW($* zA*q9pDBT%)fy0E(F*j?%k!BPk`uFLH46Oz%OuYg<>;ae!x(q58g2MDAe=K>b)8%FT=VUoYN9FAGSkbki}|J?487tNv+ zZ^z|m*pgK$i6UQ_Q{&L%BV6Z75t*lkh3x#5%V%&tdiZ;d>z>DY)b8dytHrh z?~fH(uGRTBV-4e9@)_kzV53bB@ku=29+o_v>znJREOLQCHl=QR7CaQrSLrg#)8k!3 zF&~XcUVr3=3@2$`unXKhAGcZ{9@f`4H@5I_YTVFV-LWyA1pMuy=Z^c|w21o-ro zo3Ra0EH5v!fk@w|XK~x0ZcG7BYAz+fWqX!j{;#pqjWsJ8EfZ6mY<*LcIAnffjYKMP zYrN#kHvALj-6mT-#Mc3e(CmI6k>^KXH_~8aV^GP3DH(=E73a_3w3j)V-gQmp=}i`0e;9P@^m~mmc=I(D|HSh6?t5fCWaQWLh(c|JJFCY_ep~*=%NU$2>iOs0;spFv5 zPlUpvR-n($7I~?FkR6(%6!ejc=@!q~;_*2xi(V_wvf#~1cEc#*@k!+r>*cR#yF4#R z-eOabppW>U&Cn8D+n!3i77kGH!~7e313%!u<7Jh31lfDPzw+zkkdl~`qv6N1qTJ=N z2f|*^aW~KpmX?;zHA1>_Ei~6C5F(JllR&m09Mv_O(In#`On~~|7fNYovf8|Us?{;t z&4gD`vpeMLWQ}!qG$;CbHC4!SWZGvK#D48`B+9GaXX*&3Bz>eZy=Ub)%Wm2P%UIc9DT=De>KmYV21UyZB_F;+A|lOGdz zFH-_LP0q*Bv-;u&sgE$`Qu!5M^w=BphXW9Ms#5TVG5ze2sw<@mGGL1(#jR45#Kkqa zylpz|gLNe<(<~9hg%`#23i=lp?bOoN1f-Y>g3%S2J~fg z8!=#li17rB-H(jd+5+BukoHA(XA@XrN0bACz-)&f!%PGxkeS`f*zzYVHAFLmp-h^T z=>D24v(+I8>(hW`MD?I4VC0KPfr>1pNTWxBaoO=~g&+MK|rr>^uy;d{_gGR>^4tO&p#;O=!aMIggBk3{=Zp)fH#O?EPZoB zRyNu;T-Oyc9{gAtvy#uEkPdu%E1xQZz?lZrVOtJ*ufoPUFvu_YqEKy-{6YA zh&4e&5DCI`M}))_Q^?^WP!X_lVK#q(;7?SAsYq0P_*J7ndQdS(p|S(p{3h)3JwCF56@uZLfUaE8W-u{lJpbj8yc7}DfoUK`ob&qwT(D)Hl=aQR(Y1e z6fa624WDz-9vqPaf(1)LXy8w?jrbCnv#2cg9)uAE+3i>QVy)m0>~C0A(v{_l6~mC~ z6iG6mE~*LwPA);wRxcccATIGpSQNpkrR_60+_mlYmzS5&H7Vx!r>chI6l#qwIuafJ zC=nzx-D{B*lVM0hjt&>1A?POrs^A@P;l8mk83w3uQVU26Jh3gzfiWvIW0_{qa78gd~<7hN;^Xq4`A!69iJ&PxIiRAW>5fKxMU8I+qjYmN!o(Z3v8` zYE8vNML1}*&)ZgqUN-KfdS)ZL$@DQ{-Pd0^|Fg%G-SG+X?YjT3g5JuL=!V(Ti?5nW zbVB^G%EqTH{wrv%;`fcBAcM!O^1|1WydfQKj%$?cTG`e!Ev@!-A0@t1&$e%+U7^cP zuNz^B#oWXx5$EI3RPU}19F#O?Dgo{Kp-Ml|t0B5twdn2BD?yXuzb~fFv~p4)4K01F zLxxmNQop1-9>GX~&;Z%)g#67{$6!Gm$sFWI)Sk7k_6|d@b|Vc7gzpZkkA;f*JdQcw zyeUHrrH698v4jzO&0~P?K&%=W{ko%nU$M=S{-f01m)JusXSsI6&^fNepj1X*@TELO zn$6C(YLD2~YIN@L41C{CqzjQf&Hv zLs1}_r{9&`#{Ee*5=~}P%%o>}N4{`yAp+l+jfgg2pGF(r_3Z?YEBLNc22O`LfJz!n zoCJxzeut!#7VV~JA^bOb!p=;GD;?VrTybTXyR3ar(1r2Cngohp0uJi^=VwTFUe>)sT3SOZev(J z4rdrjWXNCGU$Mz`t4LUiQ{gQ`ud%J)fPI|1K7(|coXLPLzOXW;4_d5%aRVyNHcr6} zJbx-bB$S^<6;6bvuZ^xeVm{W0=u`ZWP6=hCC{8@&2g2RaQ3wdjq?L~30+}q^hUhzc zzX9s`z3J1)!vdHu*_j#zM}|h}h zs=kET!bnnRBv>k#3^{o6HlKTj4iUN}Jo0%brh<@5G;8EEAv~;+_oN{CtSw77D46sq z@X!rtKw}kVB=?S0Dl=k5Iy$5Jr-KMs*vca}^tG=)xs|<6>o#ouX*)kl2?(eoJSG76tET;goZ8T+m2@$y|?>~^WEUb0I$iylJsE36o^XZP&cyI{~3>v z7#s8D!eZ>NQHxxYJi|b);u<>ko`9SYk26x0p2YMWf-F*YKtFY4ZTLo_KM6iZZ=fQ> zXtCbQFsJmN97aPHjWC9Hf_S7G` z+1}HWM~k{>ouR!xzlGKdu(NKM*`fU1!514jYsV(WcDd@yp>MJzYQw_wcMaUmySeIN z6e^U6jEoo&M(pi$QSh{bPMxy}{=GY@`k9tTx$yQf0~5DEIO>FPKor* zlPajLnkFxrM|^Hr#hiBRbFGayr23d9aP$h{g&$zSU}9U16Ud6->I76Uf<+<|4de7) z4<#-zvI+CC`7A1%^FOKCx0oa-8Oi#A(k0;2)8mnYPA*Qj}$p@Zdo6PIpRk z)##sD-Q?zYEh8dqK6^P7=f}Fx>#M7$Ocq45s8?^ZShY;){CYxvih1P_yHI z*IPpU9nirTqCqTkDe9_!FkukIM=N&g5op2kZX*iL4MW z??A%Q{Pg6M1&Kfv1#K{Z(s5_mQ3pNU0FO5&BKO_2Z>-8!g`!@I0RJZx0>4yLoRS3J z1P|qiGj=>B#GFS^GGsBhg^3)V%=}W?Y*>pm8L7isn<#bV!_SJc{vTw91jzBM5gm6# z3u{hpmGY3YX=Y^6JSYNw9Ki!P$Q@tN1egefWuyBpfnJCQYN}k8t%OZ~X;~N^0+Qg) za^q)>caxy6t>5oLIw2E9Aw?nBF8S_CXG2tsiLp>;b?Hc&T_q_)ZA+_Bu5qJ78o|yZ zS|NvflnX!C6R50hb$o^Lk+GKA=ljgmMo7eHF{I~Tcdl(ZhX%2`8Vms z>knTXLvOj~gTKH3hWpkLoTSW5_ctt;mEzW37UUT=cpqF+vBwctzpMv#1;pisif{N0 zf3xrK9`zTKuljLiZofA^tXuVf6P~yc>smOA_0gz%=;74t>g4ow)b+gXz%Q_xEF$e$y^tao(H$Mc~SsooN=y&0DdBcv3M;zP+X z^g-}qke{pFAVqu#pB=M;A+%AI;rYNz8pI=!xk>Vf*y2FWBB3gW`gc8BEm7GttG#Nn zgvjhUdN@&^$#2ah5K$gT*4eP{w^*eSsk#x%pwg_SI8(%XlfOmMkZ|H=ol7b-kJ)b^ zLlpB9?r$^PNh6}nhfYXPCh%kyl-eO&D)Ri5g#7!6igray6~{{Z7hERmA>_QsywS_) zRf;wy!-%PCpepPJl?~I4hW%i`jjiI4ZLfa~=OV;PK#ngzanKs^%}CGP>oBu5sEW=@E|hPdDgsUC{?N%=?EWh|tLJ^Rtv?+C zMhsWSkqLxon&~2{Cz*Bp1kn&5@k;+Mw(q4x1g&tP<+Jv|k0w+wQ>i@4QAjjl95L3Q zJ}?vHC?^qEM?0R5FrufK!CP=zYpo(k0K*G4o6hIy<`xEJ8X6l8R&S8=sb@Qg3Ml(m z)^kfG23_~LfyE8vN;6A?TQ6P)M!AU$L|DV#O{E@K)QF< zdx`KJ_#bsDIVFtKNWk>MVi4w&rWvIvrx|e}5Bx%K)jXnU@Cc(%bPFf+6{D{Ive+ZC z!=4a6GTI@T^e0Y9)Kx@Vc$?uMz3-&~Cv5!pQM8*Zl3TiJY7rKEq$7O;L;(s4ikGx( z^{*P$%5&F8yt~IFcud+->+`i1LIXM-6hRBU2PXlZhoJ&Iw*~mue7C3mzx(-WF_KY! z{NwK{QsZ^hl|!j{%4zTe9& zpFL+BtBlvA=wmd9+qq9(EfJ~FxI4dhxLb@?MK6QD-$K`w765Yhx1V0l-d=p)D@WU? zkj{1kR;GIimLZELLT4_mQD&H~WDQDKlWtP{H7{J}k)ZpdfkggxPoFe&Lhne{vsK zBTA10C2DA7;lr5@LHj@-J2;1s8#{+xHvl*!IJSI+36tA%#JCZF6M8w}F?=kMyuaMf>PW zqPqEqGYXh2!ilmHO!OI5nUNe!CZSEme;xI>3gU9Jb58H3f+HrW0w+$Qhzn1+pY4=l z9Gt=rl{SxYk0*m7LZOtu#w}H>Fdi6OMTzQ)AjeUw2A6_Hm6*J8>;?Rpc*>wL*!wNbzQW0|@(iKjptd^F zhQYCIf?|0T&6X z>Na)bD@GFhq*rxVOeHo8i|N8WM(<~!8iaqt#+8K%+7a2rctFfwS=w}>P{n|3LH^XC zLz%%7N)9#K-|zhK4w>~uV&;7SI#95*VRxjmtV{$1<@>X88e%ySRPbn4*e;u%u0kdg zmm7J&*%4|a7e8bzaK2@DDVgEb%8_00noeYkQVAmtQRl7cEJtC6POsdKf?6=r%upb+ zmYa_MM0KDyEa<|s?U{mSAut`4$Y(6q9xeHGnsGP8gY1$U=1X^=sdouySU>?W?qF*I zjA9WL!(RI$Y4_>DPeV!(5SH~P&YO*Qisu^@u{cXolm}genGPn%4DW(f9(HXPjmFFq zTpZVyR(Fb(4To0kpqJ*GeS(LRg&(~j`jstH&WmoZi*^$iO6{pw@FnwzQ~;;r!cySW zctU66LtR7KD4x`SvFK@nj zo0I*W;~r2S={I;`%M&#XLS;rCF>xHiH0Jdc7Ot6sDi{qXARr~46c>v8r9KP|o;*wsyr-d~P>2$bRYvTWza$?6_)xGr;vbYJ>4w!%4nhS8 zCAP*v146{$f@QyGn#%b(3+E?U4ybyfTpT^77K>k1^OJe|PS|fkcR5*!XbzRos2rxwvyrtS zq6*E5&1sG2_gPMEhha!0H)224;hgC z5i6Bne&+LT_LDa(uyl0;9hSB~v>jYu=DWQAz`LRjj~dwKOoTxH2wZn0HY@{Cqz(14 z8IG=5t~Iv{ec}ZgsScH@w;`b2(H6$&Fot{GSGMKOxOhL6A{V3;oY$?pl4oQ>-eHqc z-6otsZsvzMBh)XHV?mz{ZU{>4L7-e8Eh98nPN~LKD(m+K$17`FFsYd za9c*)^p{^>%V{~|I*^*GKHohN|6pphP!1R57qn9ue0Gmy+QbfcQEBNK>pm}{U+`_U zQ7d)Gf8W*q9C%$NeGd`!-ORFg_okFEw}PkExNrt= z_%QqsfRVPq1q}ri3z12D_MSuq(8(f{aBXkpUc)E6poz`|0&tz!FuCL*Fk$!##<$OS zVr?}wu7Dd?i&u0?MaYuX09-sQGXfGE3PKw`9+u17UB`h%idHW|>2{Y^?@A{wvN}E* zqYTn8qt<=Ao`SyX{_{Q1gMBU(nr#=w_VGP_NM(IKqsvflR}dp>el&RO+Y0Iv@!OMj znr#W}&=_*x&4WIuUGsQ!Fj2Xxp-zoFec^7eTTxaf~77sH&(qN1kG*wsmY)3_$=oSt`;t@}w_ zR_{mqEUK`IYBL?DmAOG;#BtA;Dedat;p(sqpQxUz$%bmj>+3dCHyQvmU!Z8_=^<+1 z2E$~!gu9CDt3DxmpV6*aZgMK*R*%#e&zHrd(;@URIJhDY67jJBu~s^JW=iwu!(hrH zf4II`Da??cl)RXY;xCK41kO+#Fc2I&JUXHPly0E~pHf~B_v=4&8RgQH^+||mPviY+ zvt6t|JQi%;?;Na_G}a>-@1UV8U2XK>BeFpkD-Bm9*xFCiWLh9fL%7o=GJAlZ*S0ohkF zUY(<`Zi_U^7pQb*ia@8PG8VH!E^Lg_qNdmx_Cx_h3jr882HI>*T`y3Vp0q6=sL60R zBXl|&8?L}HA*ceqZy7-;FdqQxl^hSX`6Y;0N^U`GVVT){;%%PN1M}($M1*iimc!WP z)XM$Hpz@{&gacOsMIm-Ymn$ZhNXp~5Pus$6iYgLw41S-Ml*eY(qH#RWpE15Qz{kq9gb6^k+Zo z|IWsx1Tt@$PDwW(dW32IFFo;Hq3_^7F{YJ%JpUA@7jPw{5j=uvO z*W!@^3jp|w;vb>byVQKZU~<3@_KXP=BFnRw|xw zXvW^Ir3;4HZ<54SNsG%LscI-Gfob@kAtyUhQg^Z2!)ReVhz0YvU8gw5(4R2jn5e^g z?umW*O+WB^g^MATQz?_S(RIYe=p|`PtuMmz#;@=X@b}002g;tBb!6d)_6)r2%N|+PV zs{ZYm;rV+Q%lwN+mB}*5pZRy{*GKQbo+?e6v&*`IDO&AHOw1{rIY|2vcd?6@hw&Gl zx_x!|f5`eY$*%Bq0fBfL@{+6X$-1|JPGX^Nq&X5 z*%G-?U;k)|DWpgIN+BfR<%-vFC@Bti6<1%@Lrg~g`B3wv7Po!qJI;{Z7j;_;bSu+G zBejSJOZb@zCi9$UPLtwAJ~hFsir^Vq0c z%-y-LR$m@EI(VOIWCwxf)@q8B-8yVcjGIPDFXBLN3Ok}g-YpOTwI^?{ax2BhTnQu- zJ_Lx2MP_Dd*BZ2!sqH;ntm}Wp*dU>eH{0m)&KC$R%^aEQ@qZbP#g!M=7MsXmW+ZQ& zou9{uu>8V|--;HY)$U^P6)OUOYl zm^~fF>%)B?&H#)fNAqhQziR{%K1FFZ;v)m5RbT(#wEh6r znoHj(7H%9I(sWrK%x7Rs?KMsh)-5TBF;~E9RVin!Gj$tko;X0pTd<8{`)9K|eDb~3E zmNNiGhppRo6(7gXG0H1A07KX2Kw_SZW!eE~BV2fTt)44fw8jSGG%q8$w&4+T+3_bppVtwzpb+dXZ}gJK;fFLVS>? zcqZzPA#^mlq{0U|l(-=}wp2Utm(cYX^AA?Y$hr%MguV>Yvj1@kEJqp-?PP2sr>?uo z^CmTgqGtFcVd~ol@ZMuI>4oKM1pp*}9{}-p0^%?cxFRK+_TQK#YfucXWB#0*kHLGP zM9^jQHSOHTxs4UDiBF;=4(D4KnMJ+NFn2tk&qUM8jIA2&(HSL%QwoqPH!MNGhW~%Y z`$tTpOhSM_Orsj%1wAJu-F%hU?Z<}z!l8N8Xx4^;IWXp~R-)#*MYQMPC;d zr|!gOXAjYBow-a*QrdsDHzTG0+@Vm($+I5VlAz&9W==-#2uGiEjJAb;@V~SEZ_GY) z8CD<--_PO+j#L3r2m%&K{}fB>xa!p^B8Halu1@gG3)Ivv`I1w2nei2J=$kf zt29LG=K|kyd)TR$Y8i4nZloQP6=T)0rjB1#P#erHrJH{3=@56;{i#3WRQk%Yq@M!y z%{yFuUeY_}Q?%@alY_N&RcWe1Rt^*0!u-<0;Lj(k)X@hZp@p9?1Hj4?bSHsZ}+F#7E+j3J6*5Ph7dEpe(Nlgq5JR{f@kOFqw$2=#bV)HBnXo@NKnbSyp9D0 zV`nTipV((9m%Gb+NW|9QS5Qiq7|z=`{;?gUMRwuv@bTkGga|G}-%S;b>WsPpozSAy zQ2;1j^6?WR3YJsS^t7IxraV?S*5?~3$(aYxXf@4Vql&+fK&bTW7I^0KxbYrpmv&^e(!F)DJY zJ4H_AlJck8gps94&R6ci{-882v9-xu~lDoL566|0g{ z))JxiJdhO*IZM3}H$7#W-wz}|*1b=D0g!Srahm(ui!6cB%DZGdU6UMGyL2*FDqX2u*yDOQ z4FJ~Xd#VBOhN`M+lpo;IJQ#^uNvvyE7t%mDAM~`_O(g)gQr=?gGW>6iCIm^LIXO9r zC|&@7WL@X7{D{uZ7a%=QIp5_2I0_GE^Hiq`(^S3xvu5U{zzXp0u&Z^H8rjdQ)H-a< z%s8!Qi?Xt^0ODgNgXT%(H&%nTDRk@t>y5d_7>If=t8~LApD`;Obz=k__hTGMXPYH>Yt2IrxMrB_?{&sfW6 zc6RN`hYOu*t@M+nQ&fDO9^+7#HqhZJ&z9;McjCSx!QxlF7TeTX@j8hv!4})JuOP?q z66Dcg!EWIy+4Kp`ZyI&Kt^3+)5_gSA@;@&tIJfvbN}~VhS}&4LX8=5j8Fh6oq|_Sk zqwE5F<#lxNLO;*)vb_BU+pK=v@RwcYsX(cv5pavEyzIctRocxudfL?LS2)9d+53^;ZQ{17Q*EVc9it3$q8vHf4EeA@0f)Jv^tE$#%I zS7lkyo-51qvs)&%A$-MCxqDF`EHt$H(JZ*FS8<7Mqv>bqTw6>VF$+R9GJXV$%Mu18!P%wb|vp0EB)nC|@tH@5YJV z(gFYNh4r!$onGc?*%t{=_cg8lp@FeHznC-@%;NL z4<06=dyNapi5!3uy{y?f7srDx!}yE(t|$xQv384ajw%_Xzq86_DK0$XL6OYkk2^vU-Sxq4-N_f#9U!i z(4A@l({DY39RNi810cX;b6Pp9G(xYhbAfK;|J$0$ASt&FD#+N4(A4b_3mZ_TY(j?U zfD5j!3=FtREd0()T&&OA0}cxOt~3b*3q7hX+SGC&Fe`h6xjJLvGk29{>p3nHWeWD` z@Tot}Ui;6?7cZy|o9$K?G57L(2rIF@BcUnSK4#9c1~}T zIwO)6(Vbs`Y4TVmLQKv z33lm>#~AiUPn*v~of1Cp0$E<3QL4OGG8%uhv;7wbf~x95Zp1J`q)qIj&*aHN^>rHp z91LBG*JtH_l#w6)*vtQgDO+;680F2x=<%1!9U^nd#^cJVxk6rN(@7t)=5hAzYbfVW zi?#lCXY_crO2gcY>`o;W9j4wQC0FkXi?Itl%d|T2awz4v9Bw-rR;=-NNoi>_UL=CB zeOiD;CF2383~X^?3WmFNDn9SmhWouBOtl;P5FJQLNMLZ}B#m$|X zxE)U%d)fA6eLAZrrKJh6Q}UdqVa9BsuMFSQrJs&TUZ!xlqi67Ozc?j~E#9KN>27mrm5_WsyRQPiG9A3#W+WC*HV)clyb#zD4l(S0~}cW_)M5 zG{1B6|7A~~XVENcci#b^Qn8^P*$kwz1K(f00igDxv}PD+jwm-8G8(+FoQuNlZ+TI6 znXw(3uU;*UcH;l+ba7xj+$Vp2uwtL=?{iyBq;X;NDH-cs>EIO|kx?qyw@p zF@W5`L?$aL2IMZZAjk_8^y`2MX2UjlBp6VVB=#xc1DTmMAW}bMfjHlV1^d_7dFo>l z6C*#U+=FBjo%hF6tpNI(&U+!?9JILe=g$iBhO2%0Op7<6r_tS`u*;C^P?T!Z;Z(Qx z?ZHES=fU}>jt7mtE7^~EXml-TeOTf4IX+(mjKLOVx5XtF@4KJ&eT1 zdE}D@yl9|KedV%Q?eZSnX}zHekO9#ZC$-@BvH}M}8MqgBjNNae<{6qvc&H@a?_PEo z2qo0!AP_{ZUY8d;RY*j5vgWt6J#sS}8+h@ebZkWo zDYv6p@;pkkN!iubFN`@1y@o2YSo660oa4vl|0k4$^#T0GtvFH6xVM!E}Rs z`V<=NnPw8tFNJVsEA=V4mNufLg*ziqDT|H#+_3FulSQ>gx!&KHbl>~q(EjxYVu6MH?w zGemy=O=N9sU(okPlN~JDV;XjuH___Qw_nS2Rt>Q{x%U^xU&HE1@hi&$%#?HTfE-}n zz2GpO@-|B@y|WIby*|X5V4V9c^+Q7jiAli4g(bu3+sKhrpj!1u9*>q(DNYWbGlgK3 zhzgwX4{1fbgT64p2j&UbXQJZXPU?(%YY&#XnZ9`xZb4IvV#*c@gq6vu*?PjXdHfd; zwt6Xo*8i{xh1Iato6*wv^l7lk>d41>bOBasTu`}7W*f-ts^_eF&2XNwo{Ur((g6ei z1)Xg_xAWoidkN@ZZ2gZPb*L1@*G&F3f3Dk~|77}9|dVL?7(Q8!1qdf}g=RCs+ z6Iu*vb`kNP1wy`4S?o4XCRKv;SYVEhq=E|o$ALun0-E zO`rcN6Onza>B8VCx?E_x&~!U0UMMt&VM8N@$~eP)u~k0#o$x%qN?dv#8>F=*B6GHV zT@%(rtm~?E8%V@i6Ygh*Gdxo8zTZf@zB3=-FrkgTSdbk2WB)s7zB=-C; zfZu=ndFUe~#D94jNos$VT_wjrC6yh(xa&yjsy2@!^vhVV_q%?|gPv^n2@A!!5H^0JVuILH}pB?Yq2Rf_Zlg^Nm#PhvEta zxA8&?v3QB2z(WM|f@X#LT`b+^uM#j?HNp;RjkIz1g@xl~XJ%%VejfoGbpY<3B-hz( zBKnXrI9>4=AT$MVasl#fL__ZJjQwheCWB#z$1T4%#yehC!A7&Ud^AS6+UKul4~jFe zqnl2~I;Hl2{J|l{z8$kL))m#33bbAOrX|W~E$ZiWo6@!A)O81t`u1%6s449ao*GSX zPiz*0lD9tee7o^YVYQN9%UMr4iS42CIFN}uY89femwmLqA7AatuONZq0zT$iLx`)W z)!|NUtq`t5oY$R`){l;xa0N2<4(2Y;a}jr$q3xZNO`{%JD`!B7E5=&F@{^@w{pb+P zU7=?52rSA)wLTvqyT_{U{q=kMAR-@sdd<>bC6(DBAbae2Nm5dd8WWG*djr7O3et_@=P4xxj%Hr zhLyY3y)k^^lB~R%zCR7LOZ@Lq{(tKk!Es?h`trknWP8vS=J4tD1zBy;l7J+aK6*M| z;3G0~17uVxlMHfjs5$*rT{!wn3z;aU=2k10 zV(k79BqTQDQAG|#bA%)qT^ z<;ep`M-bD#ek(MS*-s$`UsO_00U0;1UfU@IwV7ZvPcfcKf_UTqQ}g=2(?k>6!2y~i zTCRc|d=Pmqv(^B2~4{tPfZhl-l3wen;Kgf1LQDDgPJCF9TSh18p{ov z7ltQzVWb`enh`oYZ z8olPBn_GEY08O~B*$xV)zA;l^cp z3MzYq`dMDQX6CC0sRfZ{cE0+cN_PwBlrIq_LT|3HA+7uXeg-{HiZ-)=U?e= zS-P6V3@F7f_e_>rDXyHJmqFpsUx|03>&)eQC2Ce#i;cO9p;-UuFcA2^PxpTgrovHu z_SDhW1z9kdE=Q=}22y|hd(^XhL#t^bzzqtn37LOt)V7nY%PEU1Z4HzYu&J31@)~cazgKcPI z!&p4fhpbrIQn_#8zmdgSKAQdPonCX|wYJ%ya>RcH?a)LR6`fMqC_017?t*bo%>UXn zXYo^9{Thcg2~z6ls(kg(1ONT1gLO`*wu3eN+N^{fmPSF^9@5XFrerys=7+jHJ+5YS zJ;aI_P?scS^3a!{kfA7=3drHhPcGu>^e&(`$=CR*9p_Duc>q;6BW>i-0XE;c)BJnnCHY;&94;k|x-2L)I%70mT$`#M|LD(Rg% z)Ew4kRrCMdk@KiI=-8b_$&OYqXdp;pRrM%`E5`D&B zHC6wvQPAV`9Ha_4l>U|9#=&sypf0EG-`6af<%_kHon;{Fl`l=DlBr3>Uz|79z8X1vwgCFk?_^1jWMv6{_>r_;=z>T){e{S} z+HRTue$wo-ak6K+`cmh&eKYz<^1C1L|6%JbqvDF1u0dQoNU+A;2^J){H12M}Ew~3K zc;l8Jf#4S0HMqM5hv4oS9OmYE-)Fv=S@VkpP2YQ@PF0<%UAqtqoKzsS9Q6r7H7=x> zXCL(2+&&juwRk>ksy?stv&H0@UB%ksmnA?eLK2xZ#%;4wG=Mx;=i7H_A)u4>zro!4 zw&5SaD|$>a(b~N;GWuQSQ+1MgkMg^SVbh#-HLMoEly#^s-y_p=d_j6QG%$7y0M>ii z;}gn5(y6wiwfsS>M2U|oP#z-`D1x&^j34(e&e(F3H(Jq5%4(;);y>38FMi zO1kZRY#?*)XvP-pytb2!H2RZ&rm?GPAVE6uGi?S0x4>}W*`$3{4 zQ|8&7LyQoMtGUj$%X_k%!-T6O5c^kOl0(#FlFaW*k*p<8oh}-LxQvTS6r29Ab_@Eq zXY)3T+6nSFFdna+VWEFGhfxo6P7ZFX0PD1;$cZ2-#0^fv?s1CAIZ9Dv73_-jt~Cjp7?| zLdNiKV8R3I7k0MPjNXNH%8$&{VgBJbaRASt2GzDO<+w{2$7Mo1oL=@@?Lg}I3#_6d zb}oYdurRT2?Zoee|6?TVob2o;ph93<7LS4!#{7p4aUUg-(qRH`MD}esqZX|z1c%hb z{_UVF$ljZXXr5O68yL|*P*BMHg|o(J>%$d7uh=>sWj5y_&ZX?sEsiup%D<};jr2M# zWfE%fPxiX*A+`&Dzyb#7ptMrtqfvgwgK9~zXIH0!cGDCX$u%t(V-sb6n8dstvFBDXX4<6zkJFo6>@!X)Ya z9uR)VNgVh}E3bdq;)&or*gg`@byNqWU3eTu;b0%V}E z-y}E#v@9yxw|lGM^a@6~jibhRf&!`2UxZE_>woS2kM38_OUrHG`Yq`keW)l`Cx)BILP zvea9|v+{b?_x0D*+0rYUKpL|`WaTq%0|pDSmX_9aGXb*}C=j3&vEXJ(ixUrBFHc%% z&Yej9}`FUIxFDj94NR2<@&& zS^D5lqY?=O3on|Sw`PO^w$&vd47IY;+Yv?W;B+?6ThX~#LW+W!?qAwb)jc{ z#FS(H29Mi{mza#tH?B#>Kyp0W5*8lNZe%g5 z)R49OSiF<=Oy8=UzS4Z1CEmRK&S=a~-Q(=yL!Cuo?GR=ko%|KO4CuMc{xx%|vU#O< z!Nf-0vwymWKF%lOgKLw)EL_O+=+?QlfNz_x(nB+*t)^CJRt?(;kB_P2dpDSc z9NU$qM~yQx;59yM8NanH{L%d&ErI9_SwcR$0I!N126f<9uyh4!0_~tojUgpY$t?Z; z!)lW=H9!9Ykck9{X_P-|N8hj-{0bTLI9(Cz{~cS_rfuT+9;M6D>LaR{d~e(gHdxS; zJ(553L#%eCA<)L@cM}lDMJHet1inyVFoc!PW4{DY;9%W%hoVPcFzD871G(EQL9d`Q zASq}10f`c9qnN>uR~Rw~kbVIz=2=JwRqn~i$YcoWIi9076awK8-H#Unm!)I6m3~Kr zH8r_+G&>;Btbaw+O1Zivh z>vuV#3JTFwrfxuhLoI2LEguR~vhI(%2mr%Cm3Ijwuqp_^X}r9;f^kN*Y;JA>;UOr0`eoq$-|P&(>wRph9xh{wL?qZ8=le^G1(q_HwF3DRt;4T}XT1?P zIW&nT91Zr%NIfCj04Wif$QKf%DEa+GswH3xuw$crU!GDq-w6u~pRILBQ^c;X8*iY* zqHfdMUU z6g~j>6|OOW_pGxQYky}aG(xEm<$DMwxp4LZ`ll!$btYX7|3_PjTl5={tr6q2gPkt2 z4rpp}KOus1_IeUic>hh&dE2R6 zNyZk#$(=0!7gPXd8Hymp5u)Gm@92&O>u$ z<$I`>%qh?noM9S8Iz!NQj%C<0yR*@13XYcC-Nei+`1`S_|Jl?170|G^2{9NQjNX#( z5>!FK`4$iF^XtoV`Nt{J`3eZ2C^FF>rU4$Je!D)*sZm?omh0@bhbR-G#80%JhFj#aBeoDwVnJGV?XqjzY&Vu(VX)-3FEsclR<;H; z(e-CHN^rbS=xlQ>bhG>8HL5nT7Z>~kcRYftJpG4Z%$EkAjRmEX7JDH#q3b(AV!u>f z!xW-1sXrb54K+Ix)%OQf9Byt`$&L-rcRR{eg<`ViH4z!5dpG-e@_TO9zYG0d9g+-S z+Eq^qNguBd-XZ6FiraYK*#3BVeRjxGs3rO9`gplCg{n^atPQq0PHA+g@RLr3qx%$nE`|H89!aH!}arR1JDdXurqgX@k3@o1S0VQb0nIM=y4F0`-BUV zd!Kuzfky9_FPWbNj&-UUYnc~(&J22?p@pVht3Df#XGl;Bk1k0|bL3So&*Virx^MT& ztFo6PKNP}5kS3a+pALGp@a|67tLsj0CRQeYuH|AVP7r-Ek@`b_1`?`vb=n&*Uutw* zoPI~v%RY2|J1w8$!FoJjJ3sHZW_;%hF={uT`kJXMnAG#Y?`i(jVr#s1{z3eN&y8WV zyl;|TvYdV}wt{7nF+vkJ3I*g_Z?!=F7Gd`v=>lmV_W&AE_Tney$U4T4+ zSWw58jI%rkaci+!8$gwa|6N~z8CI<}l?(}p$YJX_Vsp!TdVcO=m~0atu(>cTD;tjI zWC{1ER3SDsa;g>$J^?Pe`^uihsU!H z)t_eD+A=x7*>Nfpx5Nti1-{>-N9+|vonPZnmWg-YWLzHy7djwLT{d56Y#0Ge`ECK8 z#<3r~dHJXr6zBZxZxSJiuR9}CY%z=LBQx!OJ}-zppRA}Z4M8gKX$d~Jr<#t;-Jz%v z#tQ@&Q{xDj8^IRrvRp*4$dm8kFcE4XA;5tr5%NxKku|;p=_mZ?2|`3SK6B!bOa@MT z*d2$i>^k`p4Ex7A&sj<-zzMn!r|gGL7M}cR;fey&@(Z#?bRBP0V~hRa_^>+#v8-s-%$Ww7d(Il`iaS?m=iI z$d}dC;$+kFJcN#?DFq=f z-k;dcD$@*n!*i<2{U3(MH07V%voJH(w5?G}-uazbNMk4{*=5)E<(Era-p-Dy@cjHk z5G**%7#RJaRXx$#d9zi^X!eO!_t)2T)#*>G37zFziJA3M_-*(kzuZi(L`MEX&oaN# z;+t-NK5`b?7Y!xhcSIlASvnJ&Ng}!*t1+*g`L3i^C_|WHMKGr=L~yw<&;%wUUC{)O zEdjm)?F(2eDbj{?9*RtHXk^$nyrstNvIylU8woj0is;9@<`zR_pNU$1A|{olajnWZ>$FQ~k-xc{AI7~o5-o;OBY2a* z^>$k1^@S@5NfmOfq$5bG$cf?JYqPOcs{d3nslv0QRX_?n5=bkoBI*^Bl?v_q^M2hT z5Y|)_^*R8C7YTzT9L350ZR=V_M#j|J-HO5e1Xz8iwFp}IO zt+eO_hB?xT)E50Yj-#Vvrlu(9yP#C6^E+QB6>3gDMHSe1%NZ+nt}%DDAn8d=RL0H` znlGW0*|A$wod}bz+jxY7!742q+KGpNbu>jrY5_=%jsxVQiFq-$rk-@}v~vDRAmgk? z!}SL2pc!K+GJbG8AKahY&^gYFM38j*{CQ`i8-Qd8`J-?+Q8n%7UD_*ZMt2>l5;KN;O@jT}fJ+8JBAHca) zB)#rE@Q4UR67d4w>$9xq1oFcTz@`0!<(O;_a%CY~U-0}xxh>{{j7JE~#KwS& zgOc=ruiMHu4p*Q=!iA{gpP1L>sQ zZ9c+Z@XH6zOrL|rqc4AKD3L=;Z`-lme<___FL>DT@X+|ix#I80*5W#NDp7?eP=0hi z@*QQkI(}}%_vsgp7UUi`6#A1N^trjDqJniiw1(j-uib*-Wx09%s$?n z+7TR&QV};3Zewf~yX{lQthUGaXqoXn8tzO0ICd_!KF_w+gh+aDotZz$O2kzo${G0% z+|t}k`_&OtfT}Db=pPdHktz(lue=Cu>0S6VipezzBGPMMe;cwSXLf-GB7;3c*XP*(Y5^Y0H>MqN}`uo%grgq@`42HJ7KXatIKbqJoySV_Xr=}E?5 zbXq2dZ3(~pkI$k@$_hYSW`(_dvgIcZ?$GKgS#rUjR*5haF6Bq|M6V`R6yrA-#OK2S zpK#Hb6e8j<%&I_bU|}tEX_Wklu<3XrqF?84`YD&*NnzRHe!gQu4{kko3-ar7*^n*B zz`4N!vy>6HdwmZ;IupLh3ScvKuo8zi&F)uyMIAFn_3_0k_x_BqwR1V~Wqh#s8 z&u0X@fSj)Cb7rW++h}o-{k#CF)a@`BrrblZ)a@whE(ibbK>PMAq$5_5rbv=#8-1mpeTE+|Rx za6sSYYY#in9(~(kTn3pd0R-fgi3cUz$x|I12i6v1t&H38-Ou0iSDirA{px^BP#DSL ze80cvTcdp)2d5>qsry$cVkBj=IcI$7y3y%IR=V9NO$i1{Ww?x9oG6;Q!q2ONmt1J+^Zt$3^#8G*T1<4r5O+ z?{C;bPk!>?V8{9*tj%g9wS2eMEWbzA-f*YjnNE+ii=TAjPI-Kh^bOXZ%S9n%gOO5d z;pC;hOf}?kXXM8+C%Pa!7X{Q+{_OJ+yi#UU>sbc}tt+z->Zl9fal6ZWrQ@3$5}PxE z&%|JSvYBk$vt|pc_kUiyGOmDTQRsdy9sYT51>4=QsOdxs`F2S`*c8wt`2l%e2m6H+ z|HI2ZdF%vi0{2-K(uwBW=ft+aBPpvYa>;h4PY`i$jo%V)W*^x)Tz$Xbmorbq&)<0N z_gtLV&H7iLafo1;=8v4#3TzIp&LXoKe)xPa9W{uu7T8g$_*-_b?P(zb50dlwCFp{p zKmEY9CV3?7N>WKnLdf3h*fqTCkKcvwBW17Xd!Qf7`sMZ;4kuUw@Q<$VOG3#5Lh?EK zrbO6>(m4&A&67~@{^fa=$`0TxB7)@214es>V08j8(csfeCh_q&s^IkkibmK;0#uoz zbpUp2BpTQrlkL^5(nPKBRt5QCTN3Yd5z-jSR6?kqrVnWr;GYTBT-#(PQ`7 zY1#SXAqpkkDnw_yoxdS~_EZ_z#s*b%U9W%5G0eX(md2F-^9POx&e7z9qj44JZAt{{uv+v)9fj6SdN{j1 ztUUkMmwlq_masrOH~7E-J~fzA_{yyW4t0e9Fbp+2?*ixa00UnZN`GG0d#sK1WOl5pc~+5YQN%=7rZWVsO{L<^$FTP9mvGIr2b*9k%ZJ?KGi#mkm0fpE1vq&jX-{o6alv7|!Y>z!Rw zc>{O%WAFnyHNJh+(KCKfV(&#)o%< zLy=M<$9g3Qv09SK&%8D-GcF9w^eHa+8w8!WJ(Jef0A6v!rq8cAir?&OnSI>8LO`{i zSa~yN&uji)MCMznizBM5B`Z{YD#A{|-$>V`>Irukd?>cZ3ja94_IwFLS8B7ZX#*({Klj?C zyed0cXo9VBmOeM`wJf~pXaCcwiWqNqw78ncnSUhM-NFSZ6CC z6oW1^R9mfbg6R#Ee~y+(bb$ zC9Pz=X<*-2B-7k4+aq|UFhuBi&QgH`EM$N7Qz)?nvdQ;@Ow3_#wx$c@L$B{Q4$*zk zL~@7`Z+!%}H<;KEa<5A;wQ~%@;8>m_BiL7X!AcZXp{CBn(RAn?C1(^qPVH)E7san~ zk0L5C!7(G&{IWq*IXe+yRqIa_y&nM#kyamWJDLD+&f=(j`vSB9O4B>-CY~tN%fS<(srl&=`WdG{d%Cd=~@Yl@-Gry5j%3HNgj0cB(;!CWU!Sg==<~zyTeCah1E<5x!A?H~% zpZulFkPe&Bo+jR1!yi@X6;?=P_g)68zgTt91h7#;oYx~&@K~_!fv6NkSRC5u$=xZf z{kZJcigzvu*}nUhm#ro&#Ne#6o?CczaR89|HdsVNL7^=!!f2NI-0Ys-iHeCW2z;1E z^Jz_#0tqeu!NnXr+=Y_oWT3+e@nfPQEB72l3zZAkstG>452qZJ4HIDXH9};g$S+fso8r`OaepVG<>MI;B9Sy)8l z*--|`*-&M}3LUq0-x2fJF0PFbi(23NGrt_K{Vqxa{2ELXB9Dm>-TKNls|F?4?IzW1&>gFzAHM44dmWEag-zq99O zrN7DIC?gu?muYz^Y&m@X2iq0R1&Jfo**}x3uZjwI9m$9$CB8eF3Y%#6-tP>rmb#3n zI6a3hk08xf6 z=)8g7#~ove&dPNS!BW_j6dKI>0$!EmMT>!4cT5^>jcBbOpmj$t;U`Qr!Wnkr;BDgt z_kmOUNKBeEJ4<6Ky92$kuD*NL6x~$y&^Khmhx<*Ux(!TzK;Xl!>v|$cGz3|qQtsPq zh{Y_Chfz2VqV7UqRn~PQme5AvIyK=%Oe~m4g8F!!SlUe?H)!TRH*lzErfjBMWQ23Wsd-oeuA;#WbcoH1m z$&;YA0Fm<^lds=RCqBkGB?q)1Mhf*GRP`jl$q5HgE)^{VGE$P}2OQ_#A`pv_&|rw> zyznyt4sTODO9zuV<}RpKQh-np>zkMp+EYjc;Yv~h1y7l8xWxG`q8N%G2Ya=oQwE$! zSQu*IIW=di;v^4rARH^z{YzOVc7OYCx3A}S#MZl9##@PUW47;GhB4%RQmfI)JH4G*Q^G?B^B~->wP)+D6HTqtjI#~Z(`GFyR z6DydvO@&Sss$W&zI3)UPkza5ey-nnBy%9W@wpvLNyISyE@h;T!Q;~^xAsTU+7b<0(~!ejI;3f?VYQpy9_iHzugz&*Iin^W!k;yIDZg$W71@1WS8}4kMlj^Av#pI4#$vA%%cXH{pB^;e*=~`QlUT!mI zSJOcu*vO4my!h`cwNDUS7DCsAiR!G}gXBA_b%jRG)sQ+gD_A>Z1e>pVM3D3LnpCs?*@FpPPQOD_gAt7)Ejg?-&B5Gx+7tAHel1zI%8 z4#`4nukR+@@PI`M8nns@)1ov`J0h8Wm!QR{_V7AfzehaW_b?l%=awThkau`YM67P9_KwK)S!tEVs!3zXMLjx2 zOAX8->rNn2L4t!7=~DAdGyZBNkIqpY-2bjZqeyy^2kBkc0Ty`{kudQg@^m`}BKzv4 z+D#Z8xxGj!a4!p)2$niwcR$w2kJ0?(RouS`e_p38Lfmc|Auj6Z_JA-Rfa0`-oEKcM zg+o2_gf+vd(?KK~_{Zct5xG0J8sQC`3Fkh~rRa>@-LNtmYtHH)2r|BtA$Us4cL6uO z$(;b1pYWc5=+o$~iYOmIVD;Z}94a zy#?OHNGW)T&jzQjw;nFChxqY{gJy1U=oCbKblRq0bG|oW8wR&-I0m;w*k2{TtEk}S zisc+mUT$-SUoOKZGMi zoBS@59s-T-v%UTGczj_rmZ+F#bQ}>#(0zB@;%;&pAb2qL`y*bO=ZCv|Bh1-Wa581q z$id)a=6G7s-fai(ir{_<^|-yhSB}&!&rzjN+H}eH%P5=o!+LhE#@jQjf9dEf4}M?C z^M(a`9iOp~^%|oM;OCJ`rrT)=BDZ2Q-CQ)2dl%WPMlZ_GW^$V3$0Su-Zy=?d3X^Rb z)~n=n6JfzS(ih+6ko63i)~@*d}G!aatAfrS@lN`BR_A?R^PPX5PF@Aj*A>F_kI33+gs0|cYk~$U@%OB z!jb+fw%u9eus^1D1KVRfOZeDufK2-5#F_82-*s|_zqUN1WuruJ=0u0Ih&L8K8HPld zYSLi#iSR*8sx0gBqHUa@zu)WMlShxUKbZopZOxIzPjKPY=Q6!Du+9tbQVe+Ywh^6$l91KN_TWg zkSW#S2OCh{dLF*)ab~!BszQUom3Umg-<6YE?MM%cJS1$@9~%}&T>&S!%D-G2_~t9q z{3`v!M~$oLVQao5ff&qKPh5NwpwiFdgV@CzrH1cHH4oD^eIAJFSK-(-Vgk@27>a(p z{-+ByF32e9P6VzDEgFoez9ymG=;*wLi>@1Cet-!hOt`b6g%!t<9Ff*318=_Qp-N|4 z>LFg~)TpWTVH3r#NCdoi>fX-2B7p!}PkYoSiqYq(n-(hd*e6=~B&d3-dhyrhcZsc{ zCSN&-^(#W(eZr7OcU7+i@ia6nj&5ON6wvo4;c(xXV(uPX5- ziKrF>S5(FNelDb1Gy0t@x3(mKI2!mn&;w6C+62Xx)@-Jcv+4(AQC0{I{QmZRH5P<# zBB^1fEI@&>zp|B9Yfdp)jBfN)%U;0!^gDN5(s(FRExre;@140`mV*OR3d{kgZj%kp zgvk}TM7mRhMjonnIvY^Aq{FVezhv9ttrN$!jg6=t!&UgL6Nh?TAz-sUC?*^-=JaqxD*KfZl90JVP_AYjLd+~yl|Q? zBjv0v2g^eB2to%JuYNy|;MnnHS(1TO6SYHR$3AB?V~vI#>zR~`$Pg}&MvI42fr0)F zsXmPE>Hq*xX%du(GO(z)>}ID4)mYSNAVs*)H;h2Q1?t92Ga;`R1|EUKmB+P<+UEa_ zDxqRbW%j7~ro%4U&wNxWgoY7SEdX~?!x+vCc?P7Y2UF?g@}Pv4kB8X*-d)AQN>`Ix z@1oA-k6T85C!3}*jq7U(635`=m*R(x2Xh3DFZG*@-tBc5953i9(JEB~(TfH9_SxT# z@;U|k-Agu2Hogf&kInCaBO$=3Wi^ah%~~|VjJYkqOF=YbN>j4H49O9nbfO6uKqowA z=r?@O&3L$c(YJWtM_2MRv9{jVddfhPC2qFyGbo@dr#dy4KjtW*{sG+@Ir`Q)ux8*l zIU*1(m?*D@f#kn0(FXaClE91JGE{|E2k1bq%vofD)WMgo&`T+_Cn7}AcRc+>dPcKU zSslBrZun{xij3Uiag@hJZs(<3{yIp*-E{I0MIvp4ixYC$jN&lS1~WtT6s5azeGR&7I*5`N<} zKXndW%Ua3M&8;NO-jov%5)r1EqXDclKI1E4K$O1A-0Caddq10^{C-)r7^vh`O%>@! z50tZ#$<=>sGeDZ;TsVr{K}P~RfxM)lsHg}aSOL1i+0cJi83b@GRMgZ!$pQ&3uDMN# z&*JF9Pm$+WFT`p7UKA9Sb$avqm6F$T<5-Mi$5AX(#(*hH%d_i zbcWP*8t_L<6LGJSz1XfCICCRVQlDrG_8TcX-hAX!U~PUkTR0Q_{2sReuV&CmD+Pe8 zloDyx48G$;g)kqfYK^YQSz84sV|-1>;Rdf5-<52b+A!~2<(MCRZAbQv83qqg^{h;~ zH0{JoIwTAg`xNqjc6~V{w*6OIVOiIv&B?sPK=I_IBRog&Y0i_byjF{A0N66 z7a`$N;ve@)-o`-{B~a73^cbgU1h1?i}qvo0jE_5SGOPJsT+^F z+tG(#Tq%gUOTX<^VZg0vvenWp`+K&O#q7hXyN#c^(&V1!D%mP0LAIP;?fQ%Rh%T;` zbFSHWFZ6ZT66tf&rsm&@-@Q`*MMaptRNTS(w_AChFJ)GGd#gjo&Ou2S10PQL3-V+MIE7TbA<&I!xP0 z_C^R_x!YIO%Pz@|>13_S2$<&|DuI9VOnbF?J=8oOC*$C~-DL{DNY@QdE#WLr&HIFL zB&=|(Q6Q&m2-T{#k_4wYudEjs)=av+Z8Mj9mw;kAh-9jxd$QUlpv}4{8hvv#uU)RE z=?gg+SOCqCMBhi=@_uX9cdGtl#_UoB=U7fwfvl4xfO$DEgzEsns|^y8Z3&z@$4~B^eDlmC4KP zgg5W>8yzyDq7dp-s2~Do$DX~ate+*S4f^zh^>@B5>)X0;^UX_`uwX()fS!;4*;1g( zS*a#YD*msQQi^_QOAhT;cWOP0_qwxJnxt`Gb0SKZ98bs1`R2EuP$AUelwl7>b%Jku zDZ(lBOd_-5HL$3|t1AIK#qf?!--FN4s7UIMc3um%K6&-BR_mWvUnxrmtMpw)Ryo{L zuzSND$#ea_p_w9l%^8}Uq_VKI;ynB)i^#z$V_{`UKDwD_pE?03%B20!9p8isvkMua|MBqam|WmxX3Pto|e+;SEqih4trm+ z*YT81r^}J~2$`$rbxnRg7SCn3Hy=8erqru#qDnIa_rGi*s+@$kTQO-TP2oU=-vBcjRr-NdxAWKZkJ??N&8HXPdI z^QRww)h@XV+8YFc-|Ffp)Tnux!kA_|A_5I;e{##MEBgcPQ+aXy;H1PiV0GAm5v!%8 zX=H)}zs*ja)}xS zT7E7!B8@LYheMQ&`*7drp%OfWa$0)fft=B&NjkK;7FOIp=V>4~ifS8kos+<`tgWWS zqv>*q^bF?svR%K0DsG!t-RRWI&i-;dV*NoBLjg^M(!J}oqOHmKe0fI0205y33$p_O ze`kgC*a+@619zGfM!(hQ!qhQO+*-SX^Q2eQz+bUS_9p)`)&@N_k6 z>Z(3P|60KTge2OEQVhhH1w!D+FPQ>{qU&JIk2({RssyJD3IZc=WC}gz4*UfUE`Yof z*02ZQ9vd4QYyg;N&Zh-%h|SHn0T3Qg39g{1D9Pn~9;uecobqEwYqio6_SRHzhsxlX z`EJ%NO%*=y{>LKC7yfT&B%L`17FC@C0G-PY>{&+MwDP}! z_S1=IfREVG-Z2rk`^2Z>sN(u#%7)UrI!WL|(5^#ksGN{5SHNPpl`!Qek#!vqp#0dr|7Q=V}5@;dwg957Y zPk{{Q%45L;3$H@N=4F~sryPBU)zk24u|}v=&%6evmtm$$Yb)YwqeLy?7vi~cgU773 zqPK*KmwcW!%Jiz{^_f*xedm8?6}d2Yjw>G&fIl(otd-YTBaP@jIIe6!8d_J&i4%@$BMy%Od1zHq*@oZNc-{%nUKoS4!T0LdT&%83$|*6X>2*<; zf{TKl59jq}cg-9jZg+yq!W6P;uYQ38g2nIRu}ENZFe+UN`us>Z^@YF6TwCPh~>ojig#Z}?Zh_!Lln zrI(8SwH-kz0HId??1LqYEfJ6J_BCPqf>E2L zVy2+iJ%qMp%rS**#VUb!l?0nR+=S2TDz)k{j(lZBn^n5?gPQQSUWFeNNNpJsqnG)~ z_<+F7ZhtdaixlLTITpV*xa_5Xs56OXf^&apJs|+^x3EIs*i7Ma?f`67CIUxdKQNP7 zG0@RCP?qlokX9J}vRfqo^9%F(66lcm^x}tH7KOVLhdp9C7DT>gWAGv4L0$5VsgB~Z zx8*?O&Oqg#)X5%2p;-ODsHT9(6qNyL;XiVdOI0eDEXZLxL#qs0BqRdHb#D&XV$GO; za_sUya!e7PfHvO?R$Fyex`}-~a^Iav1$vqRoW8_W&SvNJ>$JAXi##l2clV2Y|8z0N)O4 z4LxnrKaTOXl9fjds-JVJ&TX}0PnH_CHW&R!Pgq<8yoF-g=h)vjNyXkvSFoKLk@IZM zd|9cTpZJ7f6>q5ZTkb0yhYPE~vvHCKHzRrD+d@T0`UDky16e>z{b$9ry>OVLffY-n z`QH@_zrmeM!uVee^K1Br4q!5}X~Y2KUp$5bIe|_1GhJggp!6C|%In)Z2(V41^;R4* zN^mgil&K0}+Ql#>))=$+&6&oe%@5fJ-NxrLe{75Wrs$xlkT=c!#c^%sU0$kFI>`Mi z3`g{IQt8FVP*W|=CME-2n(!QXJk%cGnEzLnXzJbIvQ1JdI0*Bi$l>xoAEI>pzc5CacQ`+z=Hv+hDP?E;URF)Fx8ZpTPp$z&ze8t7!)JOk?+g-PZ>%9 zbGB%v4Tt^wPtvmwXw-x))mZHRSz;Qdf5yS)a;U;lG86oE@jH|5|9$S%^a!Wv!YPyf znacmi>odWCZrI7Alblu>!Ri^GbEti_MC^ep=UgbO(K}hLXG^hI@o@zS{)Rn*OJ|m? zT3sjXACKhiz{7N(t!*3WXLb0!qGM34zIzb$$S4pF++%!@4E45ZKBe}(g(fdYvdxna zI8^ztbEOQkZhkt$HQUqJos<#GD;hBVUl*rC1SK3+&(r8Bh(a~;pHDtWt@@Blf7CJ8 zp@)aMI`00p`YM{+L_q>lI>L|a=1@}=AqY}*$AV{7*1>_$ef&GRsG2u4ILTACsM2Qh z*?IP}raf;Cag)OK|Uy=JW1hl$FUc5G^jr~U%Bg3-wp@?wg9dduqg>cvg&D-Gzb(~1}? z)UtL!$`T574}bBiJBqfj6li$cJ6ZXf#bjEb8_r?dU)EZ1<(+Y%R;OCUGkX5Y@=^yT z;}VpQV}WLAX7<4TM8bVFa=vgq8rQMtKL#^1u4V~c86N&I*TG5nhQ|?hD7#p_hV;r~ z*T-(jmI-%w5Y^%cP)aO-goSi~Yhm$6IP;e}pq;=^(l zYafCS<8-*i6%c#vSxbIePDIwRz(L;Ie9Ct;u^ReLxLln3WqbW&^mo&gSJ#TBCZEy-WwWVVPVnCLS0yy zkYtw$ud^gEqdF>{lM1x);U|8^3sqhj()K4}wG-XN4zOa*dsQH1%2N8Uy6XQrKB4mE zJ}3R5%Cj1{8tIM(KS4i{Dd|y=V{ww9`7fYgV%1<~xAXO5Tn1Ui6|l$SuIVku-(qs` zo=f-Fqk{2HcFe67y+3`EpSt1Svv`cN1C{rTW4zJkNsMaH{G@&ItD{uk<>PiG6@(Qd zAu7k>FcXzKEgEFMz8L6kcGCL}bov{ZEop71N>Y*H^Iw4_UVTX@T}{ao86IIV7grvJ z)Wd4c+;+sp(SBfHI%7Em^a>N&9Mon3Djf(R`fG$@rVZ}-8MvoDxT@LjFht+j75yh( z*&$)p_HyW5BrIC63OmSGIu`1#E`0elM^^h~XfppdKI0Z89UG(vDw0G9%Yh5q-4s5heNs?ueUZhp#(xf>K$GobKWe$i9M!?rc4X&I`@ zj2Z)<&6H8OU`E{k>wEv6lCR$R$6zM{Hk|Sy7d&l|ruM>!h+_JbXy+otP!5SMfQ{Ti zYtXG|jJs3>B98emjH3VN2R|M~c#e9q2H|&n3TSulAWd)t$IJ8&hG^WTI4R5iyAlu= zugP#gT}^>?xN0|#@#g^3@}Lu0=Efft-~K-Xk)cMz>Xo79B}z#Jo~1e48vCt;@1ZG? z$bir9MWN|XygZ5-Lf5?DmGZuxU0$G3KoJ5WUJmGl>HCD(^R=Na;lD#;EDxxzu9m{D za#H{0cEAO9ffqQ;ol(b=kuWyOu925oGna$=pDBpis0UC;*|g-L8Y$}qfC1|lCjpHAF z@z~6_!z<6V>ArvTO}(!K0^j#`%s{SC{he;|M77i-P+nT+sLBvVbk8xaRqnM6{61Hy zsZ^|focyQIRNew(X%Rn?ujMf1+Ty5%+U2)Y#ev!9C?WCDSD={f>EXuK?f5@8Ra1)_6D_dEQ?Au3??rx?-_87d49ZQGx#-AXRS`jdGa5DipR$V zylebZ&W`>%7>>InIE%!qa!S!?Dg(LQqO_mKiHA#Ac}@jdAC>i6d=ED7uLdU4-^4b3 z8Ll;y?Pt+OUc0f~Dl_DSCB>fi?Ck3C{YNSLaFZFAyX5`-#z5&< z5=^vTUTiD=HkAs-^irWuj%_}!SHm231In3v$42GRc^95`aP({Bk?hlj-f(4Q3gO*66Uj6~Ml^FLAs~=_c%5nSz4ddE8wc$2CJ3bp)|!cV!vh z{a0^LI3unLI6vK8OaS%MKw<3P<3;gp5{ea;uy^5$G4m&6k@_ zIzHF!JC5E#BZr~YD3it@2o?GLO-1e~l3C<2K)mV8euatmTSl9m;(Th3V+_l%cx1aM zKG>p$S^4AN#tmh%HMd4?%fkDVbd=@0Mw=xl)z$S0ukyQNbQ83>!`t(&B)Y!DBg8%C z-+x!Hq@VS-yu2y&%M^)C6B*SCz1=>~8I`?P;s38D7X6CH&cP8_D*?3lf`fy@XVqsQ zSp#l?fV5pz0_ChgynEwu!Fnne@h{NIJqo~x9RtoRc~y|~{$lMOAVdIuKD?4%Dg9rK zvAZZAtA10J=Y=Vm^#8@#S4Gv?EZc@afZ)yog1ZEl;1E1ma1F8`XprE(aF^f?!9s9% zS-1pucMDE%=YGk*_r74?Hji{dHGWS65ffo^^+vkqnH}BlDTr#eobnYRk)a>&4u#?{Zj~|Cs^(G61S;h&ejN>K`j4(~6fXwQs4Q#xr(H2ld ziDkmBgUi(67oTYgu;2FwW%=wEb0j^rT>jW1o;anw<|d`?s&}(>i%e=t+JO$#-OqOx zP+r}xrsfp(lF})OhAUY+30PyAmX#w&{h&wCeAD$kqQ&ItlRHK|r=pw%+ta&A;@h7$ zL$}?m#N%Q2vb64LA(VRK9 z=fD20GMq#}7BBGRPEx=4wq4S?v2`Y2Z7_r#X9?tD8j>#?xPDOl@XKtRXP z(9mkWdhPC{brl1)6%Sy3-Co8Ah$vkjZn=U$fJYx~P% zr3QHNn7+I~>vAJ}V*!xudwF>Q+82WZoNxx2XUy-z(qI)haam7@sKA%wgk61R>2tGv zeGO5mI#H5ascHqR6@fC-Z+1e$Zx}Ng?mRRF)6Y;HM8k(CY;@-YZGMgKFYMqA5<>Y& zj%f-6*wEx6w8Gn)HZnJa-?##Fu!tHp8n_O+g!^Xq4%*0TPQr+6gd72kgy)G`r)-l8! z^?Kxr|GY|&OxLSoAx>d@7J$ViDY-bOH($NFw>lbvN(@Fb1kg5c@ZshkdZrk!Z_(~F z1uJoPLATerI@2CcM@{w3QLCh2ZiB})Y|B7;+Pp3+lV#+n6*T^uen@8_F7gBV3n5g& zsq%2;+7-89}${t~=qcQf?=SvqyrrKP1)MH&DhcKL9P*p1S;%Au0Vwp+IJcPtKhy#1>2Lp z@2G5=mp^)ap9fXjPve7=w%+@JLh-~N%1Be=8873yi_lum_P(dO1}sG#MHcOVh|;Xq zOTP+ExKCYMDR&(Xbx3Ow(*7rki9s?G z2?w5LFE%=c@vCxLOwuthgqkw5vtuEt$3lBsA(-gk@|D}ytsZ6yuexjrNJttw+l%WVX0zAu&%64L zsvh39%{nR6NG{hmOfhqt&LKG>y1EsbOjArm>FVJ%vC`km2<(suG+2v!lnlW$zp?w2 zS7&}u5kEf^T3^kMpfX8X&U$(@RZ~SXT|1E^iA}`y2tid0pW`sud7WIR>A=R#>LqY1 z`R^;nz4nXM!!JUfZk%nbQLy~ip=S&8^Bq~w2V5wO0pLI^jr@8tA-~fBP(*shQyyq4 zE-t=1eo|+wIrhAi(5NR|)VO#oV(PV=d^{H|niOu7el(#;n87YeM)0tr3z$rl$<;?Z z1pu4BdKF%mM#cf;PpfKcCCTunudjbm%F~V&kh}nVr8f)%u=}3bgoNa-#lbmN(*O!Mx zP5|{~uj0*!@Do4|Kum6yiu+nS4#Ah!pe7VReE>ZY)?ZM+-98DN4#Q`mVApHWE>NZ> ziPR#uEp1E5ygFKvJ$YeVA;Y9u{;U;m6(qe0JVJiBW7KGsMCt>nBXtw}dYo3uk^C%x z<&N<{`}>;QW(!Ao+KZdyT%P91ItIO77 zIZ)T&b;+3@-(hOHJns}cb1yLIpd;_O&2j{Lad0!vIlMpFFnzLAXHQfsC55K@lj4zr zlNNZ8TCmsF22F>O9-64l9_XkcW;e$f3wNHb+;;q2P&dZ!6%ipyM|Qn7Lf1tf!-FJQ zpbrT5ZW~ApmwM-%bY#$XpU#@MM*RIR9mZVvLy~uzjhvZ_84B%G+ubcqNt^9GE;GaP z28^*NKMg=1#3HZF83^nPK0j5H!!IiUyhc-{g%+an zqoIA4M=lm6$9Q?6OOC-QCJ1-udb%n4CQ~5$5qrF@u1*7etO07=AG0-(XcVB>HImM6 zGR2s?y}9XcTtV)~hn_3)$7oPgU;tp3tA1{u8h|6~*$wBwsV!$Vny7DaroMXl`gW*n zi><_~Nh$9~qFPcejq&dr31kAK5~T=DK^YB9GhI zwZamaJ~S9hc4P7CHV%d$&2_JIM6)HHwFW!$@Z4>xVg_CF418Z&;qOqqF+ZPWOQ#~+ zbnJpjlTQA5iW@ecP}80Q;-@4HFCpgWrBYer6D<>deN}AOb$S;htUDLh6quq~EPO3F zxe*)mkoa1^-s@q0L$of^>V!Tae#8I3UQx28-p%1xwgjU_>8#!LNl7_lEZ@}g7k#NL z&}9tDok|sSb<~cxSRNdf{9Y=X7mUcBrX>p=o0rQqXzD*g$Oz6$h|lgUP3*ILGH4F5 z5JS1kzQh)zWoV^O(mPYCj?KmR&lNJB&O4dXcGl(bo_A4zLG}BWccr1se!$r`UJKm! z#)lsi`7y-m^SQaZ_qg`e>n^^yy}o@DUu2g1o)*MbaWF7V#AU>+$IRVpV_lYT!A?Y} z@D0!NsYe42oGRR#-|Tq#e9McJE7x*(Hu^zeD`hByt3*$J42aMixn6&eh$RHG+MwFv201%CcRnMw@s-POvHhYQ{O_%^=Jk@>Wo>A zt2RHkYWFQ@Nzn*(??)OKt=`eEGGWw@B)fREJ$0`sQRy3o00;ty(GWcR8j!>`>(Qu&>ii=#^=HsIKX zjdpIJ^!5+ik-IBYG>QUlgS6jbU(Iqrqz}IdMz70dKB<*&Tbd+InsYfU3pAftS&yJW zd-sTsr6XA8F*>JvoMcz=x}{#+Wf28QYM;mdJ8vfa0jVv_sMezM2gn5~v)A~hkr2>{ zbk6TI8b6N^>RXgW-7^Ohtt#W;B=X1X7>cC}3N=2(R4#kT18(~S#r?_KExpLE5AEgL3|9ZXp!_HE_a*dqz9!Uq8=aZj>TNh_ za7=Tiy$(N@b#^NkQ#{WwF1f2Y?Uh+)5XcYjl~DaGc33Qp{R9l#yIJ^a1Ue^%*d;p&eoU-LdL2(V_KvlXCKCyO9EZmF3**bGV1uNLllBk?YgiW!Xn`qH9 z3*|rl=imP>V)uJiuP!znnM6@sm&%N{r&oa|BqL^q(Z7NQoE|mdiGL$^0Ej3u4pVT& zD`5p6@PEx`=6dS_gODfk$KQKkbxF4dvcGFTArI69?*)SPVR5FBE;HD)JLQJJ+ z{ND_0+cO;7rw9qDD*Tb;fXFLukjNt)@;a~}F@e_~zbFIPR84HyvI)=LS*;!?f=g1o zBSZk*#g8J8`Sy~Vz4n7pLw8(u36MpuY?Kjd_)Y1EffVR-Y%8`vo{16*q>{7yfK+k< ziaeiHG`mIh>r>a@XyC7)zELN(D-j@xJjiqzYK3ELHjcZL%T4^NYoO2R(*kDt$tA$f z1q7&Td1=CntzHkIeduaBs;a6kF4sVU09!%xED>O~k&u)W^16RRN7py0nyF#-!y5!L zzP9w7(6OL`uQOIuMH=D=ru7O(wf2K?*!TqC&y6j}C&ACvPZY+{Qs~JaYNf zDq^3@zYM-L*4^lc<|?JU0p3dAH;|R{O6rIp(G=$`kRJC6bP`tx1llbPwIO?dY0)LJ z=W19HXVW0}2c0T}XFbr8iZw0Jtt5B^G8MQjzt7=KcTz@Y+kC)6kezH+n)qV>J)=$+ zrojvP)TE0tfgBI-pfZ#%wOwbDzyguqWTr0+-<#HXMKJEjBn6I9Q*Og>q*wDpcYb1YHTV1At1kSr3yri_>U^0>yg-hiC27 z0LJ4~#_BGqEszeNc=Hu|Q43^`f&v3O0$^p@#WsS7vX_7ce}KJxCBp2~*y+L%b9q|g8Lc|zGoq?!!J|KX`5P*{m#xo}BT8}h3?iZ>S zP$VuYq;UQwn6m4)O69itCZ9@^xR}7AuP0dzG#0c2qQD0Gfk3=cedzMsoECEcOyG2d zkyOJbULcUeW=ve{7zKDK&5WtggWFMGE0WBOzMV8sdtu|M5FEm$Y_G|_6M9ga7Er7&qbjr?2OG^uY zaX(j*1&Xka-gOGq!+mr4x^PGCTLvEFpc2K%IZ z2bf!AUaQjMl5&kr7O1G~9RT2>TlJr21Kj{(jOyZ%hF(ZCoT@)Qw-1gjhDt-361z*f z5PaL4sId}C7Wn9VNejm6x_&u!E+DQKnBWmij)8K3hykl2FiIdka+Pk;*?~X1nw3>S zj!}w#@~sWNE|iGJ+TbG!NJIo#&itsbw8M9syH_&G76Jp>9ye1rX@t*79JeM2;%x#;G@FE6k1`&_V zGTbv>$2}Ziq)<*W_#=8~*3bEYz8sc<6aeWNd|DaAD4_$qXCk&D5Df>ozy=V(#hMjw zB{7VEq&Pq&CU24TuHYKrMHh!rD5#>nXf`|T9~d>`lTo&*c>MJe{Ig6=*$6l(3c)g= ziWrnKcGUEh&9~}+8XW9n36serm2oG8Tbof{Cu8_E8QOZl_`(Q#d;+rV2w@m)FKEKa z{2^c|PcbrE1WmZwFJHa@KDo2xx!)sUvDl7=xZjSXtu5=bJ^)|0Le&T*$N`#f0Jie|B46>o#Sm+xNawN9TYPhV^jU?qKwPru1&tbZ@uLrW{{Ts; z13)YZ6by7~KPBsu!K<5gbUf#^+mzl5$X5m4A0?;)eJ=8bGbYa>2{_=Ru5wA@m45*p z2GM4sPB9y0<*?W*z_V5MC#4yT4zXVgsl;%p(1V^iOpGUF$F{_8; z1H~??;9uC;yRN~_DDpVL?VhaVdzAXzFvNOHwlHx%k7U#JZ5^q$gKdrJWJH8S&nv!n zQ%}La`n)|z(8>f4*|k{DlqML3J*I~FF$;x2Lqb9_c!IO3)nJ&kP^RHB2^KM3===Jl z1Pe5fGO=-#^iFK^fJ#bKM8r_Ggo}B#K<%fw%63}PW4dT5Cjy;v0~o?7U}t<+%2{0| zOI!r_JaHx^m>V2zZ8e)+Ds%x5n%FqM1aJ(w0{Y2+{jW{2_Zvb~N=5e1j$skQegSSn zg@uC^0%>AmGSWViPXk=`VuL-<tJFu;(|?r&ym7aCPVO6}(EOK~*t+yA z?)A#eIQ{eUgpVK*WBLppPxfbuD9w0y8K7HnZvQt)UwxK~m_o7H=K$(@;87oN5IOEo zOTZ+^TFWLd6_lyS(d02s0Hny+8jfjzoO4ea&We;Hko4Y1zE81H{sB+Ba>3|MQB zFFHITyt+agR=OWN>gnkzA-gdmUvr4f^768a5*OjZtbm~4=hbq)pR#r zg-%V^E902^_wBoVOw^&fpP)X&Ck;OJKE%&F&sU@^TioO1e8zl@OkIQY_yTZM(hUD2 z3ERu~hfvvewq*xvW&_@)NN1Hg{{I%&g|kFzET#~_!Q<-fZcwtf*;E(ESU|L%4xBu{ zkq!VpP(jc2q6EOpX<+@M()h%zkmqcya#2dcj~1%UVVl6)2yc)Gf$*3`p4fi^XgVNL zE)GNzMX89JR6sam{CGb9*%vhL{Jsmr7eo3jk5SDS|Rc z-QKek(?$TY1|`Y*YQ*X`*aeWmUx@x|B0mCU;j*>>h;^-$DD>< zAPrtu+nzlTMs@fC&9ej$k&pm#vmSR+hnqk58LHpe4=|T1AnCkZCq6i~YA#Ghz)CdV z?a2j^1uAHXzoZH#4-J1w{T73g{9_Dh20S^uKE?)+R8hdREAKEI`am~t%* zH~^yyLslkz7o%&GK8(%>j!it8ElV7TU~=V7JAni4CEIAd=Ob9wOuSAftK@Ab|B^fF zE z6$s^a&+9l_sg3XwKA`X2tJqR($7 zSmXo3(ZQ~G`{(n+4#2~cIEgqB0NUA?^qvBa4NIBkW(^3HfLSlYhuBYD(dHDE3ICSCub`*F;)Zr|AS{v(?-HW6&wWUvn2~*^ zaR`k2zgg?$(vl2K@I6nl!`Sc@*V`Ouh7K3t z0ZzFrczJ*rKKDZbL_I?u?n`rhqrLw~aWAP0!-_&l<^i^CB&5MpWXsE)nQHPcX-As+z zQYn87o7P6i!RanV%aPbV-u!xJlfd3u=;8Wcx<6j_s#%HV++t>3&D2H^MWEc|lqyrp z(g}Kx(JMhQe4M_y!Qt97FS`b`VAHnW=e^o1we z8bU9fw!>_2v>*_^Zu|t{;U!Fl1985WpMO4ZQZZ52Oppg>m4s-L|M8rrfQJTf(T6g$FtmDjKAukb z+@aG5dDgC-CDH~bzYoL5$T7(o^Jov@@gWMsrzf@DqOb3L+{&Pq)i@Zl5~(8@I!xE) z))zN8Kjhfg<}R2pn*BLfv`! zRV@Ho^{H|t>coWQs}%^;!}cK)^frTtv-rBO)%@L?nyy7i44FJ3DpJzUa@=9&p1x#)Hh@)F>HXVV1y`8kwta^`^ zdcmV733+-`_jDYoMu>h+IA5){A8%@;6wXfn`?0q-&X?HdQjsKTNl7OC8G@X7Pu~{kg>P)eQDr$N@w>h{2)sD1Rq&;!P--$V#xA&7= zme8)QI@{21c2)&pQl`dR{~Dpm%_9PPGkJJcnje*ia=%C~teLeHh+_%yUIrvr`7fmqo@$p=_B<4n{BuYzcoG-m z|BDC8Kh-T(9H3kYwDML!Q&70flLh)!%NeCBdY(%EuVk7b)FQORa~Ee$Aj+zW(dn>r zWSuDly*|a|c*iX0S$ngV^8%e@*b8Ip>SzBcKFd|BJLKVPhZcwd)SL(3)YFI6$(_y%)v%gu(m?;%A=uayM>pwm?dFfbeE6ZS zq;mr_c(Qg}ORb|2sx>5r3SP9(KO0d@AyA30XwB<#}wvs-y&3QPOpxI5z)|knqy#K zTVhgN|NbPV#0>tm**^uLC9wBpb1md`aymM?KAlQ79jOx+)I)Om{In)FmB}JRDwINW z;W9U2N~90vJ-e`6RK_jbrGhYOgk9eo7%XMK4t!zow7!SQUHh?TIE~Ay&@PqRXdBX` z;1TY%KT%s~pSa6&EZO3AWvF*tIV8z=17K$S>Z+X;?**M<%dW=8gkB#q)IY85^ZU^r z?DIer-@jRZ2F58RKnGW+G$)c4!LU7ELc2PJm-yAnJ|!PUk3}i46r0Wblsq8Pg|-OI z&_U)>u0olQXZ=6hG5qY$z- zJ^`PHOAJ5|4W@#McBlY3!GxIk-vQvXcrg&zgXs%6fQXszBPR71vOqd^nm9z!aQ`?N zuQ#IMT>vLcJAiq51j!~Q^4lL}nvOV23hJGbS*SC$uf7tyxmjIH>s6d?c6C46pP6+f z8XE&B1|`#V%+qt)PVE(!^^xgRD%Yd$eC@@~Q|m~xq065V@=vNee>k#c3k&|-jLW+L z6%!T`fjvC$_Qn?KcZu@zujsZP@mr72&DEAYHPbY@^6PAb8EI3}^cN{jYc4DH!@NLK zmEy57cX6~Gik~NB;xa%0LEJ+6PxQJg4Tc!YDtHK{wrP?}xi}X*7|LF>xCVzW02a;fPzJl9;;;M}x z47**f&j&?0vnFnKhqXkJus|@lf^tw?J_@)tZcpv!91Hs!dz0fTMQm3HGC;KV?BntaqYiv zP>t-#nO>_`k!}8TTC0*3Q9pei^rdqsRkduQ+-QWPg|F#JuU7Ns=I-suSug&Kd;Ppx z;aKiUADic`%e{KpL&p29A4FG*OibY9&JD$pE&k8hW&4s%JA?YxC;B-oE0BEMSq>ik zS&qe}%AdhC_&4T45+r4M*=8b$BHj7kpFb4KzHvK07A}Sap&c%$G5xHjKOaiOPjss* znyPzx3Pjn}%d4IkECKp}!9etD2~8HDr`|ua%GhL@BrYh?Tx{xueQ#c5&wQq zZ%AQu!WFrdYHv8PnLY0Fu%Rv;uLyhIzPdPC+5&n&3%cT+Y$iX2X#_1p>VHY_hKZkX zqWafKY-rM zZXvtlF)v{IU!E-?^XES{F;9n3)QUdbTts`j>gg>5nKq*9<8vdPcov?ug*tppOPYw& zgO!yD%llf6I_NK4;T&OoP5#a*eJGdI^Y}4638q(DTf8n^L-?fGzD|ni<>~=i8D)e# zA4j&5w8-`Km-Se!eoW=xFVX6wm84JC=|jm|y?BmZ*{HXfcfM{;-(1>OGXfeX2-~)O zc`z;ONy~Wr6EKlszW}i2#8}x~P`LwqhT0I1=_?DG* zroOAzZdj=Z+zE=R6ZSyV7$Obvd7(X~tkbrSe0H{3P;26g^I=OLj{i~V~8e$LXKUGB!j{flg&%TCSLIsM+W@Wp!l^vm86w1Q&}v~WHTgd zrs&-}YzIDSN#rZ^^sJ^zuU5+mlhUKep?!Xo^cs%C?j?=K(c>_Mj6Yux`};5WOjJME z$c**nQd8%BRIZO=6bycbN%ma)D?CgLD1k@19xeu?NfiLh&|j{cfr_nMI&}L>7e=?Q zGF6C=Z$&Le45%i$qFDjUk4iEk@QoF)P!YJ`wgGIi5JOi#e_65+9|`3oF)Xn@xT3dM zuwl7oz`~W7=p87{>Y0Bl4W5+jIpvc(!!ge(<9bWOdTjp=gn{6wBi z)wACzi?wl45nvnxDnnMfZ=9%5){nQP%315%?K&HU0OBBhNhjg^Dc zz3=iCYs2yV7P}0%S*Z4l93bm8>JU+^jlB82bMQa&S;Yi)%j`RHUf9O+Z(g zR1)_8))k-`Wh+NFwtsB)1QD32`4?MqDmDzm(`;$*i8q-wpiqDMaEcIeV_!93N)pPP zK~#ZscyScJcU$xH8!N2es}63H{XOe(vNd2c{*SZ(7++zD0t?OW&4K|#0_+w#z$yCw zUZ@_hP!fzT`4~_zYk<;!=W;}`{ALLA<^PeF3;lB{w)ccox`@9Q+6*jIfGjxm_ZpCL zq``>E25NuY#}Ii4dFYEgX~0GG$kt5lcK@TXHcAmJ*gVmW0ATI@{b3X#?XbS}GJyEL zv4&CwetD@L@Y_$1y@~;4YXXh*01tJdy-_XmZ^QAXf`8}d4>+*@p|bv~*?#4et_PfF zFsm!DP!|yl9vMJwDm0NZ|$VrGGc<} zU#<=3Z*YOb13-sxb%QX^A_SFhQu zLjLj;vDN)bEBo6XT|CRg-dB7b^03unhk5;_%qg?@@dF)vYm@jNHTQ}#Mx1OcD_qk* zy?tkQhEf9cO${_7!7t-&>Uy9e?2JcqL+&H-&zZRNGzQ=;V;*Clu|dkAxBeEqqq1>D z`qEFXJQ3=Y?oJ!p#ujTtcNadEmCdX-Kl)R7*|*A`jGxYQ>aKCMQDgNhg&Bs}XqITJ zR{4_!x&^2)`l&@55%4RHxoS&H_P$GASe_ejGR3jH~Z9 zXIql#>a;A1LXFNEnv&*LVn|>2Jv|?&@bl?;St!|Ry_R$C5}RDGU;|(0_97e#x|E7! z8KKO_%EG!2F62)=F`Tu%3qP>5BD~X6U@E0&GtiYB>o#h#3CQoPM;xnTVX`=vG+&Hh zUgFaaW#*|c3M(XG6%e~Tna`>cjb{Dvy^z5pdyIgS*HFyu=Fl?<`B>umx#0_Gy`_^p z{meWT7Pi%597P*|K>Sy9c5Q_sVT4T4EN|1omnnQX7D{{nof}3*_#AqdW2O~0*)ZH5 zeN%Z6@TUBw)5E1%AF#7vLZWeNM;;*$Hrp*)z#^dioBf{&h(*C&x$YAAO;Y(a3jGAM z(eRyU`I8Y*OLdE8QKQA zro0?PX9c0?Mtv(p6dR6+mq;ghBpewQVTcypmT*A&^G4qMXKM%Y zY^IpT@S={tr$c$8cLNJ@mFO%ju6z40%QP8@1bD1-w_3%*sj9Mo^wq;QeeD`I6{Vlc z+o&Ga-x4a%FbmNPmob;$4pkL=0Tr?Iwx1KeAy3z{VeRc0n5#4QH$*!KdD~E^_j!05 zzwQ17^A}H8-$>H7wM*ZL^<|0fU5!UrN?LECX82{F8;k?UY#l7?;Qic$f&Te=@sQ55 z+LSJXBuh(pu6^Dj>3)U#G7&=|7Us-{@KvTGGYVt&t&!kjpU6c(CLQ|Mp2#xJXZSnEhL5V)BUZ zP;sPhOYrQz#K?!D^8VKFi02Nr#tMB&WiKxG0)3bdwp3piuBQai3*aYQ)a>yqX0xk# zZOu8OvY3=9pQ&|ayuNz)C}vO+vR)uWft3@=aR>IhG4g$2fE2YAAm$S%LoD?2UpU0v zy!{xZcG&(H!k~rDzUT7ghj!_Zp~4rs#Z5H8iskbMG-0y_q9Ckg5Wty`>Z~~RlH!UaO4-oy zR(!PPcjrM@>^-VV_=Zzd6b`pQXnME#QG@68&!5}7b3Y7cW5gKz=pTMPwmqJ)d{`!$ z?Od7YFBmwN2nk^LIVUz#Tuo-Y{C(M$ruK0;ENU6P>=~+!pA3PWz0vUz8p-mq^^u>i zvh0uXva8R8ZY=uIH>>ZZc#5Ep7y6N<8CBEPnQZk#9e(6AKPkmn7QPBMIf-B0xQoK5 zULtFTjMZgKG{3%sK2`!}qioU9gJMuF!B>ugsiFZ6=d$Fno{^#6r2*(nVxrg?%uHvC zeWUye-2_OKGIwR+XvxGwJbKZLb4yTg)N^!m6H9(7H2_V+?KpZ3k`!z7~a*n8QQqEaX zC_Xkq$}&s4L2~evIi8OvZ6YkBq@-8sp70;MGK_o~VTFj*rlViS3O*bN>bBf^R2=Q5 zi={7W-#?H(nQrWH80?)=xW-4o4Ce_)z7l|(Il6pH*f~lSo?aR19U3#-u1y^++7`mZ z;FVyjyM>>9IwO6W7#u6QOPX74lS}ECSV(k0v^~2xJ^dtn+cqTr6QpshR*ALIOK)|@ z#P``iEwNUpRAD%0`hY>Ljrv*QcOmM%#qXC^%`JkTnjHA=>0YWT4=L(58m$MjY?Jy` zF@y`Rj5waR+9S|h+8iH(C(IXlWCAQ=Io-#uts{h7?p7jR-SeLd+`d7mR#>bu5(Bah z9s;l2E(NhuU?@4m$GiF|rH%zY8|xy>)cScz4>j}F?}XcHL`4veE-y??*-z$XA zQ*4;#u^r!4S$KY+9hn4qHK+ofEikk)c$XaHd8J32@vVcMA)i~$-t7FiPT1^VcLREG z0?W#jcSCM3b$)7hRc*CVwK+@t6;5A2R^85U!UNx#cGh`4^mR`2Vk^J85fywNyzA%F zp5q>uI{Pa-Cjwqml|URkm9hSJF+2(+KR4F)HxDXrjl~_w%EPE=?fNxfo1Y6T9WLe< z3#sO=t4h4!h?Q}-oVjmDt|iuDD>Urn#$OL3Fxnl9uz!B=VeLw8gqLxAwk$*8^@wn@ z;Wvn>M&|Tzi=@XHlOod0&s07vKTpd7*9j3_Tlkz)XvUa1bBLB_81;@S1HpYyvC|xx zF!f*E&hcbXpgP^#!cK{%kfq*_eU-?g0c+o4r3oZeu=p!gCQ4(~f$rVsKjy3+oq%ox zwCy4RtH}HspJs9I1=e?KJRiB$b*%F_=40rIQyuT^He&O$@)b$y3FFatVBR!?-y^Rq zON}g{qqTBXY3yHOLzoZ{l;^O5S|O9k5<|rTS0CIjH+%9vB$f`G_vC&Zx_El@$^<%( zt)QWyHF9?4BwzK_VEq)wlR(`c&Fzh=0Upq|IJkvnmJEH>HJT&>*{^6O=r)Sgvv?qSb~Ji#zIAxBh!P@ptq}Yok1%Q zj(b0vmZKeps-q`kHK?o^{rP^)ooNkZzr?w2WhC%uc?!4lc_4E%;Vj$BZG>=KrSz5B zPfMjnYiyXJK{K?v)``$#-D1r1T8@qgV{mBd=zrM?<#iciTO5Q2L_AGkfB&4V? z^w|T`0c*O{ zK;*GA{fmToy6Q^M7us4?S#Sz7Vo24KA+xma34Xfx(c(-Jv@ForU#j;IASJdIu`H-=2?ldGIMV&w(KVRQUstIvV8&Yh9P7Jr+)TIsw1`5r! zI(RT1!(awz_q=blw$RbZY=hM(6-H@nu{?_mKKGRhO601nT(&(GmD8mt-h1!b4O6}D zDrDV>p8<#9=-GLKC*;Snhz@n%oqW_mS4|0xg1y~7wUhbTZH1#7kR zUBA2gO{sK8nL5qCTox7dG`oyY4)dfmXv<_yg9OgzY;>KRd><_!-Qg<|GUT+8p37buaaDt`< zGq%kMTtDffGa}?)hVdLVd9iTwuT@{HEFX?u6?M*#1TI1atIC=@XSC)lV%dKcdv@N! z93p&LgxnjtNUHyo(Fl^XpC(3riMo8%DTk2AEJ_gSTf8|G`>)ONY zj;7_C*NE4hg4JbB&5|8L>*}pNV=t%mQT5q+gz3nUC)Dg92=Zh*S8%d7A=9QvEht#$ z&_Dmx)NmwIk7#es?#HywiPBN(M5|hqxu{}?O&Y(`z0w;GYDV+#Yj=2FMo*PoIwXNX zLGZ-;pH{#($vfpB%PC}o?*rX6IZqFt2Y#vGlL&o&UDtfSIu4`m^`MXj!Fc6iuZ=#V zpiDv4xDU?{dOPbGmk*XH5)UMDa7WTOQq|I#|s)$aeh7#BlL~ALMtZ@8D*c zWS~sNiyRwTNcL42$l3ix9tuw;yj}$jQZQq+xf4q(zqhk7S>5U1_ttE;h&Kc#R?gR1 z?$ZGeyIQt-Q5<~B-m0%x34F0~`x3?ZZA|txW6+h=2cDUtZvF``uO7Oy+os2=-VUP^ z6&H~e`3$#_nK7rG2b30tJk|ZP%tQ0ZzB_B}2G?xF1^6H>QuMk=ubKIMaybgt<6NF( zEI;nLYyG>hU5lUkVkH0@jUr(K!pH9!N7vahsTBkt%U3DVLhO!bT0R7o%$Om;yL#T= zL>gQMpE#@cAi{1SuPapFrd|b9E7K)N5nIoV?l{3;gCwKv+K*z@rNquwT_=;(N}2;{ zWEk_Ak`$$i!gn9W@+Yp!A^qlv2!ub8J_IyV_O#RK9{#~8fh|F9_lV7PU(C8sNdkmn1M8R~&cA6`mi7(1k=U8}b;xqPf@ygEnGb?BjGe@rJ*mB`Kh+pgE6&eg_ zzhW$`hww@^cZ=pc4pmPCDz;Ab&saGB!9&OxtqGy z;(ZD6T;s+fENe#4P+UIRg*BJ^3WsFkqNi6#$Q|V?)Q&%J zi)ftge32ap@DEp>9A5KVA(1qa_PW)kH|TUr(6dY4`O!AP2-mmLjGC;zIK%D9b`*M?(*YQxx2p|tcJX@17NQ>$Kt)+Q4hAMc&O(p5HHrD|`_o59M+%qI=`>c#pc)>h z!OG9p{2sHx4PhpA_SaYEg6*TU5v{FtO;NqH!x^&Zy38}|$%>Z?&3Cme{7ozeON})u z$U7OL<_D9wr7zH8W#Xv&g$F@~OSxJ*EIWZz_#%lkYuoU&cSOWF*9-tPk-yNp7 z=u6WJoTvpk3qMY_%qKf!R#erqzWExxkerL3%=+UYpo^8Qd+n}-&_!R7*{7Q?{Pr}@ zQwL4k@kOJ~eoqa-uuwsY>~qtul909XTV>Ak4g*0{nfs~Q;bD!+2$>nL6DNWci`AnQ z7%@yvcbbbMRySl6ts6t}ptcwJ<9^N;pgNk%alXvf2@Z}9_v&3+dacWK#Y0ve{STE^ z%NUkXr5ln_8BasTz1znn(CE%s5K*A>uHeSAdW7`oex&*7$&$k=rq}0vsDbwd%hoHe zz!Ip8Y?38G68H^|*B-)(S^tN0}oA%tfbKWa+|9rmZOJ+}IlIPjW*V=pi7PRigo;w?<+poF#E$wSC=ZQMPH$ET{o9` zbai!(>sO1P-Pje3M{J3vv%0hS8v1@6E6eEcQVQEW$;UxQtk}ct4g`GM2H8ORe5kWy z{QTqWi%eyO;caD@&1$z*RxA-d3QnwuwR5cJjlaQ4_8x++Q|=0-6x|*8l(TdoGP#D( zDETK#^Xa~hj(}zW-{*N&x7)|h17}YC&COk=Nr?rm8v$QFf?X%(M#ah~ktDyMLf~jQ zW6gpF2tSAK+>aa5~?03X+{>fLWFNQ|mBmXYQzbv-e?1Lfmb+Rp-R*)L6TtaEM9?mDT2 z0dm5O9JOqChAVx6vmnG#iPYo^6b9q1s+m*9kv_g zd;Z6w9GmKo^Z8nKzZ+Yc9Q|CL5V1K~vlh8#pB6nQTYOxn_*&<5w2y!3yiE_WFxj+Y zEpk)j>=+KKEu#vBersrI@9Zq}T^X4@+d@CSE4rKZ(%=0ow142hHwT^?I}Dj@WR_W} zsfrUvW{_{bT=M_wIs^_t#=5(mFKy&(dS)A?o1ngx4F}~cEiay?))Mj3 zfF-J3ovc^qwiHV$m`;re6^0KVf(8;VCbxXv|L~Oa?4S_OKNoUtFhjp<#p__AK{Cp& z&))Dsq`_}tSzuH+e}Wy&KI61D1Q_IDFr0$8PaM%MoY;uH?+s@QL~_<+pgTMmaq)Kc zbagZo78W*ClD3q0NMe1Gy6T$R3mBVe2283GEk8MKy**1;!MD*IU94{{P8+iTe$oiS4RBAf1b*R3|?e<`yh+%?-t7J+S73eSNk(o!)bAR!CXB*zHAUQ4BZ~vqE%yVYaD87u7=2@tjNL)!a3sjJq@sHHm>8xZD|mT z-vxmIcds+!^yrr;0LK)De-wbi63KQ*N!idD7WZJ?>*yf+j(laPf|j~7AZNJZ$f zM6gRYUt3&LRC1NZ_MSqK>ZMNd_&=M=h~#*Eo6fep#*-(qET}!QQo|oVswZcI_`LaQ7o@+2e5>{t?S4<_0@ir3SM-}X zE0q1JBrjmTyi7@_4<9)%+)Y`3Qn}1{3~@09L&Ni97BdYOJ$Wlm?g>+Z`gtu;UD=Oj z4Oyy9H;c)${<_fJWqu3IOOfTEFgIR$Ke$h26_i<0`{S>~4OR5sidcijZc$~0GLRI?FS{#ZC6bWAZN`SZ5c{AN+JP7eD{V_~auby;si zD%7b^ydiAq1rq#{y3h=YI$R2rEzaf-jI&5qTS5D779;0* zR+(jGe!|p{X5S02Y#QAaxb5z!r~xNSt%sQCre(*=_r_B1n|Ko5DQ`u#Hex?o3I58w z!8Lnojs9ok#z!ai&4j{O8Zq!^=wyfxMe_H%6u|_wLRDxN0+sPb2NBMg@a62o{GDqQ z&DzowlJA55*ycEe396=IS1f&p=* z#YbGMta4=PJ<8Jun^?twe1N-cOkfw^olXK{t+46an3m zrDl_-kHk&Gx2ZwM9jGc5V@`yoKG(yoHi1$f84njx7BxD9^hiO%;dDh;Q62S(-f`NG z_vcgMPq$l%YzY~RL-hN-a#Z!r;F(q*@jRR!98?<@NV$)5FxIYDs`rmyk+kFKdTy4V z?1uciM_Si_Na&oAIl3t3OXjQXd(78Gsuk;z^Bn2W_F~4i`7+bC`xj>~?3{+L8IF9` zJ=b-cgiCrtTMnk`mO}ZiND3ODGpsExA*8hZ8>so`Uu(WVm_X`N-sc8q^y*nTs9&o- z?xjS*UFw)_768P+k;}_ZqZYTf1H$!9P0rKNnHL9MdPjW_hwM5bPdXmimw6*>~fNML@z?-4AWA6Pn6EeE?S>jwUz^eRco zVkqEj>(6(#P}YXvh^@_(GPO`mLugvfV}D~n{NoQjvV;U&Z-Fc?mgRB_RPi|bzV`Bt zRAW~|ydn=rtNHbK%mZTJ2E1xM9o|o}(-{u}b#*N7ZdgG-N;Y#WM__JaBIBkcL-OnA zLGaz7uE*;Xvk9Ex!>OMxPZT>QN@5--sq|=%Hu3WrrjDHONHGk~-6WQgSN{nqmMCFbX?J*o&lkT%S*r+-*s zYh`6~%(9=%oBA@$poW#3-z`KbzSbM7TUKjhkM6P%;0{g`wW}O@CDdGTxt}*RknNp# zm$QND%Di23?XD&Ey=wC*z0*?#cGfg<%?Q^9Y(}SKD0{d(o|67j3kU{dtdG@g8kWkp z8ibPeNQ}>WA?0BAttFO1al`wGnb}rLV3&&CG-B|V)%CFGDov4xB`b~P z>F(0$2}b0(2JeJGh7TjuYtq$n->C@3(0_#?u(N> zE>CA-Jv+Q*@pRVrAYVO{`-}I7@~0i5X5GE^jc6KB^4&l0p47rp=DixdxNDvF>bAt+ zqa)-?L7I78Ww#FqM(o_N)QQ!XyDoOIk}4Y|;Zt2Y4hEHpY+C);Kr3CWC+h56O;6#K zDc!>yMznU3sOj}x=8wk9E8@Lltqr)22pzS`ZMo6E6? zi9bw@;Oh%)kSF38p#!ZP5U$T!b-UR;Dk>+i!>QFw@paM3PzN|L1f3&O-8Iay*=%ke zZtkG(KAXx|9DZ7VmH4QQHk%fnoIpq#Bcd$ zpLk?!DEDl{4x0ig60q3J$F3?Bx@}ZK-yr)?;HyO?&>e)a?|rM|qib#`TXC&?Sv=>2 z4G;8F)TwjX6x@p-q0<5rt@VZ@S?+r`f8#Tkl! zu>m)V?3CukSBaR-p~uIe@DhIHfIq8UCAh~1s7c};o*i2l%MU#roo*w3_#Ixe;kQCe z*hU-Q`Z^6JjtetC?tadQ(1{5OPqX1op7R`fIQcne{=Kz+vi<})Je;3s9wU{lrc!44 z`wr06_o~q%t@!NE8W=up$GK~4Yyld&1KP1I3pHc&QDm!zR%*Y?S5*JT?0!V*I!EFvso^Yc3k#AF!iouG6r zQzS*L5DC_keT4VrQci=#{iy04kSH+gF1Bn8zx`XNblG_-y? zIz?IvDMge{=0rgD3}=B=>um7)7#Rs}YGT1y`^2kP(~_qwZ{Jo9n862LY<*0 z@4GraRI&=NuoX&@4t_lg36+a%H!N9D!XiO+F4%{fb85I7D?whi@Uw*@sVnMQI!cSf zWw#y225!TKx7tC$=L8l~wo1|sHw1x8&0l4t=ts^+Vl8T@%ENPom)I`EZQTSpENRjj=%Kk8u>xN>*tf2XW{Oe7G{Bgtdj!xwQ z6mw0sdLz*?Wq`=|~QO)?2#AFop zm%-|WU0Q1yt$RVb!^T^I2eU^p@gG#Q!R(}kZqCVt`no7?0nwHP7#Ba_a!X5((x2|l zVxRQ7FjoI{H*|#g_*lR$m=kOLYZ{OWo$h`Y{rARw)4wkzDPb)S$FP8I4lmZr%ZeE^sYTQVvHZpUP*#G)I&T>_)_a1*~1{6=K!@*VtdT`}CY*}e5n^=Q6e zygX#tneFfE1p|0^^lldA$R&{gdQU#;Pxg8_G|Zcfc5=Z~*qv$K)o3U+mKkQg)?gKt z!L3gZ!o~Mo_gW4VW!5t?!OcDO3dl>c^a=P^dtaN~Ie$%#&E#oUdzSxU^&1Mg(sX&; z?El`O@z(AlaCxU*ywoT<+RpYme>7Uvx39+&RT!Sq!UUHgqW2gg?^H+-4L9uc9qjGn zrU| zYZz0<%%JDNy*XW$XlfdOd*oT7pmSQrrz*?EmPRJp7mAq8Ewxx#Z=y?y37PFjp!iy2 zA*(~JzTYarKD@fz_~HVwro4$fMmECvKR17cB!vGY(nW@Q4PY8rf6S_e?FYU~pHAmt zng-HNggF(V2!o7|UUW!GZPRaiigpq&RM{XA&>g;kjaH4NL?MKooM7aTd;pmDV7C7 z6s7)D-^1Ffs`c4||My0#R$0R)e?ClZihrFf@8A-d$fDNY^UNMK;@Z*coT)Pbs%6kG z6Ms~Hq;5=(Z0pDJ|$Ye)H3jPsxNjfC#XIe5zdZCP%hoxK_dVT!iU8JnbC_o1F3SPcit|S8uPrPyyYoocX{7JNM zFI+yabWXJ8gnhT_k9xP~^<`kh00^=a!G@g+ojkTXVXdPC(e?^`O^GsVoyjwu9FCI|GarW5xLU{Jh)aUn9&`45k_wnV02p6MmX2)>*}L=D?hh{C6+1;IVQ z6y|rZFsyy*NV1I%blaf>XfgosLfYkUqiFu1Qg~VIqA>v`pE&T4OxNErGBWT`Dg%E_ z(wv2taxy*hW-1W0vXn)+ePwlyM9zaKs&})+`>P{Nl9nh?yf8rSEFP&#y={@q*%Q7= zx73Vq;4=}A4^-vkrJA9)K$Y?nSMaJW$!0@&*CGY0{W!{{hIn%eSz0lms!X@_4W^RE z%gFw;fb!k<8847G4(Sc~iP=+l3?czL3a)bxdh?A%P(KI!eS9@%Rgwh+(zsy52orwl z2jU%mBHW{|kEAa}S0ZHn2qB7%&}%L6DVEeB5@l#dVATH4uan|>DsFg9TW6K1tw_^~ zB(rcKrXStb$G1FRDU$E1Gv@M}U3E{cI$sZQ&g_#eoh1+zvA`p(k$F&Lw1 zGOtQUEv21e()qvBs9RMNSPWE-6e(%S-&gKU+figEwDZ01KZ~~2Z3OcG)pA)ZCvmaK z?H{F7JuaJ5rfqrMW670O`;eQ3s3O4LM|0JC5r3iuQw94q=@~I$t(`QCUXRycd*fv3 z{_k%%ku@ErvbwL%`c!^Ij##Q#I2a6LR|uK(NLLaswt^3C2Y;>erV8cxl7eu=i|HtN zfouvdw@^XS9tJbbd0g0B?_uMk3X~JRF1KPxV984(Pb*q*>lRNcc)j`C;idbfjZrd` zqVTc8`(Rm_W$0bpk^kzuR(U;@TKo)VQ#0H_>ge|>G$DEhg9^`0p+pKf-a$W9KPeYR zRd%26OytB!ghV8B?PE%_Cjm4UAo1*vwK08Rp2dF;L@U~M16oc9-e$?*ukN#ZpZ>`7 z_gUoAVy!-MaeumGyuGrVo@#wG3!{8+mdoMzEg5=2-srZ?;xX_|_h8Pg+GeV){%Inc zEKIj>nr1vJ;KK3-9de^8NlXJ2Me#&Vq9F)N3FEK&W1S#g$^k+@zWM! zZLLk+{e)8xsBHvIM{~}+HG=X0L;0Pk{O;DlLVII~UEwCZ4<@g)|JxD(M6t+p!Xn^7 zr-cWE9dlf3vlvI5?$n$ zbMICj9?z`TMO?0Z;c)PAxi#geKH=qCQL+f|gD*w{!WIocyxt`7s4?AL8>Kf`@om9E zS&Qoha#71GhCk-gRO*2KBDw#*|@``-mbB zo8$@kBvObEv9-4Hybm2P3N64;=H|pqRL%$tV4+s$(5SzBHKa)3!umc7m5cAMZD7NG z9S4w6d$8DO@a6Tc_z;xdp3R-eo~IO-(4U4Q>8d*PF-hHtI&_ykU=j~8N1%OA)v})A zO6Qq(UZp~3-eUSXH6~J;oO8o>K-07~4tbcQ27h5&MgeXo6sP1vFa=mZ;!JPU)i123 zymXV|{c)Lr`3c%j!w<%WAeiv_A8>~)75OW^BVeNob#Up>m=8bei{)D5-MdJ6Slfx| z#w6nQJl!_E5~q((gBbLsd9u~ay3;}oLMQLAxC5ve@4pQOW8x{Vhbq=MyQduL9ZY|b z7>yOEbJZV;ebeFT>S}wFw)Jbngp!hFrioIV9((PRN@fXXMSx55sEk`0H+X$y6=qgS ztMkn&59CpevO7Tq%6VHbr`N>rQW#S1zM-|p4#vTC(#~TkciN-*aExvpB%Lcs%^(n? z)zrt^7$d?j0MshM7i;<_k6Lu{1ok`<$9`_D9e5JTyEeU=qMNFM?@LpB%I9Qz4> z+^3h=Yj>Hc@OVF%l8)pJjYF}nhAy`6~1i5cJR4e@-cdCbtvYLa35Ny%(b=bMR*PKxf4{l38|+m zhIn8bxl5GdZvCu}0*u|K!1=myzSqg_18?8W&g(YcVd1m(cpnRqQ+oUGfb@n-;FKoa zeu3TpE23%xw#5MSnb>WtqM}_TO3k2~er-n-*9Y0^AJHhr?rw6lDneTPRT&3_jo?DZ zBm2k|&k?UMVHgkpdE;+TCw9l3q32iVx8|}2VMAbg^;6ws)UmMZE@FrFXO`17q=4uF zE5lIdi_cDv9&ymJ!`2L8L*r#EbC^|_!a_a9(1v>}Gk|r`w~A?Fx^LpV!P3Z@T6bn3 zhW`jMSN)vDzSWW!vb=Nra%SBHpx+rv)tEbn96Hltt$k-e+pk~tO8Gplb{rb!Ru{<^ z!^a!sqa|W!&LZK#ExYs{+MIFR8?NwV>BCyk29!J4o3bCBbuYnuV%w>v8Nrj*w3wwG zBHK!(|F1@Iu)iQI>%RJUbCcn#3l7yqMp{cCX8MVN6iS_Qn)tIK>uYp+&Z+rKdvq`P z@3m*QkPRsAJ#D%27YiFge`u}#85fX3L6bCAu$%5_xx?Ek(dDZ*GU7<-BAzCW?U4>$ zC&etG9Ts=QUi(wAByBZmuEqDLL38|_Ktf_@y_?QHpL`%h68)MsyMePwuTVkYI%B7+ z5XD|b^=;M?_flh$-IMZ`-M&`!Oyf->19`k3w8y=oxKq#P%Xh&a<_h5PJDokhbon2R z-uENfX^&5yg*&U?cmatHJ{`t|o38!7+@3pKlYH~)LrKl-I^BT}ybo{Z{UmlA18!W) zf7kG-{sT1lh`Q*Wbk!G5aXh z%vSIK4X!sqqF?ZvNW5KH3A9)?p$S83<`-B!8OXGmud)*`UadHzstG@MvT5kjpD<-4 z$+3nyz2UM0$KR zi)~!{6YuKe3e@!C37hqeYyH@Xav2u9Z&prwX?^1fLOnoR63)r*)CxxS&I`thF7&WY zEXQ;Awjtd|Kaxf*1}34x?h=&E_e1_{J`eZvZih1^TXg{(KdUK?7IH8agS_!m^8zg> zzGNx;{{>s{6Mq5hDp!7ZNAJ7$XOa>3l=<4`QhKy>g9GFqFkEHzlA=Lu2$x2a{-F>~Ub&JDq1>%NYb2UTxsB7_h03S`zsn6IG> zf7spkVanSXo{p<84cF~Z-(WyM{|QaPY*@N5n2Uz-)p3N}{J%^I_!0@;>2$wr-VLwA zPPaIBx0Xz)!36ZI)T{#=VxU=>aQRGFDArv!E{Xoq3xxMTeY4<&!QL%v_gyR zY=`sT>xH~Z%!_PtfQ3}p&-@bu#k?=B|K4cAa-UaLm`ReLw0)q~>`*B-~kC#Fkufx@0YE>0$oHPc_nw(wB_|3m+ z@h{|e0+j;T47!7*X4p;oMGm`#Z{gwLAt7D_@4a`|dyBPd)3}@{85yy< z%qI>W;hMev24Zc5a?gto?sZ|Ocg@U6`==W+^R+e@Xc%ARa_2gXnKw2!)A(I1r%O^{ zLweRhOWT;}sqbMywM)wLf{1G!AX1fkX0I;{ca^WvZEIswwGxjljQ~9eHs8!{xrLsG zM{B|e2Fc|vHz`tTw3TM=;(6Fhdqv}nl+|nOzOh*7T6Hg5@N*mGZ$!TmM)ABr6O0Fe z6MvZ-WWO3{s9cl4fjXpYNjYhkO8I;J%-}>^uO@;GD8BAF9srd_7 z*G3F^Hf@5G^0}RlS022s3XH{i#`9Rr1^`U@f~}7a5}^P%ut@$VdjWbz+WC4X32SR~ zI=Iixns)k$gnRH$r=`BE)#cSSHMXNgospV6tf9AeJCAT3>T&q^2Zfxk!lFQFx|}1m zcVs9X8fCwJ#Tx)=sqSiso7F4}4rE!G9D$dQ`B`p;=T(Oi7ZLGkuz317_?bBm*b4&3 z@xHgO4}npU?GG6PrRnwYs?Y5u5diS&X-`03aCoWNcdiM)2yqLf&(sB*vyz#r-K>L! zy*Abdr~9yF+>aAI79ITuTgrp0Nsxh-mNp@Of*@d2gRK-{GZlb=i-|y)+2;Jl9WXGi zK*P*N0M8Q=$hR`vDKdYdNfrtC{LOE^W%qou86#-dENgN%Y_noCqp+Z#W=Ir+2VZW# z1-Ef`P=t|{yNl$>HDl1PnqwuSG+VpiEaPv%_O<_V@G}{9K!NlN($mue8*tc`jXk7T zq}5pCmd^j9{ns%79{INfys}Ik9<;0L17}$}M^<1A033i9$AaF2>MkhqxllD%JW#C5 z#HxBD^Xdc8INp3!f?Gt&^SZRwBv`#f zJ4~{Vuhmh-s|7mp3%AoCLIlmYAT&`zy+pVEj#n)QnX&y4gGJbwV(02mx7qQct1^); zlIT+~ixdi$&xZtzEg)^LwOE^UDNZSi?szz|6P=qhVy z)Vb^_IMz4a>Z7X}76D!}SzCNXH2{$FIA&Qpctv26*WlxKPC?9B zNU%{-3hW5Cx-u}(`^;6jSZ|I#em3U6MhK`ezETfJ*5ue5DNgmu(`|mK&4W}zSI7?Q z|M~Pw`q0gMo!O!5JbaPc4a5A-Af_vZLt7u&Eb-i7+STRU&GKM%d3L(BJZ?O}%I+gN zQ+AefrtjX6k?ZdhmH;=+r&)*dABsNQt~)agJ9$$j5^3w8ihYWhyXxz0#v{$U^KF59 zS6P2WyY~AyfzPGELkTd6dLnox-Q1!8FmR0$29W7s8htDPHaWxd`k0gobb5AHYco$1 z3s9d%WVgUAv+wN7kx8J_Z1`geT-X+EXe!jJE$7jfL-m;ATkfJ)Tad&MV4uz#pHQiT z6p|TftKSL9L^zDAloSCDU)TK-?H2v<`FzzZT-~rjs7w-#40**Rm+oD@n*G^oWDi5J){Xsk!a%{Ep(B>@ zaAo`~gwMWc-|Q~se&--l-~+ufA;4v{h=HBqLA|YjD73*DtXJ5^HVx=H*BejGtsh z;B+gNcWKf^q(gcH5sT;g_OJp{j=B{3w;(o}v>zH1xz)7^dPkL`h_B-w+|zYp;$>=y zxje5|GCL&Pic?O(0YjM-eZ@vTcZ~cfB0Q{?OhmaX%NQ2(ja|urBIrk-i5-!~gNT*a zNY&!Q<}5*8JcB&gX&!DczkH;@)iFdr>cd64D8J_+YhRC85dbKfADOD*`xbu7$Wj>%2ln+P`^%EM<*vY>*e?q z_zv6|2~+W|K$N%onxSwobM&-=L~(pS;twxQj;^Lpyfu{C2!8Oqs0WT*Z%sj80U8jR z;II6mUNfZz5<=jxMG9GVC=7H2zEm2(Y#7_v1R=o(o=6U`tiabv?$?u(f&e-<1&j?>FmNhs#yrSgso(1;sJ4A=ZDZoEB3`W9~B|5rJ< ze%en(@y1bYIoiTFTD;n9@j6Q3VWHvX{+?m|z33&jirVZO1Ths+L{YOD1YCPKH$-j0 z*(Pqh$QeOYz3jCsnL457za#32?lK*|nduxK4 zhvdDUu%Uh64ge9d+7mcUAc?LEOx+YT~ z%xJ!x&LMFbV{VUO$>RNC9aWIRLenziO5)M*a*=jpZ{Cn=iqxaCled?V)eka2<7un-{WYT{Cz7lV5N8yS;&TMjKd_It}P)Ef@NN8RNgHm+k&2i7r9eG$m+w zOt!;{jNL)~j)9gG#4T$0DJFd%3LENc-XK3^)N?3I!%7g=+WK_3*hoV|WA4=${?g{{ z+RfniBP?Cj4^t8OSH9P!5#U#>Y`8v6D;r16&KJi{ zI>bXgR=?&u32>z-6=ZqPpx@TLz0*x)avzvcl&LvDo4XlAPTwzPOR~oLR1GdZ*a2>J zx%eKc55p4=rGa*5&6YJUWaO*kksQr;@Jp&q()8#EkC+|L>&nqu=VBEV?MC+Ga+CS` zm-OoSBMZi2v+P>RI2#(;GbYFSv;BydwN6Cxr?NQ!1u+T(#0SileKK3j{U^@Yjl>|` zFE3tw{PF^blz;@mc?oHMldFRR3?h0R&PWR4{Ouh21!`~n+X@4S#278_L<0I@xLSdY zos|VdV)L{Z=}cnDtF>PGo7N;J6L=t@GryUz9Ukc6g{4*g@ONmasQb3SOg9VH5f_2` zGWXsJta$nds!C&1)6s4@@x3rA@#NWY^D-B>DoUp_>1a%qaYJ^kyk4el3XY#_fZ{W| z5$?)fW8jHFG{5%nz>#`^ z9Uv1Rit7LOp$xExV!rB5_$!{=;zEX;%&XN%*a3J_d0deI`Eq+r6v(JQwuog>OxCBu zNli^nSjGwlw=6B`c1IA0Kp+f^j8vUMHfw5g=jSDhV|`t%Tl6W=SH6$!aKVPCq#!Vs zl=nLX!IdF$!Pa0MZEbFsUFb$@zqd$siz>{a+;n}H34p%teupjcUP}_)!I~N$w$lA? zt5D1Yz(iUe64MQ8g9y}IYg><*u0iiWxzg=N$a>Ia=L}$RjrbhUysf{pA{r|9SNWdXqm>B!{y?!Q((IwGIZ9D`2{i4rD(X8QgvOg0U%7A@7?PcFmZqj@@v;8$#I}XHhQKRj->_tijyC_T)uiD{xklMKzVO6 zK!8YqBbv~zmdoLSrmj;8UL@cTSP=FxBW(E1NTwIII{xn~LBjX&P1c`~$1s+c#PLt>B{h+UJ$NizNVLkXTRgw<+w}QuBlizDw zafhk>p)E`*tyAEz19IA%gP<$nDwu)LNX2g-7Zw*`dMOGF=?R3s7Eha<3?)KJocVZ{k4*?M|Y0xyL7s%Hyk~R8ka(8ZSuArbGIvQItl&2UzU=60a zb&W7jS@r}8{0|?%Dv4fW^(8N+jP(pJ6l6F^6%13K7Ny*z^<_TY&#BTpl;eeFj1i5VsqO??d`Fd>jfg$V&X+bh2puO$C(Lh%Yhn)O;y(C}LZjR{Sm9U_GE zP&UIDW=`GXTr!0W%Ti-g;sBqEN^S^BqT_%4_7YnJL6urr(}x!JKV~l}L=3-m6c(Xjz{I(vR>$xYUfKjApH@v% z6CDS~RbL#fhld9)F7B8o``J+84gdoQo=+v=LtaWuj7Yccr%!?k(>b=7^lnQhf4;%? zzde?#7vX%c%#3rc!@$76ad&O!@MK~ZL;8%jh^bXH{N$B(E+#D8)Yv$m2CEb9&&c-= zrSe=J&K?{b#3dz3CDm3`4}bWwogCiW((*W#6B3L`w9xF8;Jn}Hda%&wHawaIXUt+A zz;iJpRw>5+YM};UyCDb~nS$}(#EA0$RL;`>CxNmu4}oTM@rH53qS)CMpLy8q?~00w zkL||HY^gZ9;q;LH6bm&^Ux-}f&G!iBqa zm6c;+6SogGs$KfyW!@yPCX2g=@~m`+iM)VIdakI}$H{kMzzpMhe}Dhg!Q$HLp*T&) zA8YEK;pJL4MvSqHH)yr0dNAPZZI=UL4EdHXhcIj~7a99cB>05gB2+0+Y4J5SdsA!O z5pM?OcV}kPPcf{Lw*x;_r%zzCz997T8+!#)TpJ`w^mwEz0_*@DC9c=lBI2Y!VHOEy zPE^#EQL#l9k_7)5N18q10H{9C+hQ5?-8c?*c27^w$aeEFR<6rG8*Hr1{-WKGx1`g1 zJ$vU!9!4R(`t!&{#UR3q{YU$vYB>xp>R!YRw(0qtsn(DNrpd`k=V3{6^IxOp4Gm|Q zs$eibB)q3bGMS@;RM{%`ASFWGbKD`UBlx}AHpW!q@~Y8$>?B-hUQNYE3a-0L=1&73 zej@m5DLmV75Si;3j(iGCOavs~{S;RvzgjfP%7Rr}F2@xF4rVKZyGVQXc52Xe`^`*D z#`0!LGv@S}V~urgcVlYxybh{0?FMEy35%x*UFPmF3h@pxrKSjp?jBv?4&3uucE2zC zG#qUmDpH1&^QBMk{QfPxBaXe>-_z~hOa>w~=pG`%#oeennLHZX4V~+I*qgGw3}Xgh z)Hq;%4rrM=2%h8#Ftph2n55S#Mi=+#+jt0x_wmV5V>GM^Y`2y_egcmumzwN-#m`a0 zdTsZm%|`Os+t>TMeJ1qXPCZUvq1~pGP;o|u;RHPolfH@kDFNl&rpMd8JcTst!?_gt zNAP2n_IQ+?>D9T|D5zZqJat7mIgd_k2o=&N`%* zB;sL&K=yR^^lF|K)FYOimv^vSoA9AcdF?QDW!zjGo(uwQn%BsEvEh0OwdWdUOC!}y7NFUTSzDG- zrT8RP<^C{6@gRuqBkCR>^`M^cix6-Xhli8+k0&mHEZKRVm=Hd{&xOWDJsLbj1 z9KzVb>GMFEj>w?kJ6aM25YGaUk^P35O6&Nq{tIhvy6YR8qdpw0Pg|-@#nR}}7#4Y# zjHy@SNtOJ>13nI?+4;+d0!zQxqMt^0_J;Q#H!pg}DHW2-h906vjitF;yhgvI%bc?+ z_2b$Y>^)%6u|tl3C7$wCBV3 zIZG_Tv)5{M0#DD|;m?m!Uz(yxeCKmqaXF98ptv)tX3mSSoaGM-H(&cRxBw7`3$x(e z5~^o2Dz%CMq@<)|ZYonh-eS;!l!v``97R6HKC!>tbWwYJ+&BK|!oy)y~lGLXr^EG=ZHHGu_YoyStBP4JHrifOTAT19rmU z!|S@c4juK!hQ)cSr|7RN+)rj(cHV#H9C%QqLeI8_Z*Fe-y1^Yki;6;X5i+9))lX_@ zKp&4!GberThgL`-E%);Y={qc*yYd{w`nXh z{)cI*JW6#-Nd&PQ@a!2Rt*~WbW25>h6)!#MdTE#@OH(hse0%l-1Ise^_C||Ie{EKp z=XN>x%yFkHuFxw272(kG*>PW*)E+iD3rheI{A&0^E z0aSEL@|E8X^_YLnS4HgE1RF4G77x$r(B3+y$Z#CQ>dcI9oPdRPpluDn8=sS&HuG}LyU{#_SEBK55E$#Zx@&YysF~d)UEp>J7u+wWXF)<}PPHvjrjRZZgMHl)_ zc(lcM-f${UEt7!W90>(i(q>ehseEWWW96W2=CA^KszAY2j8Xii)j%#~A@q8VW3*`4 z;~}4lJ$*3FmK~%;Fx`B5{~JRM6z%EV@zyu>{o=1YGp~`R*Lh$MqnUGDmv{XozWnp` zT1Vw;-C_8Aru%3{$O1PrJ#HN8ae4%^E|P-s#j~#6kls%%?$;TYa{Cr3Z#z6`<$Rjg zv9s5#4vw5w%~A&-nT(pOrpHC4F9%McX_S+FqY5oXJPqFh3h#JNyKu80T>>ME6#Q0{ z3c9yi@JZjH89U@iSNN>nlk%l~+yo{D(LixhjHQ{@-Puu@K66X7Jvy?@F;Pa?B%`## zpEiB*Sm4`5mv*EO&AjnFE5|JiUt=S8DUri`($pun)~)U)rua``_&lc90w3P5r)lq= z?F`^8T}QR_b5nL%OJO|TB*7)lRBjk|wvq^n^f+_hUbwq>5}(L!H){VY5&;hdrViwT zpynJ6HQukWv*=^zYB7M~cXib#%8>vYgvs#1*uTkSoTo5lQR%-DJAj>- z;fQHXUd(%b-@~Q}uRIj8`-gke!DO*B7&PJLK$6qt0RD}Rp5C&b-wVt8ND)uv!$$R%-IKhz~^7&SpT$3 z3z}828V|jnxw0zdy!|K@N=2NOYT;EzErmX;Kqar+kYtLPnJM@??L8EZW0&lkj%m%uoXZm)X-+M(~P*$ z?c#CeSlIx9hLx3$m#pTel#_dBwgF=?X>^tP`J|$4y1=B-5N;^6?h@Kr*!V9S!TwK+ z0)G={qp=2P27`yT_I^0gyz1m6{ez2)u=YY4pO@?YjKfNsf6;)fhYwhHDV{#1va+(| zpoha^vKn#%LbOaq?9gXTLW?-sowd4#(1nSm&pGlyHs@#gkX!EM{ug`i9TZi!t$V+U zf)W)(GKeI}HZ&k0ARswsB}yY%K*=;TsN|e;&N=5SK@gCfX@X>$oSGcI)p}0t^WDAA zJum0px>dL8R#}vP!0Od=%{Atj<~da^cXF#PsylI$R#Ov7emYq2rGvX7Z<-y!P)* zCU@eNsOxP{>z3(fDHxZTuwT83|*21DVFR zdWsR*RRU3Ti|t<9$AJnw@$B8z;jbyz_Kw{?2*t`!RhyVsvlE|NT_al!Bf!jJ^E z3X|?D-l|oZeETr6GB&TX0FMmKbh^QfMGPtzrWc-X;sWzp6*`VjwT>P3ftW@`xn3Xt zmrf6{FEtO@lmDQ={6jPSAGMg@QVD9XAeIOI*xp8Fdi*mC>r*U&?oeqzi*S={&+yQSV3*ih4M zAI6=Z&dagF*JqP_4C2-U4#8K+q0yS+5b|r+j?cdS%mKD@zGj_eB#l&VE+;1>>78}h zTBE70#t~rpSz~vI=ax~8IVrB+Y04qP$;p75AN&Cyex(eFAtA_m&xM- z*8ZMGZ7GZb>FMbRCpH$XyuyBNi@fOyN9q1fDkr10f$TGE=tb`QTs_^;FAPn#ZJoib zq-YM{MrvSSv!CbjaV%al$?~wg=ANI?40w*~zIbl?bk`4>EcOiC+(!9IvxOIrMlU++ zU+zw~?}cXx3SBpzx>Ln}oQ3jBA<_gD8ul{vdL#o1CegNasN!Vilqub8r#@RYLhEId zP9fLAXnJ~Oxv$MRc+@N|#z%^Ds3`fJrcV%ytIdMxbK&LXADkf$_Z;uvc3`-%SQMRM z=PRtGEYnn02D!e1Sr!!$E-#NYRkwvj#{;?rrGf$;lpDhdk2{%iB{nkBX*~yiw$rR5 z2ORUYbNKQx84(p3LB4501M#D{Fg+3!n8`D^+kTi;r4yV{Y#|(>P{Msx0#LYZj9L6#Q!IgEcnvNWx4SlO%wELF8HY_5{KZ z0$Eu8v33%3@7O}f)kxsV%HG+lz2m!>ZqSe3p2D9pxB}vB&kBC{*tiazVttA|Jek;U zb)=$N*n(~=f9-o6tTq~H)amW{dQ1~fTSDQ1R2{Lb6-Fn$vCi~N^+An)9(}qlh1vkSFh%gXd^FZy-LdUUe7X;uQBQ!e(~84m8OdQ_tI5);Pand zJzP}+riu4w?|!LAiRph|@I<|2c-Er6!elB2N$8p<=jTdRmnVCRlW*U~b%>KDq!ei| zFfdTz+)JsK6cJSzAdjh_Ba`QH`uy$Nw`AAJ6S!5gMXKS!iX55S>0T#Qt|||B=<^*k zWKMh>m=s6Aq|%8o*zs(SP4ArU?N9W=AbQv5U8#IwkLUrpqe}5Ofj+o|%_!XtKVZc( zY0XT_cud7Dd3&xzet+`HGstI!%g#=q%zV6Wm(v(?A1fzap;P{Ny#0HJlM~buUWeRY z?y0yAy8SsQal0nf{c^7}nfvMtZnUv?U1hr1U&STl<>^TxH4IibF`W@5tdK=d%eG1emMlxQ%Q&mn@oFzNZ!<8m;s zFOE+X`ujH;nj{ZbS1yjqTN#9Z$v?gErp2TJSmKX>x{xbWSvf2_dv&(axyouze31ZH zMdZ<*n{f04@WJ&~{-cFV_B6z+Ox%i@xqVAwxv3xNT7sID)Vr!{UFk(ua#Z_k<=3(r zh@zt(%X0i_DF)dO>EAO2k@GefA6p_OfV8&MYG*bj<%u$RMGG=$W;8z7N*JL{^{1%uX%Y)W{xr& zlN0fINOaLp`;%^RPWtUOZQep#9L|#pU1GLw?K`BxpH%oiwgn=HO`H6^yu1J{(uMD^ zLED<>@C2Xl<2qt`ckzPz$q2GZ2*&67BP5i@3x|UHfX51YQGf*Hz5&$P6V%cU$0ojk zwykoVMT-s#sXG{{%I>Q#yzVQ+Qm76bxWE_ZxGPRD1A01R(7L_4rKsn;IZgqicGN)7 zlRg~{=zh)MSWfUdo1tb{5Bd`pzRv8IJUr7-`O)&fL}D&-Cx zA8jQbbfz>4tV&0IN&o8${AT4ERP-tb-ol{}b31o>kXB@fo%e-rzpCNmkp*7*{eGgm z_ohQ`91oGWs4JQ!%4@yR6>_rMJc$Gu2nCQ3G~kCPb;m$B2HFEi}ADEDEajb ze|Ex$sX^p!V{g!IkKb6-vZ(m?kNQ*#UC%C65%j&^psJh35ivsSuhxAoTCby+I`b=u zbHQCw61$TMJofn&^Nr{7mOUV)d#P&CiF-o38A{n*A4jWCyjME0UVDFPBZIp8KH%;P zZ>(f;Pos(|$}>+v5ZwzF!A!;ckKX#cwt2Vl+xD^pxSkB~-8eTHrT_|A)T85)0bg-L zh?|<4OifK2mbzNPfr7En`+{3v$bh2q&Ggo)nLl?qkH1*jNqG)zyQkPdK(hlb<;$G$ zjYQWwz}-p!cl%NF#tO<9AS}y>=J9Jo4!7VAJyERkfgX$90|Ntu%<3$9O&3732z_|h zCp6Tf1C4$DhJ&g|-l(vlwS!WYbJauIU)Zc*lLU-bdA{_@&(CjpS8@Q9j)R0Cs4@8y zRBxa`SIxqof&lW*rQXF51iV(snmoRKDDE!oI$2h?cs)vH!eh5Ji!n?6b(d>G4k}|KAEmQm6 z(|!`($nP#&BpBWR*TK6C23&K?hZi{Zd*W>iov_opARI5|US+hK{FL|)!>RXg=twbNXg1hm*}_Adm94H{a`V4G9X+e?dAxl1m5_au{a@<0z_TN z;gt(CTj(-(gFxYE&9xsEe0=73UP|vi2mvJ=zL~O`Fh?Mx;HF@&+4pSP7egvG%?HD8 zS^Bp8)XfKS`plfu;PF-p&k!;D2iEpSzY-uIn>tO!gc?1cmsuF8z9U)@Pt(`WcY`b zQ76c{@cIS@#CmADkg2E%&knkagh)LJL)Hckt7<3M}nq{xl7;JiEj)Gw-Q)AhpgQl9z{D4y(8>7lRKCR%@`= z&OEgWzC$YH2{eodQ2Ud)I=f4kxwoXn z`W!ro@ayG)RE3xPkZG)bY`2>6&%xEYX_p-F2P=Y8iuRsC63v2S_;iTzyHUS4adgG-_GqOnP(i?l)FO+!G)Q|LzB`<23M5QTzyWhhq z1sLLE|Jdt|>#Os&8E1RgVZR%!VveXNHPEQeqW!`Cu=C~lRsIAM2tt3orOx>u3EpY)W}wZp71x0&%gU;`&wk(_)O|Toyc$lefgN?0!PvM%@xt`2^xO2o5BE;? zN4MDvbJODGWupQN=8mD(BFdR#Pw@Pn_=Zxv*bPoJa$8p^rW4io*XK;nHDwSuP6uB0 z6t(a2y=E14Vg(OV4KWDTC<$QcOzklEV2{QjYjveh6!Dqg4<3n^`+ewc2>qO_yol;E zp|Rys;eKqym1KX{{besiQKY{^Gtzv+dl+mx<`AYqC+@cbexFuwTT^y$2yM!&;k9A%kR# zy2PAiodS3Q_%ZVMH2N;Zy5u=!FMkn3Hh^k%}s`{?`&n; z(TZp73# zFnRo4U!einrVqD~TvKEgOqh0Vk0+B>12;0NfKS!MnVi>$5O3ZO zCcQIt^NPNg70|fiD}bS(tt;HmIJl9!)38^_kfc==>}O6VzC#t99U}!MW+lAu+K(iA zqzh3uPH=2eD6Ta>^3GOjirD$OCBYM>rUVMd?QaV?r0>Qtj|QI zKgh)_#9Wz6j)Z9^RSojqQE1ri@Ou~(UXcLxXWNK$ZL3PE$3H}x(_|IorpEFXY7N^G zL{bGh+kfvnu*Xk#SS4YUgDMk(?U&YghLaPKys#xrU;X&H#dU|FpA=IIn8*l)KUwW7 zxV_HDbk<%_lHM`J%QJ@Y7o;UmS#fGp0n|^4Ikd-?q;BmKEa|+BigKdS+_{Io85Lbm zZAJe3i&X3d2q&_H6^A)n5WFR`@jAjD-=M7$f6*n9_v}}vj^}oI`{- zB&3~~!?qKlo!@R^HWbgwm}HI-q~mxJotdtCUDRP>nKV3H6|L?Yy3BLZT({-R6x!6Z zwBKYolgt-YD0lGY@>Q^LKOtCZ14$R1yahMt$-V8L=>u2@>JMbf+V7pzi2(bxh;W|oLX&OlhPP5Ks^-;fDhATB{dE`*(y1#u3FmX)VV5S^Duf`%I5W9PEbMc z*jvbMrqL$8Vg>4EzBc(B2Pd%av1Y$3CceACypsDXvKyzw@Lh{AVrGV!xs6&mjNr|= zYDBu594zPDW0>Ty0G~i^bFw}gT)LV$cs;vy{%dI^lz2~2)EUXEV#J6!w>NR=cJAmN z-=8XQ(1Ck>Ac{vNmmW%7N^^!cVa*{CMr_OA)5Lu``bB`UKD!g|%J+~~-ToMruH3~w z?LSYB-RnLQ5TvZH3Zj@YXg!CUAzEnT5(o{FHo49Mb&9S8i@c2#NWky*v&F!_S`&`d z$CGxf%H%c?S5DQ}NeR;&fWc!cgPOfCE0j3OtF>C(7Qtip_FL&dYeGe}w_VavrjP)g z`k9$9KFu%xQatPgyC0)lAr_NvzZ4y1zkJV_t#O=2(@CBy`E>mSEj|km`u?yL8T^v> zJv=Z;9Rx)7 z?JzsDNhE{!yz8FOZeNeN&9kgrU&?RL`GF}zy`4hN0c~=xw1Xn607uvLX-~#lr)Czn zW&gHl?88GfIIw6Zz^?~$9s-6zN*dO5@nBt>r82^drdfmI{&elN!quir3%9~PjuN-I zD%s80m>9|Kg~ec@tmaI^)BWX^mX>A=Yar0p`atMm2YU22osg`bB2z+%gP`+Jb71TK?8`QDsy;ClurCGrArsJn_Go^!5El%B zn+gL*{Fcu^6M?+rhfl)yJxCvv=8Wjh`G(X_C?|j# z+%89RLqFDqbr`&$aHqDw_Wjh$=t=ZsfcwT9GkO;ZY3w?R3}HeTLiV)__l*71xx*@*eem94fbYB4;QIzcboVAe>df^7@8pz4i)J$=X_21p9odG@6y%OWI+%fG=BOJ_ z=hWFjeD|4Xc%~%~TTyzG<^V@(4n(e_rG!H=0KPok>iW$4##be~^;`YRZ-1tta0#~W zW&mM`_$`!?Em(s~H6o6^?fE$xUALMFlzP&i1ELN_ARs|u-gtJ_|B;|*L4MsE*|5hv z%i$Bg`DqM=eu)))mP2M|T25~CMSigjd`1`DF~J}CeHRr-&0qaGyRpR3`$v|8TQcOj zA@e<=n|+c?xwkX9y018a{0|Tw1!uy)@cu7qi%Xj6rg^Gd(;zn{Rh+yj@zXU$KotG& zO0VzCp_G2xxWI|vR6DJZ*EqK^Z>+9vsh}JS|F6aVAHIwKy2ZYx=7m|lc?s~~fPc^L zRA{nZLNl5t^VYQrslXyuDvx#(tjr?|G~Yo%ON)y~wBlsK zl?I%@tN2rUG?wQQPe4<^L2T8ksD@PP>bBh8goudO?jZcqKOdnj^XoC5s(X9QWR(On z+}Y6)n~b|462~&X8n9$sz5srd0?P)<1Mtswg7?0{p0}`2H~RUT-Mx3TUL)4ufL~>O z_HPc7fA^AT{EPPgNa7rn@#n8QmKe8z5>aU+aJ(kUWxm)EXwPf~2Yh8BZeWxx z=3lrGrg3}iHqm~6_Fpo9FloRHWIz9~TS<#1Z8u-L2E~e`17BfY?O!yK$M^o@4gd$6 z7UMs=EOV$_PxQOO=z=z^`ni6aG42WY|Gpk-G(Yi!$4l{0_MX3PvjDLtsPGZ+^cS_>i{gyFbye>JgZi9R5t~w-p4q ziUl>G3}gyF^#lw@9;QThef2Rs7G+Qur2xJLdF-wxns1AL#xS`gcJ`Z^0+03@7~o=b zx2y8R)SMc!?%@7&GZgZlT@C7MYHZg>e0$uYPrW2z`GBF}AdnDnNKyx}qN;`{-;wff zXUKp2U$r}mEi-bjI5#&pC+8VEdvQ?_Aa7NKMTmRz^p&FhxqczA{{EVf{@WhFQhd>& zN4`?Nf`YTo$J>)-K&863d26Ed5^&9D%O}!iQ=bNo!TTMFQ1jtc3fhfruZob6mM3!a z8yodN0`u!v%3(!CU0q#AhZoR+>LU{kaG52!X{f1_;yNpA-<^}|{TXjy!8jufgiF;_ zNIe6*dPGv4z_|VkS8dI^2HktK9mpRSS4hC|M+Y+T5tEYI11z;Kr)MM$-;h66CLdH% z0&^_>jOA3V9&)X6?%^v(&+w*r)Nlvo5Yh+M3s*Pu*YckM)6_@yC;w!C`bYbXWB@ME zF}{!~OynI*espj!%xy|>r(={5fk4>0OS!l-40~s<1!`1RsEHSn2H z*gI{_y8v5^8WUk<_~clPd~v@o?%8Q4&SAZdChoZe3F|tQF1dik{5HM+a$Mo0f^K$J zV_1{0)xdI=r^O6HQ(M8Euc*B8^C(|pQ`rkM_)22#GS1nCTX9s7w%f*~-)A&&S|ET> z2m^2Ne_}0FVs`jX-omkFH@7(91tTg0qDPP3bH~fkhYy-$%odBMry9GBjE$+QsSR!t zPyp@Z#2rOC`~(Y&6p@~bq@;PJ4m-1e-($+Ds!>7o@z>_~eXC=E!-dUpKu|GXk>M1A zFJ+d4T{-&O;G324OP+JAeZnD;tPV)-DfD9ZzE!m(HZTFSd@5p!W;0Xk zp)`JzW>~i9q&0h86-De;FT~(sH7Vm2Yw>H$cB(Of(D2DBKXu(vEKO0Fy1{ps9`oy3 zz0PLvZ#hi@*Ezw=0eBrbg1mF&S)9!~?*ZGCwcxF1n=avLPlfj~h5`Vq)@c=UFIi$F7E; zS}Y6e{%4OiHQ&^6vN%%dhGXC$&U&ns#)G}t6ym_yJQmxttc!?YJ(sK5uXhicP6jJe zl&tcYXOBkHu7a++K$voN7{sFvRpM_tV=Ghq&V-w;L}q6@7u9C0@)S)_x8mLGxxY4h z_K+mrx*>5u$5dHG?}i4;o04BMZmxv*DzAX^I7Cf55bV*HVM^n#cbto_=Rbb@`8_4k zf3-ik*$no<{%&BF6fbOTwDj_Nan@Tew*KQx3jyhj8s{)|B9RLtYJt0<`xJlxXxDsf z86VHK`_$4T6U+_-T+W%hi_btwFuTAwap^Z!w?RV*_+{-WlOpk|raOTy*1HqA=3_4P z3tK!?J7YF`9S2bV+EPA?<;_pU?0ThF^=gafcFA?tdg)mmHk}SM&X=o;iz{x|i&+(A zbqD-Ww$8ssnZ^Cz#&ciJdP4&puM)+mV9hJ(`ZGIJM|}!heEaNEC3?{USC0KWLKi0o z{ZY-&?I+N#2YUr_3!G#X#xQ;=^CG@~usjQSIg=Z)uBoej)Zy_5M|n!bJD(8x@V zIaG~SQdxC9M>_1tCy$)Y8`~$drHJV+8e#L72p|4iMwM2`;Bgeh?K!7q*C z5fxLNu-oH8L(U_fE|tP?MV0I^u2I5O?Y*GWg-n$Fs+Gtt9woscTg7wQA7x)!Epv21N(cM)^i-@Q*l0noSJ!OReU)m@ zCbuv3bl)1mVaUjoFK$jIaHssWnuY44d1`|4g5!lZ7AS^qVL0W%5gsH-W}!PtC}Y;4Ii-LR4jq>6E1v-Tgxl-qo^(waCHs*PsQKu<#_XPvzmoCuabxdb#JNX5A#A>uLNqBZVcLXSbB6^4R(&nEF+0aP zsS9x|h~+dJQy_&4hCGxyJkQgZtHvbrpQD&Qum1+_5?Kpa@$(QBsFSVH&g|iDt_0*# zmlubKyK@+WSHt%RU=~*w^LW?9aWW0c0)nTV!;9hF*y6IAlcfSYF8qMe7}Z#F6G{at zG@5OztE*eiRk89j=d)tEZxehHu%>>-oL_r zA)R*S{h7#YRu2(ZYOnlgK_+2?`x7vMq=Zmy^NMid9hXIgM+2_KESb#?D0u`_1Qr#Wk_Ufi+kPlfx)|SZ{LZk!?~-0HlD(1OL^>Mc3roO&WIT6 z4H|hC^wG3W!9i#5f+q{+F0RUhgY?t~U4(q?;TQzxKQ*^q0B=Elc>&x#B_?t`z6ttvwwAN-k$i}!|Q!|k`y`jNnwU5HM-%E>%%X&*h zl;vVfp8Pqo%{*CNx!$NfCA8Rr-aJKPwJ?dVV4R@8;cRw@mt78lTuMReegcy}o!#h> zpsI10D)2loY62O&zxGZdu_C;kC0@*)?G|>G&p8_WXuA|37l)j#Njs zlFOj0wK&6pzu3Kbb?ibFCJI+&aKbw|pA(mJak^~!+(GZmGA`1J(yksnkpIt9$bU^I zp$6vD2$)k`T>K2!k|bhdrBgp1;c?K$+&^&GnwIJZnSS_?Azr28duaQ=Q{^;LuG@XBUh-9kpW_mQXS@Kl!HHyv-bEW%+{3eCi(RF3}h z-PA)r@kV*$0WL8wa?NVjOL~Xqg3W}b?4UR=?h~P73=E+l+Nik z`Gs@l14hYvlO?W=4kv~i2aw;&z$J$0w7WU^1o2ZrN@@!W7TnxTv0!#t} ztbgA~{u6mZTtW)l>{EC>kX_F;I**68d54FEsWL?#HsC{ z&PYD39y8^>Pb%^WnW@`sIa5bS1-lsZ?y0t%kv`4?n^um=eehRuvy;Y22Vb;(7@B+< zH#aaeO*rOR@TKpSDECipD+nQrh82FPh3J`x=+jn7IGEj&VSMJ`SBd{!M+D7l}tfDgkMi zE%D9v^c2rPIW2N+RC)RN3WuHh8H9O2JXsC5!E(zVCLxj0k0jnsZU&w8%E|Q#F0C|U zB%{D2U*Ji9T}E{Pd=m?N6o^M`2m}zI{+a%R%|={Ibldln07tW%0Ihf@Kud{N*@#vZ zmy?sTw8RbUl$4bP9uhkvV`{a@<<^wrIH_FRw`3ubeaGW33ddWshTj_c@s`H5DT?*s z7KM`qI1?rB$A)I)jE3cWV&KS_QazL&@GAm1EMvzi@W*`=?O^-vKRKiCqo&Gl@&lId z;5@(s!k1xkxBM&BbNV}So9fe)>vl>cSky~bMXy+SbYzTYsF3dG43oxjPHC#}%zz`3 ziwX5|*DRtE;SZUATN;t0^%5aNj@U*=sUJ}pJlFijM z0(5{ERmy*tkplo=ZVB8Yzm3`h*ggR!<4vGKUe7h@3 zfI_QL03OGGAt?R&x(5YtW8*Xj=i{O%N)Kue4MC-Rw;p_a@(QmIz*=bD`x6S9n_E7b z;{$ToCjc1phr;wf2!8-hCKccPD!3WImTqotGNanUfqzAGubL?l(Mzlc#J{D#zYAPK zU5s=UU;-PJPx{>bEf#&Ie#a5JHckU*kCK4)$QGEn;aQ+nZ3&P-$DNPuweSFl3B&FG zFaiHD6;ri+LqkGR#y6}cuSb2@Z==4W*n_6qyq0@Rjh0;w(p~lOE233%7`sZe!?d@$aMXAsGhp~S!FaJ@21N@1b zMDI9Jf3J84_4j`t4s&^ae2heHjpPo?-MWv7nN~})#%5Y)9Jk#(U;+k;ZX8te4*8u8l6uKE|WJ7LF9Rbu~ z7Mu}OynAxv=4pH2m48F}TWx-IbGu5$|56d9_N{N~s9CN>KZ_Y6Z<|nslmZnUZmZ{$jDe&TpT?MG5Bq*_?(97|6m2? z^xtN^I6txlwa)p%tle4}i4m2WC~1c*QZ)9Lq86EO2!J5y$rV z%_1d$(V9yk^c7yDBPAvM@os5lc^I1*zzTI4WMmo-BqZCrgCsQ`Wr#UK?`FPV4q5oo zFYE6srT$jJAV&uCpeJvmh$80GR(=%Ba9op4TrKPPR`aHrI%I(UNIrFWSmWAak-P7! z=Kg*Ey1FOLDdL5pJO+?aI%=J5UG{$ypKj)HIJ&19O$^pxn2}M| zRqE<<|0EDE3?CTQFm*EuC^&k3e;m^*zD~4;ggTvg6!3!smt;tDW#&?f z(lHWEie^8Fpixx>R#X)=g<8HYwUmgTl)~QN#xaXCAE^r@pDjZ>EY1?1c}IaaB#E$F z7Z2PTdkjDGLMMHeW}0$LhN!f|_RUI7YAQ%=A)O*0Y``1qlC_AhoPKNoix(6@j3rvS z_CR}N4^{!0xla5{88d@lucEg;YY?1Q$X*#Z22fk?o!{XL)(+KYpy4XCIHO+r^FeJm9$=rpps=Q*#G` zBa=Mzv~xL%r1$LWh|jC*;ZJ)+?w2kA+D?SMeUw|X{^I>T%wfod%gY9sOR$%2!$&6C zO_wkLo*XR!2{9WO%j!rxusc4?>XlDmD^Lxm(+^V0Rw7E;<&O$Uu;p0F3674AcY9|h zOxN{D2WI-CG~~pi#z42|mOcoFUer(ux~3#F)iFAL4zUgzbq9Si zP$(-7E2_k;?@%h|SdMJO`<3H=ZA9R(2Y(JpV=C0uavFjQac7Uj&5eAdqdUtiT zYB9(}EKo5}*T^TQ^-@Cv=k@d_zg%D0h6&OamPMUiar34y3c0p(o=G!G1zbd6VH}g+ zQ;^LsC@N%;MA{3GhpK;gPReAxI34WRZcH>XqDr)!bw)q-Br!cqMe}`5=U_=| z*!oo6dW1iNOORd;^(|}U6Di1}yHtW}L@t8G8D-*Xi4S2bKF05q#f~wQrG1xTtrEQ+O426{r^94@xRKdwl39a(E}}t z3JTINvenf?scO#NjBZF_Pi0jUBvfVBGi-Le8%}&=t0Ji{4_Q-Ea8THIb&s_-B9#&E z6B6KU=ngq46qn@DyX$6i65H)%-xhhQj9|>$%XSGC%huaa$an2IPo@) zQWd zm$yJ$?EZxo1XlBu5Wq`R_>ntq^2`I>(_Lc|YvR~Dfj0*B?_=in4i5buvTp|81G1P`7&rEY9bo`*GlRw?$iFph z0qE?7$l0cbP#hE`50)*1vGe2vFHB zSjUYGI9}!Gy@nnv%Gjb?oP96(1#~#W#DaF_8!4LruP;BTZkW2TKn_ z)aYYz3TG7^s838@o0STyJYHLjPtY(`V>s)W2zT|Kp1%Abby!^;tl;=TT}~~iS?iT> zqc|m8_^D_Z@m(_<3Wc}w>maw}Ho;pUQ(heKq?a7zwQ=-%T%L#kEX+SYLm*XQG$Qk~ z#yf(?ObX&iA*h}#^POKw)GXi# zDI6fPT`x0m)!pMA&FUC>C3IaOLfD%syvVHFk3lIwreNdVEeswvh8Z?B$2&um3Kt~< zZrjn^$0U_b9R)|NW}ntMb-a&8AOSjtJFS7|LVUCAP!i@{kO(z>d}k zEQ#rhVa#rG(;?r0*K_XPUt8l>+jpmS;&lqS*T@!H(O^M|j7-JqgV+RgwTxXPTpFEn z1Etb75b}L2emzxn9Tgo4w>^(~y*Ezo@_KssYa0ww*kQDzVvMtvNu{x;?+xUpO&i!-3mkm+Gf|F)mTEG$Slf1lS@k?{0Wk~a|Qh97X*Kv8 zzWMGle+RFKSdHYjUfvGJ%$I#E>e`+8`SZchC8aID)YSJ7l|ck4DOajewwSjwlzeZ0 zkMH3_LfBb52w@+#{=seh8#!U_1D)_XrlSu&(ed8Z#lhbFgKHxf;u|VrOP(B47 zg97jE9Uoge9AgP4>M0o~!qb`#9p5R6D+L~c38b0&0`T_tO9WTihxyl3U%zFgQ*yN% zsvVxS+}0JGZK5{D+yHaY<+@sS`jf?z=3xe5)Ig!9P-o{vf4^e$jB^k^jbl&;9|> z`)XnkC>|agD@IAf!xM~7C^;r_RiCE`z)dMgNW^ydNCQ@OhD=CD)}e6_(L&1)Y2HQa zYgxe&5lbgEH8m$5`}-Vc_?30S!)3;SIA(Q>9=e07aqo#qN7NP4I87i5=;+B(BgBVt zapHE3bxLP{S!9e(3~h|ysBeAT!j4tZyBa9SjF0CRYH9IQQ<@s|Bn=V`1`T0sm?nip zQG^Rw!ZG+BZ463tT><-~8o3+q=+Ez200)8}d3t)5mX_MKNs1dimP5YPR@PKhl;kve zEz#ND$q*40#pQ51X`5Ar+>1Xyt*8Tj^+(mC#{-Kh<{x%Aw5lU;%*u#J(d1t0gL(2W zF9m`U6d1E&S=TO&@CM5aZCra~R_2U+L#2XQsHq{xAfx)IeLku2?WY8bB?1RYTq@9J zI-Rp|%W(6#cA$dsCFsUZ$1TbVfT9}?xTlj;#m&q}1!crWZVZzD0ZcA&iLrXlYcjr9BCN%&+|X)2a8nH!g(uI=S)Sb8!BP zV|&-=`045MndzPSE;;Hiw6@dV&<)6*Q;^Bx5F1E;ekJ+DLk4TKBQ^Yi^jen3iX~V6 zn=r$kFuJ#m$GYl<8u?p|K>dC*G^jI-MLnM+b@2jEBuRjOb*8+(FLVV4=9E}l1@t`J z;yP;-QkeD2)89zpA{0`1%TX7>Agg6fu zMdCdU&o$lPp6RIpj`x`)R4Om9)qqaKt5}pe0YeW!A*9&>SD8bGG=MO^3m}Y96liN% zyJZvwTJyFTijJ+JgQ7sk$H+=SN-+9VV;_G{;V(e{df41>WyHe18EH9$>HZLlj~wmx zbp|Rb3jTp_lb{H9hml`?7sY`~8VQBqY5HA>S+{)67eM!~!B z0Ya`Yb=qGj>L|I2PA!T$8ucm^1>IH~M^Q&t{z7S05vcacS16+W(H|^x4=N-x-J%9P z0>IlS;jtSu8j0ddo8N!*n=ftmn=c*q8%|Avdid{e{L%EkWwQVG(ZBap|7QjqB#B)- zzHu-Z9gJB~I;e<3l7C5eM>X6V11 zu^R`8Q?gb{jY*06?MtrkNY$F{5babt+w|2{KszwB_B}V zLXjP%6!rhnCYe{)X zWQW8-cONuz2x%&LW3f*ZiICyR0UBhfd**HVCV!=M52cYe`F@p9UyoV4zlnx=5R53T z_(nrk#c*AT-?n8RnwY{)>d>-|_1!@6%Mn>XR^EDCRTVdPjWT`hgL`+-(&OiLf?+?s z#uqDM?dqtb@nIFetTihy`Qm#7>I}rM>B>cRcJ5&%LNC93regN~xrXtT2((TR<~p^BI1Wz6gG z0qWWPLh~k=N1OD|hLzkC3Gd%O@7-?mxW#)XKb7vvUx&hoe}>2KD~;H8TJ;Bz{qpk4 z@muXh?YoHqxed>&o3s?3y-vCd0gyZ&QKXfdg)nwEYhPi1ry=R23S9Kwr~2NyvTx{n z1_vfy`x^v=5@%4H#rXmC{9^B z9Mk()6URNOA;yK+i-R~_Vps;aySMY4PeIc5ncF<)t<-TU_}C{6K`VZnto%dhKO^>s zf88-<#fmd{YSoRSWy;Qf*WFCfPz>_M#IIxR;UgNLOX9h2OGkoo+rY`M>De2L2LrzbYz8!75)im^PuTl$ z-1`Z>RY#2ITqLGrKBQf%jAGYw{R!&yIX9%Rc`q3dGbm>BgjO6J0m(=6eMnaQEck1? z556k)=m=^(Y2SS);dYN!{IDQPQ|0$M3CBwY4kn^XTJajt#@EC#XiX(rbQmfis8hK= z)9tZ!+JjHSNC*E#`UyW`@mxDAA%hiT{rp*cHU`>EFYhFv{l~_>y}NZuUq%|ScMOgu zQg;wnmq&Nx)1%UXgXT2A(GGkAzJ@FQ{&&VoPUl^{MX?!0WHD;QUQBq3zE*V^fqtb zdVYt*YC{I@-)ShSYYjL|i&FeCuA}vUDEW=r_|WL2no3-=owKz67%8@6d{3FO^@gOi zq$t-#R6|BP8du`gN5wgn#)BS;Nen+ScpaY>sXfE?gv#{N9)<-CfSoiD0LzJey5BWw zSEw^nh`|xgiDj0kd!2fhu|Y7pk;kHEEMn$7ANWiDDXinnj_hR;sqzVop|Ayex*ax_ zV#|#ozD;-M;e{jeoVE4!f?_slS=k-%3lmu}D;p_oZ4oIsn1r#hnyG2b6b8CRk6W?9_+l~!a z)MhV>+5MW{w^DFCZX6GHVbVz9?X=YA0=(H%WV@9SJ2E}_8noiV`$ZR1Y*G+gjh%@{ za~As^U$0(0d%yW?u8dR8961qaF%(DqIC~Bh5s9xilog#-e5Y+YHL9j2f)WjqnIVKm1Y$Q_Qyx$A6ZQ(GBY-1D3 z+SkhJHqZKWw3=n5(@CCG3|22~@vFcwOm#cg-b&Gc!1WFp6&uTmv!CabOZYQ0a)o{k zZOKoo6@#RQwJ)~2H+A3(_@+aCkDkFCTKf{6Wi(kjwnq{f1-Ps6gZC-kLJoPSU4zpr z*WW3NK&0(;tNYfFXA*Q?vl}2I23jz7z)z`EC)&pr1|rjxjd1=EmBf-jOiEJc`2!5+ zJR6l`Lf|+R3qr#OF;X`kI{Mq;lGwGHFqo*8mNVFaA(fXN$V&eo0N>u6m4*f+38}%38#&opwrHHXYWgu&OhI18tCNl?%E$5)6b46f zn6= z`@^lqsHY&WC9TjGiSAp-`o-#1^~%ul-C7GzXMB6ZXt>T0G+Ex?RZm*mQqJMY+D=jL z9A$KF*U}<(aER7QbW}rCN;)hZx}0ArJ1tLP)4VLoNwc*LryR>{TA%E5Ip?P zaCvbKQtW@M@7MR9B0e!<X{o$TF6p71)qQ-f-&wsI9d6#?fqSp|C{Lx zr7Rn0DGq2!8|A7*Pe({nx*vrv1N!Fr`Z}q>^Jlkliik~E;rpW+_q%c#yBI&+m&!#r z=kcFqGW-ZTxd8AUJgmHemgCz20U7P>FwfBypMl*sw!Db@@P$RrAGQ*7^~DJ`$Z-U?mqG0#0Y7yr_1i>j~RET4V4TZ!+U;n6mqlb;XlHwF>tT^i4r+%fmTfbetW=hwZm^0*q_i=NFv zVfmbx4<46q@=`+VF3u>RIa}{%CaE9Qz`9aVwox$K)?@x8go|_HBFIb!cv#_^`1%}q!59p~ zcgg*}YrX4R&sy7)=lk*fSnsy^G1s=?yym*j^Ei)lKlWqa6QABJ9#aT4jCx~j{c;$E z9{NlWJH=zptzin?HXgj_@8{$JEeD&(^YZg0VK6~?YioH!#b3Wl%>z?i`BAJJ*(y4^ zfc|;9xVX>bvUC!Ewlj#iU{2OSoXgGK=niPtj5Q9`p0hR3ToKI=_QH8O$sPzB)#>-o$vZEZBn@qVFxQ+rd<o#m8Iwde9MN^J`@u%$-bP;61z>p zsHg^hu6cFbzt<{9g9Vs)wy7nNyB_Xb0OF+=-pF2;- z#!4L$11k^sgT z{o^n-lbRmW7DXH;A1bpjXD%ay=j`6*{w1a~WXtaj_&);9d=#Mk%y!$Y~ zu+zoPPG3u_GN4Ox3D8)~;d)3HNPsIIcWhlLasZ+Vw;XN(8Kn=zDpNT(teKV+_dec~| zyw(4$I+2;tL9(}eO#6!(NMn}0G0jcWpSA_UrHG84y(4J%ydSS44&wIp%h^AFkSdRW zzV-NTww1$ANxVV0iMQu6(qt{7>RCBBVw*oJ-zShI6;~=(vhEv50=SSzTPDkQt#7^Y zHU>EEYuqMfNcEvUigg8 z-cj)8t1kVF+u5<2L?MI-h$OF8PHBs=WZF1`RyN*6$e5IQ5MKBJ$Q!(B{_u<~G84;) zC%YxT?t1bZWKn%C+t8x+-snZpmy}GuH*R#9#*&M0YnMK2{{wN9j~h|64WN8z#eDrX zupr<3F|s(bo(|wX;__YUU9PfS>Vr!l=Jp(JS%RP?un%9Oc5=lu%UtE#J!77=h@ybz z{9HbEaQ5V)Xxv5YY6eZqc9myEl{I0Nd<4>6n1G{FMaHB1^`K@W$I%sJf21N?TBRp2xSJ z4~V8qs>b`TQ7K91ZynZQ>Q@gDyBoAFz3a%TiU~nG!o2y2j>4V~m~n^tJI8(|)Ii+h zmign^)^`noQQb^5&*XFZfMK!W_HWgN;cFx95Lrf_(!SJuRT&HFno)^yFD`(&E z93!{vV7A)pRqmVB&+)AF)mI`R@ucnI=;E5BNROMHwaZc`cgWAQhP!Ck>KQq!dtlOr zJLnJ-pK2}SY|bPfY;2c6K7FdG|7q;p-_&^Dep+o(^J8j!jMicf!$SupEhAaep1r`~ zQ)FbMQWs)q@YL4;yO~^#>`@-*7JZ@NWIPekr^^gf2Kp1RsH@`D-DiKiL8x9%D1NB2TbwgHho20^N0#fT(nVA1C1XynoD`Rn(;>m0N^F0_-P<{_ofDQ( z?{gVZA;wDxj$ZrZMMIR|$s1S6nAspBmzKrte>mSmS4dBQ+2A<2QIa$pHaDfU=j2sd z>1Ah7=z%&9uCrSr(eMp(yQmYN5wQBmKXOhv?Y3(nT9r>YDIT2HOY=P#$lhN`{_$Rk zJ_CR|K7sEq1!CJWa=EPwZ}|_YC`{sjS2md}ELIh9>R<})T9vJfQF*#u)c0vRQVc~O z`zi->F*Ee7etKaFdbz@}6?)vkl1IZ@G^|e(r!rU?T=JoD|Ewp4PH*wMetJT*;rtTA z_5*u~bp7iLJyC_jSI7LCoMEG+|y z^@ZUgkDYbg*P{lCdS{c=vMFO6q_z)dj^=C>6sYbn1rdN2q>R`8IC>}J0>Fv^r;dsW zEyn~KaYY6LB}c^*S%rdwXLNyUF+Vwj>HOHO8S=ib*f7M8dQqCt(U=#h+LH+j=R z^%aINtl_D!ZVu05{mR#+a=6hV4oW~_EPivE%6@t`qr%zLl>8Ql8e z7!N7aF0Xyso0c(uT+R;p#zYcWsE$SqS;tk1Tj)yldW{S6jgJjg%hoLy0-4q|!X%}v zkJp`+zE{2;@pA_JppaXes`7!?aE_Vw^PM^o_B`A8T*uS(D(6=W~uJFkh zt9*LOi3BHJHT(4S^}Te;RXz=Hw6pLtmG<#jdu=d{&O@ql6(Aon48dSE7H9oC=WmwY zoHzRNR$&<<#@n3qFvL4b0|Q){tHQCdF?OQC=Pj?A8vSPCX=}Z4IOYNa5V=C^%n}UZ zh^X=AAArpN6Pu0PZUzTA$E~WiwJr>`x$zbe)1$TV@US+Jwd!pAw5WrK!ZQz9KaH&m ztnf3uP##T^Sf1NKG-(+Ny0Oio*)<<$Z#Lec+8WY!ejp*?3^y_{8EO%ybUT=%gAZEJ zPNv6vN~t?GR!~qKpEoVzGm&vOg zsmaW3H80n^kLaA67She_b@fbl8ljgnJc3V3cUXE;rxwg^mA$LJR&+VL;iS5#jWNN)W zx6a`qQfggNGe;i>?_No$zJ<59y;-uZ-m}=ecQuvb_PdQ0^6v~%(KQur&Ch*mKNJQD zgonrfid$TI=b#jX`ABN0R>)jdrW5aziOq zzsT)d-LZ@APj~oMNXZwG(mXS)Hz8zPp#a)!;?y=%(v0nHNjuCem%|4D9hyIW*7ew{ za~}`$=%d&W8k|1%&Ap+GV1gX3F3Uj@O1^hF<>jFy`xp$sf_a=fAM9Ac^HfQxSE?@7 zT3y}Q+1X~QOIuGd`^kqD8NYxe7*MI-6e!YAkC56nR;<30c?2d_QH@T^Q=pG2d7m=g z^;&~=)!jx&R??eE>pem4`_cS@Cjq%eD}0Q1eD~&3HOJ|0DIIF$+|K4-dB*W@Otxu= zE=llVDwztcdfG2VguE4hosPt{O>aGwz_jSX$h1H**NP`v?`bH#BNZEDf|U?=@k_*5 zc3W_-Kj8is7W-dW(V*w)J2weGZ|vzLk2jkyu#C<#0_*d zHh$IiPP>SoN+pNuQwzz}&sO>$a zAs?Q!=~EfDDv>DASLe_JR{1bisdhD$EB>7<0lhOSSkmE|&rz_oj5?_t;T?{-FNuHw9Ahzy8M! zZRt9->rp@2JXHUwFDmkltBmO45rgy}(tBpQg8|;%kN&cWZT{pw4i1j7#|yT$w$n2+ z_5#$6*;65UyH*x_RLRN7TJy?ATSL*0~fKy08K|xIr z_rKNRyR%Ga*TdW;p z2(b17EIRK}k}%?057A!_xhGy!F#fjf)8nsfW5I2oNJ`kWw6Cfm;9O$Q!jBB#JjEv% z%->`}8bGE#7c2^pHp>rP6YZ^VLRyYVTYw?~@t+ho>M;QKHhfA~JE4u0ojtob2P$NG zlM(z@fcw5en3IsQ8OMgDC}|aGSliv>UXe9`W(dv>U6Yg#vu17A#v@@Aa8RcMiZ#ri zL4^fZjK}wjj1d=r4#kG9N@d>PoXS|hBj{>+ePEKcv|MW?hMXTaU#2>6fF&UB+U`z& z8+{0V)AHcRm)>V1Gn`s*n1^i{m|7jr;Wz`5{_+HwQCCc1;5R$t|4UL4FB=f6I(D8E zNt+HXjE@Wt)4|X8-M7cZ_uEJvo3R3Oitfq*w?h~zUKaqr;X?~-hc2R-NK(Kniom(~ zi&+Fg$UWXVYofPp?}Id6x<3G$E|agSM}gGee8^0?Vmya|B$hUaU$?za8+&f|H_h_* zn7GGf6D%CL^Bb!S38o;m-<(cBLflO0Z?g11 zV8P-K5-1TM2B3l6H?BeEtOEs_x$011;$|%tA~GVy1x@eWol;)pDq;4P^J#8n z6n{o(^NkC;eI%?*+nvr*LA}$&D%SBN0fee{rwZKP$c~h7KS58LMK&Id>syxV?t=^f zt%^4f!{si>3BNJ=C+%3N&?`OwyRd3~M(Er(V+MG~U3>$P}b#7J3=Q1pJ9Y~uiD5#Io z{7_hr)ucy%OM?uQO;DpnB)m4tD&Y#WM8R2+JBMc&(a_~s^hTPB3Ot=z`D&R`~YP`e<`n`vQPr9hC-v^!@7hfjZ9z^=MOb>ArJb?0;Y zhuk};PisUcBuJbjpnfPPeJf*UD=v}S4llre_vUq5!ngtYm)yMM8efGsd&$s;NwZ&Ce?_%fPaW@-o!TYMzFt&gJ?yKGU%1R`r679yy>S)x z<1#X|%)MO6REUqV+Ms-^`0Yv{jxORHy_25iDi_JomLKvo3!t9=i}cah7v7dHOqpKR zShV*cyyZyKj0ce}!{JY6T@Ii(HvJvy=Qhz^vz!St^aS39M9Fh|`}9dm_YxzPTqo^4 zf_Zt$jmHPb*b7$BGH6>sV$Zq#!3+5y; z2XLik%urB$nPXE5QyWY_A0}jhoz?U!a*@R`%en-+Pa?BOokm1M2)d(8eP@T2xT(c= z_V!8&3$yUavR7_mw@gFY%}YM33{_$>$LrGNwX;29Wikfl#>xxDd~AumnAY z$+6;PUBP|zdKX2Nw|eh+EJ7sC@AeIs&b%Z_7@favG*N%dA-+CeP3wS&jmYvKx!q0l z!7~1>^tFW0hm&*BXht}lMs{>k{OCCiC-ecghu_wNss6RMfFVJ>x_ko z{hzfu;%Df5=z{OuaQRw#(|J(acL6=&*JbMIomBW>v3{|a zf8mblqptRMn;4^bk_KNos3e{suK8XyZxv9!bb`FxK8{HD-D@wZx_|U8Fot0tS9*L+ zoV}!hv%a1DcF^^pB>rBmlbI6RB-wiv74y}WWAQxp_Orb@1}HB?#k}0$wC+K3wSmC; zmuE_xwLYz|G;w(U*s1{ZK%cl@{K9wP;$q*5Ll=D>FRky0Ika%2MA5A`3A93)q9ajtszEqbl;Awd@YXlpd z)A5>f_w=hhomc8d(d76x_SndJ%tM-)z)qd?6eP zJzK>=ve2Kb)QYNN_C1@=mSYp1)c?%h5wLI1>s_BWa&X4ON>$e$Hm>jK*O|A(JhMu7 z-`|CqR}TA7E|wl1UN*Y!(juD9rUMhWbp56q-VFrAY@y!8oyzcK$>^X?adhT1hPLxO zmNwZ9YEQmHU1p>gOQAZ>+lUesJ21H)YP52qS&S@Cot#0&tY3U09RlCi?bR*`*lX|X zhis1%MOuyA@l5sEf%~lov!s-x8k&(M^o27GzaU)RzT3e?2)!n+3w)~u%*?PVZ)NP# zz$Ks6=@wOS@_dquu%>7tWk`$9hbV^QhV+!!c`#a)?`3L1JdhV*%OZq%m}Gx!WC`CT zVWd+0ItJt@;relMQl?Mw9|zg~?r||ad7llrNy6x(tyx@f)p#b;?em$tl@Y7~tKB`j zbUpV@FmM@qMq%W&NQnQjZZTtf&X?1`t@RFC?N?|pqTy$nr(x#e>mC&rz!ZJZeqq^3Znql1Kq+vpe%VIVaRA|Mq9!mkan0l80 zk2adrfE`UYQk**t8QN=KIt|wD7Zk0R)VM;8RAgi}>yqOQQT2kfEhhFyRk6)S$n}Lw zwegneyciU}Qh>{5V7ew|dO`1~lRdzBzm>kaqKYu-Wp=2nhTcro8p@mN(Q{3a@%SV3 z*GtTkDUg727ESH*Bs>GB9d4m+z)JsnfIe}-(_$q{BBr zoQA(M6!5SJ0@4u1O-udn7+rSogc3K zs9hZuy73f)mmAJu$dOBIAaGeSp?Ybb2g>Z^mqlwOfjSR|PE^2x1_9AS*6kyN8@!(!N-UTW_izWkjo(34$ zrT?tv<)k`n=F`z8=_T?k-+`U_7GCZ%q1