#----------------------------------------------------------- # Vivado v2021.2 (64-bit) # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021 # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021 # Start of session at: Mon May 2 13:46:02 2022 # Process ID: 11748 # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent6660 C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\StreckeSim_counter_working\StreckeSim\Coraz7_Test.xpr # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/vivado.log # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim\vivado.jou # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB #----------------------------------------------------------- start_gui open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr update_compile_order -fileset sources_1 reset_run synth_1 launch_runs synth_1 -jobs 6