You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

gen_run.xml 5.7KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <GenRun Id="synth_1" LaunchPart="xc7z010clg400-1" LaunchTime="1652439174" LaunchIncrCheckpoint="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp">
  3. <File Type="PA-TCL" Name="regler.tcl"/>
  4. <File Type="RDS-PROPCONSTRS" Name="regler_drc_synth.rpt"/>
  5. <File Type="REPORTS-TCL" Name="regler_reports.tcl"/>
  6. <File Type="RDS-RDS" Name="regler.vds"/>
  7. <File Type="RDS-UTIL" Name="regler_utilization_synth.rpt"/>
  8. <File Type="RDS-UTIL-PB" Name="regler_utilization_synth.pb"/>
  9. <File Type="RDS-DCP" Name="regler.dcp"/>
  10. <File Type="VDS-TIMINGSUMMARY" Name="regler_timing_summary_synth.rpt"/>
  11. <File Type="VDS-TIMING-PB" Name="regler_timing_summary_synth.pb"/>
  12. <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
  13. <Filter Type="Srcs"/>
  14. <File Path="$PSRCDIR/sources_1/new/pwm_test.vhd">
  15. <FileInfo>
  16. <Attr Name="UsedIn" Val="synthesis"/>
  17. <Attr Name="UsedIn" Val="simulation"/>
  18. </FileInfo>
  19. </File>
  20. <File Path="$PSRCDIR/sources_1/bd/design_1/design_1.bd">
  21. <FileInfo>
  22. <Attr Name="AutoDisabled" Val="1"/>
  23. <Attr Name="UsedIn" Val="synthesis"/>
  24. <Attr Name="UsedIn" Val="implementation"/>
  25. <Attr Name="UsedIn" Val="simulation"/>
  26. </FileInfo>
  27. </File>
  28. <File Path="$PSRCDIR/sources_1/new/pt1.vhd">
  29. <FileInfo>
  30. <Attr Name="AutoDisabled" Val="1"/>
  31. <Attr Name="UsedIn" Val="synthesis"/>
  32. <Attr Name="UsedIn" Val="simulation"/>
  33. </FileInfo>
  34. </File>
  35. <File Path="$PSRCDIR/sources_1/new/wendeTangente.vhd">
  36. <FileInfo>
  37. <Attr Name="AutoDisabled" Val="1"/>
  38. <Attr Name="UsedIn" Val="synthesis"/>
  39. <Attr Name="UsedIn" Val="simulation"/>
  40. </FileInfo>
  41. </File>
  42. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_pkg.vhdl">
  43. <FileInfo SFType="VHDL2008">
  44. <Attr Name="Library" Val="ieee_proposed"/>
  45. <Attr Name="AutoDisabled" Val="1"/>
  46. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_pkg.vhdl"/>
  47. <Attr Name="ImportTime" Val="1652436402"/>
  48. <Attr Name="UsedIn" Val="synthesis"/>
  49. <Attr Name="UsedIn" Val="simulation"/>
  50. </FileInfo>
  51. </File>
  52. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_float_types.vhdl">
  53. <FileInfo SFType="VHDL2008">
  54. <Attr Name="Library" Val="ieee_proposed"/>
  55. <Attr Name="AutoDisabled" Val="1"/>
  56. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_float_types.vhdl"/>
  57. <Attr Name="ImportTime" Val="1652436395"/>
  58. <Attr Name="UsedIn" Val="synthesis"/>
  59. <Attr Name="UsedIn" Val="simulation"/>
  60. </FileInfo>
  61. </File>
  62. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl">
  63. <FileInfo SFType="VHDL2008">
  64. <Attr Name="Library" Val="ieee_proposed"/>
  65. <Attr Name="AutoDisabled" Val="1"/>
  66. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl"/>
  67. <Attr Name="ImportTime" Val="1652436398"/>
  68. <Attr Name="UsedIn" Val="synthesis"/>
  69. <Attr Name="UsedIn" Val="simulation"/>
  70. </FileInfo>
  71. </File>
  72. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl">
  73. <FileInfo SFType="VHDL2008">
  74. <Attr Name="Library" Val="ieee_proposed"/>
  75. <Attr Name="AutoDisabled" Val="1"/>
  76. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl"/>
  77. <Attr Name="ImportTime" Val="1652436400"/>
  78. <Attr Name="UsedIn" Val="synthesis"/>
  79. <Attr Name="UsedIn" Val="simulation"/>
  80. </FileInfo>
  81. </File>
  82. <Config>
  83. <Option Name="DesignMode" Val="RTL"/>
  84. <Option Name="TopModule" Val="regler"/>
  85. <Option Name="TopAutoSet" Val="TRUE"/>
  86. </Config>
  87. </FileSet>
  88. <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  89. <Filter Type="Constrs"/>
  90. <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc">
  91. <FileInfo>
  92. <Attr Name="ImportPath" Val="$PPRDIR/../../New_folder/digilent-xdc-master/Cora-Z7-10-Master.xdc"/>
  93. <Attr Name="ImportTime" Val="1640790689"/>
  94. <Attr Name="UsedIn" Val="synthesis"/>
  95. <Attr Name="UsedIn" Val="implementation"/>
  96. </FileInfo>
  97. </File>
  98. <Config>
  99. <Option Name="ConstrsType" Val="XDC"/>
  100. </Config>
  101. </FileSet>
  102. <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
  103. <Filter Type="Utils"/>
  104. <File Path="$PSRCDIR/utils_1/imports/synth_1/pwm_test.dcp">
  105. <FileInfo>
  106. <Attr Name="UsedIn" Val="synthesis"/>
  107. <Attr Name="UsedIn" Val="implementation"/>
  108. <Attr Name="UsedInSteps" Val="synth_1"/>
  109. <Attr Name="AutoDcp" Val="1"/>
  110. </FileInfo>
  111. </File>
  112. <File Path="$PSRCDIR/utils_1/imports/synth_1/regler.dcp">
  113. <FileInfo>
  114. <Attr Name="UsedIn" Val="synthesis"/>
  115. <Attr Name="UsedIn" Val="implementation"/>
  116. <Attr Name="UsedInSteps" Val="synth_1"/>
  117. <Attr Name="AutoDcp" Val="1"/>
  118. </FileInfo>
  119. </File>
  120. <File Path="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp">
  121. <FileInfo>
  122. <Attr Name="UsedIn" Val="synthesis"/>
  123. <Attr Name="UsedIn" Val="implementation"/>
  124. <Attr Name="UsedInSteps" Val="synth_1"/>
  125. <Attr Name="AutoDcp" Val="1"/>
  126. </FileInfo>
  127. </File>
  128. <Config>
  129. <Option Name="TopAutoSet" Val="TRUE"/>
  130. </Config>
  131. </FileSet>
  132. <Strategy Version="1" Minor="2">
  133. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/>
  134. <Step Id="synth_design"/>
  135. </Strategy>
  136. </GenRun>