You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

runme.log 18KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232
  1. *** Running vivado
  2. with args -log regler.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source regler.tcl
  3. ****** Vivado v2021.2 (64-bit)
  4. **** SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  5. **** IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  6. ** Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  7. source regler.tcl -notrace
  8. create_project: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 1260.457 ; gain = 7.594
  9. Command: read_checkpoint -auto_incremental -incremental C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp
  10. INFO: [Vivado 12-5825] Read reference checkpoint from C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp for incremental synthesis
  11. INFO: [Vivado 12-7989] Please ensure there are no constraint changes
  12. Command: synth_design -top regler -part xc7z010clg400-1
  13. Starting synth_design
  14. Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010'
  15. INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010'
  16. INFO: [Device 21-403] Loading part xc7z010clg400-1
  17. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  18. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  19. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes.
  20. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
  21. INFO: [Synth 8-7075] Helper process launched with PID 12584
  22. ---------------------------------------------------------------------------------
  23. Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1260.457 ; gain = 0.000
  24. ---------------------------------------------------------------------------------
  25. INFO: [Synth 8-638] synthesizing module 'regler' [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:43]
  26. WARNING: [Synth 8-6014] Unused sequential element e_k_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:97]
  27. WARNING: [Synth 8-6014] Unused sequential element e_k2_reg was removed. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:98]
  28. INFO: [Synth 8-256] done synthesizing module 'regler' (1#1) [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/sources_1/new/pwm_test.vhd:43]
  29. ---------------------------------------------------------------------------------
  30. Finished RTL Elaboration : Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 1260.457 ; gain = 0.000
  31. ---------------------------------------------------------------------------------
  32. ---------------------------------------------------------------------------------
  33. Start Handling Custom Attributes
  34. ---------------------------------------------------------------------------------
  35. ---------------------------------------------------------------------------------
  36. Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1260.457 ; gain = 0.000
  37. ---------------------------------------------------------------------------------
  38. ---------------------------------------------------------------------------------
  39. Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1260.457 ; gain = 0.000
  40. ---------------------------------------------------------------------------------
  41. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1260.457 ; gain = 0.000
  42. INFO: [Project 1-570] Preparing netlist for logic optimization
  43. Processing XDC Constraints
  44. Initializing timing engine
  45. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  46. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  47. INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/regler_propImpl.xdc].
  48. Resolution: To avoid this warning, move constraints listed in [.Xil/regler_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
  49. Completed Processing XDC Constraints
  50. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1260.457 ; gain = 0.000
  51. INFO: [Project 1-111] Unisim Transformation Summary:
  52. No Unisim elements were transformed.
  53. Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1260.457 ; gain = 0.000
  54. WARNING: [Designutils 20-4072] Reference run did not run incremental synthesis because the design is too small; reverting to default synthesis
  55. INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
  56. ---------------------------------------------------------------------------------
  57. Finished Constraint Validation : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1260.457 ; gain = 0.000
  58. ---------------------------------------------------------------------------------
  59. ---------------------------------------------------------------------------------
  60. Start Loading Part and Timing Information
  61. ---------------------------------------------------------------------------------
  62. Loading part: xc7z010clg400-1
  63. ---------------------------------------------------------------------------------
  64. Finished Loading Part and Timing Information : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1260.457 ; gain = 0.000
  65. ---------------------------------------------------------------------------------
  66. ---------------------------------------------------------------------------------
  67. Start Applying 'set_property' XDC Constraints
  68. ---------------------------------------------------------------------------------
  69. ---------------------------------------------------------------------------------
  70. Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1260.457 ; gain = 0.000
  71. ---------------------------------------------------------------------------------
  72. ---------------------------------------------------------------------------------
  73. Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 1260.457 ; gain = 0.000
  74. ---------------------------------------------------------------------------------
  75. ---------------------------------------------------------------------------------
  76. Start RTL Component Statistics
  77. ---------------------------------------------------------------------------------
  78. Detailed RTL Component Info :
  79. +---Adders :
  80. 3 Input 32 Bit Adders := 2
  81. 2 Input 32 Bit Adders := 1
  82. 2 Input 31 Bit Adders := 1
  83. +---Registers :
  84. 32 Bit Registers := 2
  85. +---Multipliers :
  86. 1x32 Multipliers := 1
  87. +---Muxes :
  88. 2 Input 32 Bit Muxes := 1
  89. 2 Input 31 Bit Muxes := 1
  90. ---------------------------------------------------------------------------------
  91. Finished RTL Component Statistics
  92. ---------------------------------------------------------------------------------
  93. ---------------------------------------------------------------------------------
  94. Start Part Resource Summary
  95. ---------------------------------------------------------------------------------
  96. Part Resources:
  97. DSPs: 80 (col length:40)
  98. BRAMs: 120 (col length: RAMB18 40 RAMB36 20)
  99. ---------------------------------------------------------------------------------
  100. Finished Part Resource Summary
  101. ---------------------------------------------------------------------------------
  102. ---------------------------------------------------------------------------------
  103. Start Cross Boundary and Area Optimization
  104. ---------------------------------------------------------------------------------
  105. WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
  106. ---------------------------------------------------------------------------------
  107. Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:22 . Memory (MB): peak = 1260.457 ; gain = 0.000
  108. ---------------------------------------------------------------------------------
  109. ---------------------------------------------------------------------------------
  110. Start Applying XDC Timing Constraints
  111. ---------------------------------------------------------------------------------
  112. ---------------------------------------------------------------------------------
  113. Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:28 ; elapsed = 00:00:28 . Memory (MB): peak = 1260.457 ; gain = 0.000
  114. ---------------------------------------------------------------------------------
  115. ---------------------------------------------------------------------------------
  116. Start Timing Optimization
  117. ---------------------------------------------------------------------------------
  118. ---------------------------------------------------------------------------------
  119. Finished Timing Optimization : Time (s): cpu = 00:00:28 ; elapsed = 00:00:28 . Memory (MB): peak = 1260.457 ; gain = 0.000
  120. ---------------------------------------------------------------------------------
  121. ---------------------------------------------------------------------------------
  122. Start Technology Mapping
  123. ---------------------------------------------------------------------------------
  124. ---------------------------------------------------------------------------------
  125. Finished Technology Mapping : Time (s): cpu = 00:00:29 ; elapsed = 00:00:29 . Memory (MB): peak = 1260.457 ; gain = 0.000
  126. ---------------------------------------------------------------------------------
  127. ---------------------------------------------------------------------------------
  128. Start IO Insertion
  129. ---------------------------------------------------------------------------------
  130. ---------------------------------------------------------------------------------
  131. Start Flattening Before IO Insertion
  132. ---------------------------------------------------------------------------------
  133. ---------------------------------------------------------------------------------
  134. Finished Flattening Before IO Insertion
  135. ---------------------------------------------------------------------------------
  136. ---------------------------------------------------------------------------------
  137. Start Final Netlist Cleanup
  138. ---------------------------------------------------------------------------------
  139. ---------------------------------------------------------------------------------
  140. Finished Final Netlist Cleanup
  141. ---------------------------------------------------------------------------------
  142. ---------------------------------------------------------------------------------
  143. Finished IO Insertion : Time (s): cpu = 00:00:34 ; elapsed = 00:00:35 . Memory (MB): peak = 1274.391 ; gain = 13.934
  144. ---------------------------------------------------------------------------------
  145. ---------------------------------------------------------------------------------
  146. Start Renaming Generated Instances
  147. ---------------------------------------------------------------------------------
  148. ---------------------------------------------------------------------------------
  149. Finished Renaming Generated Instances : Time (s): cpu = 00:00:34 ; elapsed = 00:00:35 . Memory (MB): peak = 1274.391 ; gain = 13.934
  150. ---------------------------------------------------------------------------------
  151. ---------------------------------------------------------------------------------
  152. Start Rebuilding User Hierarchy
  153. ---------------------------------------------------------------------------------
  154. ---------------------------------------------------------------------------------
  155. Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:34 ; elapsed = 00:00:35 . Memory (MB): peak = 1274.391 ; gain = 13.934
  156. ---------------------------------------------------------------------------------
  157. ---------------------------------------------------------------------------------
  158. Start Renaming Generated Ports
  159. ---------------------------------------------------------------------------------
  160. ---------------------------------------------------------------------------------
  161. Finished Renaming Generated Ports : Time (s): cpu = 00:00:34 ; elapsed = 00:00:35 . Memory (MB): peak = 1274.391 ; gain = 13.934
  162. ---------------------------------------------------------------------------------
  163. ---------------------------------------------------------------------------------
  164. Start Handling Custom Attributes
  165. ---------------------------------------------------------------------------------
  166. ---------------------------------------------------------------------------------
  167. Finished Handling Custom Attributes : Time (s): cpu = 00:00:34 ; elapsed = 00:00:35 . Memory (MB): peak = 1274.391 ; gain = 13.934
  168. ---------------------------------------------------------------------------------
  169. ---------------------------------------------------------------------------------
  170. Start Renaming Generated Nets
  171. ---------------------------------------------------------------------------------
  172. ---------------------------------------------------------------------------------
  173. Finished Renaming Generated Nets : Time (s): cpu = 00:00:34 ; elapsed = 00:00:35 . Memory (MB): peak = 1274.391 ; gain = 13.934
  174. ---------------------------------------------------------------------------------
  175. ---------------------------------------------------------------------------------
  176. Start Writing Synthesis Report
  177. ---------------------------------------------------------------------------------
  178. Report BlackBoxes:
  179. +-+--------------+----------+
  180. | |BlackBox name |Instances |
  181. +-+--------------+----------+
  182. +-+--------------+----------+
  183. Report Cell Usage:
  184. +------+-------+------+
  185. | |Cell |Count |
  186. +------+-------+------+
  187. |1 |BUFG | 1|
  188. |2 |CARRY4 | 104|
  189. |3 |LUT1 | 66|
  190. |4 |LUT2 | 59|
  191. |5 |LUT3 | 182|
  192. |6 |LUT4 | 138|
  193. |7 |LUT5 | 49|
  194. |8 |LUT6 | 186|
  195. |9 |FDRE | 64|
  196. |10 |IBUF | 65|
  197. |11 |OBUF | 32|
  198. +------+-------+------+
  199. ---------------------------------------------------------------------------------
  200. Finished Writing Synthesis Report : Time (s): cpu = 00:00:34 ; elapsed = 00:00:35 . Memory (MB): peak = 1274.391 ; gain = 13.934
  201. ---------------------------------------------------------------------------------
  202. Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
  203. Synthesis Optimization Runtime : Time (s): cpu = 00:00:24 ; elapsed = 00:00:33 . Memory (MB): peak = 1274.391 ; gain = 13.934
  204. Synthesis Optimization Complete : Time (s): cpu = 00:00:35 ; elapsed = 00:00:35 . Memory (MB): peak = 1274.391 ; gain = 13.934
  205. INFO: [Project 1-571] Translating synthesized netlist
  206. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1286.504 ; gain = 0.000
  207. INFO: [Netlist 29-17] Analyzing 104 Unisim elements for replacement
  208. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  209. INFO: [Project 1-570] Preparing netlist for logic optimization
  210. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  211. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1290.160 ; gain = 0.000
  212. INFO: [Project 1-111] Unisim Transformation Summary:
  213. No Unisim elements were transformed.
  214. Synth Design complete, checksum: 235c9ea4
  215. INFO: [Common 17-83] Releasing license: Synthesis
  216. 21 Infos, 5 Warnings, 0 Critical Warnings and 0 Errors encountered.
  217. synth_design completed successfully
  218. synth_design: Time (s): cpu = 00:00:38 ; elapsed = 00:00:40 . Memory (MB): peak = 1290.160 ; gain = 29.703
  219. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/synth_1/regler.dcp' has been generated.
  220. INFO: [runtcl-4] Executing : report_utilization -file regler_utilization_synth.rpt -pb regler_utilization_synth.pb
  221. INFO: [Common 17-206] Exiting Vivado at Fri May 13 12:53:45 2022...