You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

wendeTangente.vhd 1.2KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 13.05.2022 11:46:14
  6. -- Design Name:
  7. -- Module Name: wendeTangente - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool Versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22. library ieee_proposed;
  23. use ieee_proposed.fixed_pkg.all;
  24. -- Uncomment the following library declaration if using
  25. -- arithmetic functions with Signed or Unsigned values
  26. --use IEEE.NUMERIC_STD.ALL;
  27. -- Uncomment the following library declaration if instantiating
  28. -- any Xilinx leaf cells in this code.
  29. --library UNISIM;
  30. --use UNISIM.VComponents.all;
  31. entity wendeTangente is
  32. Port ( a : in sfixed (7 downto -6); -- 14 Bit breit, 6 Nachkommastellen
  33. b : in sfixed (7 downto -6);
  34. c : out sfixed (7 downto -6));
  35. end wendeTangente;
  36. architecture Behavioral of wendeTangente is
  37. --signal a, b, c : sfixed (7 downto -6); -- 14 Bit breit, 6 Nachkommastellen
  38. begin
  39. process(a,b)
  40. begin
  41. c <= a+b;
  42. end process;
  43. end Behavioral;