You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_pid18360.str 8.6KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141
  1. /*
  2. Xilinx Vivado v2021.2 (64-bit) [Major: 2021, Minor: 2]
  3. SW Build: 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. IP Build: 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. Process ID (PID): 18360
  6. License: Customer
  7. Mode: GUI Mode
  8. Current time: Fri May 13 11:28:40 CEST 2022
  9. Time zone: Central European Standard Time (Europe/Berlin)
  10. OS: Windows 10
  11. OS Version: 10.0
  12. OS Architecture: amd64
  13. Available processors (cores): 12
  14. Screen size: 1920x1080
  15. Screen resolution (DPI): 100
  16. Available screens: 2
  17. Default font: family=Dialog,name=Dialog,style=plain,size=12
  18. Scale size: 12
  19. Java version: 11.0.11 64-bit
  20. Java home: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9
  21. Java executable: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9/bin/java.exe
  22. Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.d3d=false, -Dsun.awt.nopixfmt=true, -Dsun.java2d.dpiaware=true, -Dsun.java2d.uiScale.enabled=false, -Xverify:none, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/com.sun.awt=ALL-UNNAMED, -XX:NewSize=60m, -XX:MaxNewSize=60m, -Xms256m, -Xmx3072m, -Xss5m]
  23. Java initial memory (-Xms): 256 MB
  24. Java maximum memory (-Xmx): 3 GB
  25. User name: Felix
  26. User home directory: C:/Users/Felix
  27. User working directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim
  28. User country: DE
  29. User language: de
  30. User locale: de_DE
  31. RDI_BASEROOT: C:/Xilinx/Vivado
  32. HDI_APPROOT: C:/Xilinx/Vivado/2021.2
  33. RDI_DATADIR: C:/Xilinx/Vivado/2021.2/data
  34. RDI_BINDIR: C:/Xilinx/Vivado/2021.2/bin
  35. Vivado preferences file: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/vivado.xml
  36. Vivado preferences directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/
  37. Vivado layouts directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/data/layouts
  38. PlanAhead jar file: C:/Xilinx/Vivado/2021.2/lib/classes/planAhead.jar
  39. Vivado log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log
  40. Vivado journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.jou
  41. Engine tmp dir: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/.Xil/Vivado-18360-DESKTOP-PAACOM8
  42. Xilinx Environment Variables
  43. ----------------------------
  44. TWINCATSDK: C:\TwinCAT\3.1\SDK\
  45. XILINX: C:/Xilinx/Vivado/2021.2/ids_lite/ISE
  46. XILINX_DSP: C:/Xilinx/Vivado/2021.2/ids_lite/ISE
  47. XILINX_HLS: C:/Xilinx/Vitis_HLS/2021.2
  48. XILINX_PLANAHEAD: C:/Xilinx/Vivado/2021.2
  49. XILINX_VIVADO: C:/Xilinx/Vivado/2021.2
  50. XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2021.2
  51. GUI allocated memory: 256 MB
  52. GUI max memory: 3,072 MB
  53. Engine allocated memory: 1,262 MB
  54. Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  55. */
  56. // TclEventType: START_GUI
  57. // WARNING: HEventQueue.dispatchEvent() is taking 1129 ms.
  58. // Tcl Message: start_gui
  59. // TclEventType: PROJECT_OPEN_DIALOG
  60. // Opening Vivado Project: C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Git_Projekte\FPGA_Projekt_Regler\StreckenSim_mitRegler\StreckeSim_counter_working\StreckeSim\Coraz7_Test.xpr. Version: Vivado v2021.2
  61. // TclEventType: DEBUG_PROBE_SET_CHANGE
  62. // TclEventType: FLOW_ADDED
  63. // Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  64. // Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  65. // HMemoryUtils.trashcanNow. Engine heap size: 1,262 MB. GUI used memory: 55 MB. Current time: 5/13/22, 11:28:41 AM CEST
  66. // TclEventType: MSGMGR_MOVEMSG
  67. // TclEventType: FILE_SET_CHANGE
  68. // TclEventType: FILE_SET_NEW
  69. // TclEventType: RUN_COMPLETED
  70. // TclEventType: RUN_STATUS_CHANGE
  71. // TclEventType: RUN_CURRENT
  72. // TclEventType: PROJECT_DASHBOARD_NEW
  73. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  74. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  75. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  76. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  77. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  78. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  79. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  80. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  81. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  82. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  83. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  84. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  85. // TclEventType: PROJECT_NEW
  86. // Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  87. // Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  88. // Tcl Message: Scanning sources... Finished scanning sources
  89. // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified
  90. // TclEventType: PROJECT_NEW
  91. // [GUI Memory]: 78 MB (+79097kb) [00:00:27]
  92. // [Engine Memory]: 1,262 MB (+1171794kb) [00:00:27]
  93. // WARNING: HEventQueue.dispatchEvent() is taking 5264 ms.
  94. // Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  95. // [GUI Memory]: 105 MB (+24789kb) [00:00:32]
  96. // Tcl Message: open_project: Time (s): cpu = 00:00:37 ; elapsed = 00:00:17 . Memory (MB): peak = 1250.551 ; gain = 0.000
  97. // Project name: Coraz7_Test; location: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim; part: xc7z010clg400-1
  98. dismissDialog("Open Project"); // bA
  99. // Tcl Message: update_compile_order -fileset sources_1
  100. // TclEventType: RUN_STATUS_CHANGE
  101. // TclEventType: FILE_SET_CHANGE
  102. // TclEventType: RUN_STATUS_CHANGE
  103. // [GUI Memory]: 111 MB (+540kb) [00:01:34]
  104. // TclEventType: RUN_COMPLETED
  105. // TclEventType: RUN_STATUS_CHANGE
  106. // TclEventType: RUN_STEP_COMPLETED
  107. // Elapsed time: 205 seconds
  108. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  109. // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
  110. selectButton(RDIResource.BaseDialog_OK, "OK"); // a
  111. dismissDialog("Launch Runs"); // f
  112. // TclEventType: RUN_LAUNCH
  113. // TclEventType: RUN_MODIFY
  114. // Tcl Message: launch_runs impl_1 -jobs 6
  115. // Tcl Message: [Fri May 13 11:32:24 2022] Launched impl_1... Run output will be captured here: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.runs/impl_1/runme.log
  116. // TclEventType: RUN_STATUS_CHANGE
  117. // TclEventType: RUN_STEP_COMPLETED
  118. // HMemoryUtils.trashcanNow. Engine heap size: 1,262 MB. GUI used memory: 62 MB. Current time: 5/13/22, 11:32:53 AM CEST
  119. // TclEventType: RUN_FAILED
  120. // TclEventType: RUN_STATUS_CHANGE
  121. // TclEventType: RUN_STEP_COMPLETED
  122. // Elapsed time: 58 seconds
  123. selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a
  124. // 'c' command handler elapsed time: 59 seconds
  125. // HMemoryUtils.trashcanNow. Engine heap size: 1,262 MB. GUI used memory: 62 MB. Current time: 5/13/22, 11:33:24 AM CEST