You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

gen_run.xml 5.5KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <GenRun Id="synth_1" LaunchPart="xc7z010clg400-1" LaunchTime="1652439174" LaunchIncrCheckpoint="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp">
  3. <File Type="PA-TCL" Name="regler.tcl"/>
  4. <File Type="REPORTS-TCL" Name="regler_reports.tcl"/>
  5. <File Type="RDS-RDS" Name="regler.vds"/>
  6. <File Type="RDS-UTIL" Name="regler_utilization_synth.rpt"/>
  7. <File Type="RDS-UTIL-PB" Name="regler_utilization_synth.pb"/>
  8. <File Type="RDS-DCP" Name="regler.dcp"/>
  9. <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
  10. <Filter Type="Srcs"/>
  11. <File Path="$PSRCDIR/sources_1/new/pwm_test.vhd">
  12. <FileInfo>
  13. <Attr Name="UsedIn" Val="synthesis"/>
  14. <Attr Name="UsedIn" Val="simulation"/>
  15. </FileInfo>
  16. </File>
  17. <File Path="$PSRCDIR/sources_1/bd/design_1/design_1.bd">
  18. <FileInfo>
  19. <Attr Name="AutoDisabled" Val="1"/>
  20. <Attr Name="UsedIn" Val="synthesis"/>
  21. <Attr Name="UsedIn" Val="implementation"/>
  22. <Attr Name="UsedIn" Val="simulation"/>
  23. </FileInfo>
  24. </File>
  25. <File Path="$PSRCDIR/sources_1/new/pt1.vhd">
  26. <FileInfo>
  27. <Attr Name="AutoDisabled" Val="1"/>
  28. <Attr Name="UsedIn" Val="synthesis"/>
  29. <Attr Name="UsedIn" Val="simulation"/>
  30. </FileInfo>
  31. </File>
  32. <File Path="$PSRCDIR/sources_1/new/wendeTangente.vhd">
  33. <FileInfo>
  34. <Attr Name="AutoDisabled" Val="1"/>
  35. <Attr Name="UsedIn" Val="synthesis"/>
  36. <Attr Name="UsedIn" Val="simulation"/>
  37. </FileInfo>
  38. </File>
  39. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_pkg.vhdl">
  40. <FileInfo SFType="VHDL2008">
  41. <Attr Name="Library" Val="ieee_proposed"/>
  42. <Attr Name="AutoDisabled" Val="1"/>
  43. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_pkg.vhdl"/>
  44. <Attr Name="ImportTime" Val="1652436402"/>
  45. <Attr Name="UsedIn" Val="synthesis"/>
  46. <Attr Name="UsedIn" Val="simulation"/>
  47. </FileInfo>
  48. </File>
  49. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_float_types.vhdl">
  50. <FileInfo SFType="VHDL2008">
  51. <Attr Name="Library" Val="ieee_proposed"/>
  52. <Attr Name="AutoDisabled" Val="1"/>
  53. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_float_types.vhdl"/>
  54. <Attr Name="ImportTime" Val="1652436395"/>
  55. <Attr Name="UsedIn" Val="synthesis"/>
  56. <Attr Name="UsedIn" Val="simulation"/>
  57. </FileInfo>
  58. </File>
  59. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg.vhdl">
  60. <FileInfo SFType="VHDL2008">
  61. <Attr Name="Library" Val="ieee_proposed"/>
  62. <Attr Name="AutoDisabled" Val="1"/>
  63. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg.vhdl"/>
  64. <Attr Name="ImportTime" Val="1652436398"/>
  65. <Attr Name="UsedIn" Val="synthesis"/>
  66. <Attr Name="UsedIn" Val="simulation"/>
  67. </FileInfo>
  68. </File>
  69. <File Path="$PSRCDIR/sources_1/imports/fixedPoint/fixed_generic_pkg-body.vhdl">
  70. <FileInfo SFType="VHDL2008">
  71. <Attr Name="Library" Val="ieee_proposed"/>
  72. <Attr Name="AutoDisabled" Val="1"/>
  73. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Bibliotheken/fixedPoint/fixed_generic_pkg-body.vhdl"/>
  74. <Attr Name="ImportTime" Val="1652436400"/>
  75. <Attr Name="UsedIn" Val="synthesis"/>
  76. <Attr Name="UsedIn" Val="simulation"/>
  77. </FileInfo>
  78. </File>
  79. <Config>
  80. <Option Name="DesignMode" Val="RTL"/>
  81. <Option Name="TopModule" Val="regler"/>
  82. <Option Name="TopAutoSet" Val="TRUE"/>
  83. </Config>
  84. </FileSet>
  85. <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  86. <Filter Type="Constrs"/>
  87. <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc">
  88. <FileInfo>
  89. <Attr Name="ImportPath" Val="$PPRDIR/../../New_folder/digilent-xdc-master/Cora-Z7-10-Master.xdc"/>
  90. <Attr Name="ImportTime" Val="1640790689"/>
  91. <Attr Name="UsedIn" Val="synthesis"/>
  92. <Attr Name="UsedIn" Val="implementation"/>
  93. </FileInfo>
  94. </File>
  95. <Config>
  96. <Option Name="ConstrsType" Val="XDC"/>
  97. </Config>
  98. </FileSet>
  99. <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
  100. <Filter Type="Utils"/>
  101. <File Path="$PSRCDIR/utils_1/imports/synth_1/pwm_test.dcp">
  102. <FileInfo>
  103. <Attr Name="UsedIn" Val="synthesis"/>
  104. <Attr Name="UsedIn" Val="implementation"/>
  105. <Attr Name="UsedInSteps" Val="synth_1"/>
  106. <Attr Name="AutoDcp" Val="1"/>
  107. </FileInfo>
  108. </File>
  109. <File Path="$PSRCDIR/utils_1/imports/synth_1/regler.dcp">
  110. <FileInfo>
  111. <Attr Name="UsedIn" Val="synthesis"/>
  112. <Attr Name="UsedIn" Val="implementation"/>
  113. <Attr Name="UsedInSteps" Val="synth_1"/>
  114. <Attr Name="AutoDcp" Val="1"/>
  115. </FileInfo>
  116. </File>
  117. <File Path="$PPRDIR/../../../../../StreckeSim_counter_working/StreckeSim/Coraz7_Test.srcs/utils_1/imports/synth_1/regler.dcp">
  118. <FileInfo>
  119. <Attr Name="UsedIn" Val="synthesis"/>
  120. <Attr Name="UsedIn" Val="implementation"/>
  121. <Attr Name="UsedInSteps" Val="synth_1"/>
  122. <Attr Name="AutoDcp" Val="1"/>
  123. </FileInfo>
  124. </File>
  125. <Config>
  126. <Option Name="TopAutoSet" Val="TRUE"/>
  127. </Config>
  128. </FileSet>
  129. <Strategy Version="1" Minor="2">
  130. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021"/>
  131. <Step Id="synth_design"/>
  132. </Strategy>
  133. </GenRun>