You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado_pid17732.str 11KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154
  1. /*
  2. Xilinx Vivado v2021.2 (64-bit) [Major: 2021, Minor: 2]
  3. SW Build: 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. IP Build: 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. Process ID (PID): 17732
  6. License: Customer
  7. Mode: GUI Mode
  8. Current time: Fri May 13 14:02:56 CEST 2022
  9. Time zone: Central European Standard Time (Europe/Berlin)
  10. OS: Windows 10
  11. OS Version: 10.0
  12. OS Architecture: amd64
  13. Available processors (cores): 12
  14. Screen size: 1920x1080
  15. Screen resolution (DPI): 100
  16. Available screens: 2
  17. Default font: family=Dialog,name=Dialog,style=plain,size=12
  18. Scale size: 12
  19. Java version: 11.0.11 64-bit
  20. Java home: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9
  21. Java executable: C:/Xilinx/Vivado/2021.2/tps/win64/jre11.0.11_9/bin/java.exe
  22. Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.d3d=false, -Dsun.awt.nopixfmt=true, -Dsun.java2d.dpiaware=true, -Dsun.java2d.uiScale.enabled=false, -Xverify:none, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/com.sun.awt=ALL-UNNAMED, -XX:NewSize=60m, -XX:MaxNewSize=60m, -Xms256m, -Xmx3072m, -Xss5m]
  23. Java initial memory (-Xms): 256 MB
  24. Java maximum memory (-Xmx): 3 GB
  25. User name: Felix
  26. User home directory: C:/Users/Felix
  27. User working directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim
  28. User country: DE
  29. User language: de
  30. User locale: de_DE
  31. RDI_BASEROOT: C:/Xilinx/Vivado
  32. HDI_APPROOT: C:/Xilinx/Vivado/2021.2
  33. RDI_DATADIR: C:/Xilinx/Vivado/2021.2/data
  34. RDI_BINDIR: C:/Xilinx/Vivado/2021.2/bin
  35. Vivado preferences file: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/vivado.xml
  36. Vivado preferences directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/
  37. Vivado layouts directory: C:/Users/Felix/AppData/Roaming/Xilinx/Vivado/2021.2/data/layouts
  38. PlanAhead jar file: C:/Xilinx/Vivado/2021.2/lib/classes/planAhead.jar
  39. Vivado log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.log
  40. Vivado journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/vivado.jou
  41. Engine tmp dir: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/.Xil/Vivado-17732-DESKTOP-PAACOM8
  42. Xilinx Environment Variables
  43. ----------------------------
  44. TWINCATSDK: C:\TwinCAT\3.1\SDK\
  45. XILINX: C:/Xilinx/Vivado/2021.2/ids_lite/ISE
  46. XILINX_DSP: C:/Xilinx/Vivado/2021.2/ids_lite/ISE
  47. XILINX_HLS: C:/Xilinx/Vitis_HLS/2021.2
  48. XILINX_PLANAHEAD: C:/Xilinx/Vivado/2021.2
  49. XILINX_VIVADO: C:/Xilinx/Vivado/2021.2
  50. XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2021.2
  51. GUI allocated memory: 342 MB
  52. GUI max memory: 3,072 MB
  53. Engine allocated memory: 1,325 MB
  54. Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  55. */
  56. // TclEventType: START_GUI
  57. // Tcl Message: start_gui
  58. // TclEventType: PROJECT_OPEN_DIALOG
  59. // Opening Vivado Project: C:\Users\Felix\OneDrive\Master\Projektarbeit_FPGA\Programme\Git_Projekte\FPGA_Projekt_Regler\StreckenSim_mitRegler\StreckeSim_counter_working\StreckeSim\Coraz7_Test.xpr. Version: Vivado v2021.2
  60. // TclEventType: DEBUG_PROBE_SET_CHANGE
  61. // TclEventType: FLOW_ADDED
  62. // Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  63. // Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  64. // HMemoryUtils.trashcanNow. Engine heap size: 1,325 MB. GUI used memory: 56 MB. Current time: 5/13/22, 2:02:57 PM CEST
  65. // TclEventType: MSGMGR_MOVEMSG
  66. // TclEventType: FILE_SET_CHANGE
  67. // TclEventType: FILE_SET_NEW
  68. // TclEventType: RUN_COMPLETED
  69. // TclEventType: RUN_STATUS_CHANGE
  70. // TclEventType: RUN_CURRENT
  71. // TclEventType: PROJECT_DASHBOARD_NEW
  72. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  73. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  74. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  75. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  76. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  77. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  78. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  79. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  80. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  81. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  82. // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
  83. // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
  84. // TclEventType: PROJECT_NEW
  85. // Tcl Message: open_project C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.xpr
  86. // Tcl Message: INFO: [ProjectBase 1-489] The host OS only allows 260 characters in a normal path. The project is stored in a path with more than 80 characters. If you experience issues with IP, Block Designs, or files not being found, please consider moving the project to a location with a shorter path. Alternately consider using the OS subst command to map part of the path to a drive letter. Current project path is 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim'
  87. // Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1'. INFO: [BD 41-2613] The output directory c:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim/Coraz7_Test.gen/sources_1/bd/design_1 for design_1 cannot be found.
  88. // Tcl Message: Scanning sources... Finished scanning sources
  89. // TclEventType: PROJECT_NEW
  90. // [GUI Memory]: 77 MB (+78665kb) [00:00:15]
  91. // [Engine Memory]: 1,325 MB (+1237477kb) [00:00:15]
  92. // WARNING: HEventQueue.dispatchEvent() is taking 3112 ms.
  93. // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  94. // [GUI Memory]: 102 MB (+21713kb) [00:00:18]
  95. // Project name: Coraz7_Test; location: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/StreckeSim_counter_working/StreckeSim; part: xc7z010clg400-1
  96. // Tcl Message: open_project: Time (s): cpu = 00:00:27 ; elapsed = 00:00:11 . Memory (MB): peak = 1591.184 ; gain = 0.000
  97. dismissDialog("Open Project"); // bA
  98. // Tcl Message: update_compile_order -fileset sources_1
  99. // PAPropertyPanels.initPanels (pwm_test.vhd) elapsed time: 0.2s
  100. // Elapsed time: 11 seconds
  101. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false); // D
  102. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, regler(Behavioral) (pwm_test.vhd)]", 3, false, false, false, false, false, true); // D - Double Click
  103. // WARNING: HEventQueue.dispatchEvent() is taking 1801 ms.
  104. // [GUI Memory]: 134 MB (+27930kb) [00:00:32]
  105. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false); // D
  106. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, pt1(Behavioral) (pt1.vhd)]", 4, false, false, false, false, false, true); // D - Double Click
  107. // WARNING: HEventQueue.dispatchEvent() is taking 1441 ms.
  108. // Elapsed time: 10 seconds
  109. selectCodeEditor("pt1.vhd", 88, 451); // be
  110. selectCodeEditor("pt1.vhd", 11, 348, false, false, false, true, false); // be - Popup Trigger
  111. selectMenuItem(RDIResourceCommand.RDICommands_COPY, "Copy"); // ao
  112. // Elapsed time: 24 seconds
  113. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false); // D
  114. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, wendeTangente(Behavioral) (wendeTangente.vhd)]", 5, false, false, false, false, false, true); // D - Double Click
  115. // WARNING: HEventQueue.dispatchEvent() is taking 1130 ms.
  116. dismissDialog("Opening Editor"); // bA
  117. selectCodeEditor("wendeTangente.vhd", 126, 259); // be
  118. typeControlKey((HResource) null, "wendeTangente.vhd", 'c'); // be
  119. // Elapsed time: 82 seconds
  120. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 7); // D
  121. expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 8); // D
  122. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd)]", 10, true); // D - Node
  123. selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, pwm_test_db(Behavioral) (pwm_test_db.vhd), uut_regler : regler(Behavioral) (pwm_test.vhd)]", 11, false, false, false, false, false, true); // D - Double Click
  124. // WARNING: HEventQueue.dispatchEvent() is taking 1115 ms.
  125. // [GUI Memory]: 145 MB (+4836kb) [00:02:44]
  126. dismissDialog("Opening Editor"); // bA
  127. selectCodeEditor("pwm_test_db.vhd", 149, 169); // be
  128. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  129. // Elapsed time: 45 seconds
  130. selectCodeEditor("pwm_test_db.vhd", 251, 110); // be
  131. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  132. selectCodeEditor("pwm_test_db.vhd", 83, 268); // be
  133. typeControlKey((HResource) null, "pwm_test_db.vhd", 'c'); // be
  134. // Elapsed time: 50 seconds
  135. selectCodeEditor("pwm_test_db.vhd", 217, 345); // be
  136. // Elapsed time: 732 seconds
  137. selectCodeEditor("pwm_test_db.vhd", 229, 347); // be
  138. // HMemoryUtils.trashcanNow. Engine heap size: 1,325 MB. GUI used memory: 81 MB. Current time: 5/13/22, 2:32:57 PM CEST