You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

fixedPointTest.tcl 5.1KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157
  1. #
  2. # Report generation script generated by Vivado
  3. #
  4. proc create_report { reportName command } {
  5. set status "."
  6. append status $reportName ".fail"
  7. if { [file exists $status] } {
  8. eval file delete [glob $status]
  9. }
  10. send_msg_id runtcl-4 info "Executing : $command"
  11. set retval [eval catch { $command } msg]
  12. if { $retval != 0 } {
  13. set fp [open $status w]
  14. close $fp
  15. send_msg_id runtcl-5 warning "$msg"
  16. }
  17. }
  18. namespace eval ::optrace {
  19. variable script "C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.tcl"
  20. variable category "vivado_impl"
  21. }
  22. # Try to connect to running dispatch if we haven't done so already.
  23. # This code assumes that the Tcl interpreter is not using threads,
  24. # since the ::dispatch::connected variable isn't mutex protected.
  25. if {![info exists ::dispatch::connected]} {
  26. namespace eval ::dispatch {
  27. variable connected false
  28. if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} {
  29. set result "true"
  30. if {[catch {
  31. if {[lsearch -exact [package names] DispatchTcl] < 0} {
  32. set result [load librdi_cd_clienttcl[info sharedlibextension]]
  33. }
  34. if {$result eq "false"} {
  35. puts "WARNING: Could not load dispatch client library"
  36. }
  37. set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ]
  38. if { $connect_id eq "" } {
  39. puts "WARNING: Could not initialize dispatch client"
  40. } else {
  41. puts "INFO: Dispatch client connection id - $connect_id"
  42. set connected true
  43. }
  44. } catch_res]} {
  45. puts "WARNING: failed to connect to dispatch server - $catch_res"
  46. }
  47. }
  48. }
  49. }
  50. if {$::dispatch::connected} {
  51. # Remove the dummy proc if it exists.
  52. if { [expr {[llength [info procs ::OPTRACE]] > 0}] } {
  53. rename ::OPTRACE ""
  54. }
  55. proc ::OPTRACE { task action {tags {} } } {
  56. ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category
  57. }
  58. # dispatch is generic. We specifically want to attach logging.
  59. ::vitis_log::connect_client
  60. } else {
  61. # Add dummy proc if it doesn't exist.
  62. if { [expr {[llength [info procs ::OPTRACE]] == 0}] } {
  63. proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} {
  64. # Do nothing
  65. }
  66. }
  67. }
  68. proc start_step { step } {
  69. set stopFile ".stop.rst"
  70. if {[file isfile .stop.rst]} {
  71. puts ""
  72. puts "*** Halting run - EA reset detected ***"
  73. puts ""
  74. puts ""
  75. return -code error
  76. }
  77. set beginFile ".$step.begin.rst"
  78. set platform "$::tcl_platform(platform)"
  79. set user "$::tcl_platform(user)"
  80. set pid [pid]
  81. set host ""
  82. if { [string equal $platform unix] } {
  83. if { [info exist ::env(HOSTNAME)] } {
  84. set host $::env(HOSTNAME)
  85. } elseif { [info exist ::env(HOST)] } {
  86. set host $::env(HOST)
  87. }
  88. } else {
  89. if { [info exist ::env(COMPUTERNAME)] } {
  90. set host $::env(COMPUTERNAME)
  91. }
  92. }
  93. set ch [open $beginFile w]
  94. puts $ch "<?xml version=\"1.0\"?>"
  95. puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">"
  96. puts $ch " <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">"
  97. puts $ch " </Process>"
  98. puts $ch "</ProcessHandle>"
  99. close $ch
  100. }
  101. proc end_step { step } {
  102. set endFile ".$step.end.rst"
  103. set ch [open $endFile w]
  104. close $ch
  105. }
  106. proc step_failed { step } {
  107. set endFile ".$step.error.rst"
  108. set ch [open $endFile w]
  109. close $ch
  110. OPTRACE "impl_1" END { }
  111. }
  112. set_msg_config -id {Synth 8-256} -limit 10000
  113. set_msg_config -id {Synth 8-638} -limit 10000
  114. OPTRACE "impl_1" START { ROLLUP_1 }
  115. OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO }
  116. OPTRACE "write_bitstream setup" START { }
  117. start_step write_bitstream
  118. set ACTIVE_STEP write_bitstream
  119. set rc [catch {
  120. create_msg_db write_bitstream.pb
  121. set_param checkpoint.writeSynthRtdsInDcp 1
  122. set_param chipscope.maxJobs 3
  123. open_checkpoint fixedPointTest_routed.dcp
  124. set_property webtalk.parent_dir C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.cache/wt [current_project]
  125. set_property TOP fixedPointTest [current_fileset]
  126. OPTRACE "read constraints: write_bitstream" START { }
  127. OPTRACE "read constraints: write_bitstream" END { }
  128. catch { write_mem_info -force -no_partial_mmi fixedPointTest.mmi }
  129. OPTRACE "write_bitstream setup" END { }
  130. OPTRACE "write_bitstream" START { }
  131. write_bitstream -force fixedPointTest.bit
  132. OPTRACE "write_bitstream" END { }
  133. OPTRACE "write_bitstream misc" START { }
  134. OPTRACE "read constraints: write_bitstream_post" START { }
  135. OPTRACE "read constraints: write_bitstream_post" END { }
  136. catch {write_debug_probes -quiet -force fixedPointTest}
  137. catch {file copy -force fixedPointTest.ltx debug_nets.ltx}
  138. close_msg_db -file write_bitstream.pb
  139. } RESULT]
  140. if {$rc} {
  141. step_failed write_bitstream
  142. return -code error $RESULT
  143. } else {
  144. end_step write_bitstream
  145. unset ACTIVE_STEP
  146. }
  147. OPTRACE "write_bitstream misc" END { }
  148. OPTRACE "Phase: Write Bitstream" END { }
  149. OPTRACE "impl_1" END { }