You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

fixedPointTest_11388.backup.vdi 26KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Fri May 13 14:31:34 2022
  6. # Process ID: 11388
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1
  8. # Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. source fixedPointTest.tcl -notrace
  14. create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 1261.066 ; gain = 9.613
  15. Command: link_design -top fixedPointTest -part xc7z010clg400-1
  16. Design is defaulting to srcset: sources_1
  17. Design is defaulting to constrset: constrs_1
  18. INFO: [Device 21-403] Loading part xc7z010clg400-1
  19. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.066 ; gain = 0.000
  20. INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement
  21. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  22. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  23. INFO: [Project 1-570] Preparing netlist for logic optimization
  24. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.066 ; gain = 0.000
  25. INFO: [Project 1-111] Unisim Transformation Summary:
  26. No Unisim elements were transformed.
  27. 6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
  28. link_design completed successfully
  29. link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.066 ; gain = 0.000
  30. Command: opt_design
  31. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  32. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  33. Running DRC as a precondition to command opt_design
  34. Starting DRC Task
  35. INFO: [DRC 23-27] Running DRC with 2 threads
  36. INFO: [Project 1-461] DRC finished with 0 Errors
  37. INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
  38. Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1261.066 ; gain = 0.000
  39. Starting Cache Timing Information Task
  40. INFO: [Timing 38-35] Done setting XDC timing constraints.
  41. Ending Cache Timing Information Task | Checksum: d688f8fa
  42. Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 1385.141 ; gain = 124.074
  43. Starting Logic Optimization Task
  44. Phase 1 Retarget
  45. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  46. INFO: [Opt 31-49] Retargeted 0 cell(s).
  47. Phase 1 Retarget | Checksum: d688f8fa
  48. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 1680.863 ; gain = 0.000
  49. INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
  50. Phase 2 Constant propagation
  51. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  52. Phase 2 Constant propagation | Checksum: d688f8fa
  53. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.041 . Memory (MB): peak = 1680.863 ; gain = 0.000
  54. INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
  55. Phase 3 Sweep
  56. Phase 3 Sweep | Checksum: 9d9fcb97
  57. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1680.863 ; gain = 0.000
  58. INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
  59. Phase 4 BUFG optimization
  60. Phase 4 BUFG optimization | Checksum: 9d9fcb97
  61. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1680.863 ; gain = 0.000
  62. INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
  63. Phase 5 Shift Register Optimization
  64. INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
  65. Phase 5 Shift Register Optimization | Checksum: 9d9fcb97
  66. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1680.863 ; gain = 0.000
  67. INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
  68. Phase 6 Post Processing Netlist
  69. Phase 6 Post Processing Netlist | Checksum: 9d9fcb97
  70. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.056 . Memory (MB): peak = 1680.863 ; gain = 0.000
  71. INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
  72. Opt_design Change Summary
  73. =========================
  74. -------------------------------------------------------------------------------------------------------------------------
  75. | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
  76. -------------------------------------------------------------------------------------------------------------------------
  77. | Retarget | 0 | 0 | 0 |
  78. | Constant propagation | 0 | 0 | 0 |
  79. | Sweep | 0 | 0 | 0 |
  80. | BUFG optimization | 0 | 0 | 0 |
  81. | Shift Register Optimization | 0 | 0 | 0 |
  82. | Post Processing Netlist | 0 | 0 | 0 |
  83. -------------------------------------------------------------------------------------------------------------------------
  84. Starting Connectivity Check Task
  85. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1680.863 ; gain = 0.000
  86. Ending Logic Optimization Task | Checksum: f158031e
  87. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.060 . Memory (MB): peak = 1680.863 ; gain = 0.000
  88. Starting Power Optimization Task
  89. INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
  90. Ending Power Optimization Task | Checksum: f158031e
  91. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.017 . Memory (MB): peak = 1680.863 ; gain = 0.000
  92. Starting Final Cleanup Task
  93. Ending Final Cleanup Task | Checksum: f158031e
  94. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1680.863 ; gain = 0.000
  95. Starting Netlist Obfuscation Task
  96. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1680.863 ; gain = 0.000
  97. Ending Netlist Obfuscation Task | Checksum: f158031e
  98. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1680.863 ; gain = 0.000
  99. INFO: [Common 17-83] Releasing license: Implementation
  100. 23 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
  101. opt_design completed successfully
  102. opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1680.863 ; gain = 419.797
  103. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_opt.dcp' has been generated.
  104. INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx
  105. Command: report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx
  106. INFO: [IP_Flow 19-234] Refreshing IP repositories
  107. INFO: [IP_Flow 19-1704] No user IP repositories specified
  108. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  109. INFO: [Timing 38-35] Done setting XDC timing constraints.
  110. INFO: [DRC 23-27] Running DRC with 2 threads
  111. INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpt.
  112. report_drc completed successfully
  113. Command: place_design
  114. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  115. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  116. INFO: [DRC 23-27] Running DRC with 2 threads
  117. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  118. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  119. Running DRC as a precondition to command place_design
  120. INFO: [DRC 23-27] Running DRC with 2 threads
  121. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  122. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  123. Starting Placer Task
  124. INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs
  125. Phase 1 Placer Initialization
  126. Phase 1.1 Placer Initialization Netlist Sorting
  127. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1718.922 ; gain = 0.000
  128. Phase 1.1 Placer Initialization Netlist Sorting | Checksum: c5371e47
  129. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1718.922 ; gain = 0.000
  130. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1718.922 ; gain = 0.000
  131. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
  132. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 170083491
  133. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.220 . Memory (MB): peak = 1718.922 ; gain = 0.000
  134. Phase 1.3 Build Placer Netlist Model
  135. Phase 1.3 Build Placer Netlist Model | Checksum: 1e3fab18a
  136. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.254 . Memory (MB): peak = 1718.922 ; gain = 0.000
  137. Phase 1.4 Constrain Clocks/Macros
  138. Phase 1.4 Constrain Clocks/Macros | Checksum: 1e3fab18a
  139. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.257 . Memory (MB): peak = 1718.922 ; gain = 0.000
  140. Phase 1 Placer Initialization | Checksum: 1e3fab18a
  141. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.264 . Memory (MB): peak = 1718.922 ; gain = 0.000
  142. Phase 2 Global Placement
  143. Phase 2.1 Floorplanning
  144. Phase 2.1 Floorplanning | Checksum: 1e3fab18a
  145. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.272 . Memory (MB): peak = 1718.922 ; gain = 0.000
  146. Phase 2.2 Update Timing before SLR Path Opt
  147. Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1e3fab18a
  148. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.273 . Memory (MB): peak = 1718.922 ; gain = 0.000
  149. Phase 2.3 Post-Processing in Floorplanning
  150. Phase 2.3 Post-Processing in Floorplanning | Checksum: 1e3fab18a
  151. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.273 . Memory (MB): peak = 1718.922 ; gain = 0.000
  152. Phase 2.4 Global Placement Core
  153. WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer
  154. Phase 2.4 Global Placement Core | Checksum: 17701980b
  155. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.793 . Memory (MB): peak = 1718.922 ; gain = 0.000
  156. Phase 2 Global Placement | Checksum: 17701980b
  157. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.797 . Memory (MB): peak = 1718.922 ; gain = 0.000
  158. Phase 3 Detail Placement
  159. Phase 3.1 Commit Multi Column Macros
  160. Phase 3.1 Commit Multi Column Macros | Checksum: 17701980b
  161. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.800 . Memory (MB): peak = 1718.922 ; gain = 0.000
  162. Phase 3.2 Commit Most Macros & LUTRAMs
  163. Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 236ae8e9c
  164. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.806 . Memory (MB): peak = 1718.922 ; gain = 0.000
  165. Phase 3.3 Area Swap Optimization
  166. Phase 3.3 Area Swap Optimization | Checksum: 236ae8e9c
  167. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.812 . Memory (MB): peak = 1718.922 ; gain = 0.000
  168. Phase 3.4 Pipeline Register Optimization
  169. Phase 3.4 Pipeline Register Optimization | Checksum: 236ae8e9c
  170. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.814 . Memory (MB): peak = 1718.922 ; gain = 0.000
  171. Phase 3.5 Small Shape Detail Placement
  172. Phase 3.5 Small Shape Detail Placement | Checksum: 236ae8e9c
  173. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.852 . Memory (MB): peak = 1718.922 ; gain = 0.000
  174. Phase 3.6 Re-assign LUT pins
  175. Phase 3.6 Re-assign LUT pins | Checksum: 236ae8e9c
  176. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.855 . Memory (MB): peak = 1718.922 ; gain = 0.000
  177. Phase 3.7 Pipeline Register Optimization
  178. Phase 3.7 Pipeline Register Optimization | Checksum: 236ae8e9c
  179. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.856 . Memory (MB): peak = 1718.922 ; gain = 0.000
  180. Phase 3 Detail Placement | Checksum: 236ae8e9c
  181. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.857 . Memory (MB): peak = 1718.922 ; gain = 0.000
  182. Phase 4 Post Placement Optimization and Clean-Up
  183. Phase 4.1 Post Commit Optimization
  184. Phase 4.1 Post Commit Optimization | Checksum: 236ae8e9c
  185. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.873 . Memory (MB): peak = 1718.922 ; gain = 0.000
  186. Phase 4.2 Post Placement Cleanup
  187. Phase 4.2 Post Placement Cleanup | Checksum: 236ae8e9c
  188. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.875 . Memory (MB): peak = 1718.922 ; gain = 0.000
  189. Phase 4.3 Placer Reporting
  190. Phase 4.3.1 Print Estimated Congestion
  191. INFO: [Place 30-612] Post-Placement Estimated Congestion
  192. ____________________________________________________
  193. | | Global Congestion | Short Congestion |
  194. | Direction | Region Size | Region Size |
  195. |___________|___________________|___________________|
  196. | North| 1x1| 1x1|
  197. |___________|___________________|___________________|
  198. | South| 1x1| 1x1|
  199. |___________|___________________|___________________|
  200. | East| 1x1| 1x1|
  201. |___________|___________________|___________________|
  202. | West| 1x1| 1x1|
  203. |___________|___________________|___________________|
  204. Phase 4.3.1 Print Estimated Congestion | Checksum: 236ae8e9c
  205. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.877 . Memory (MB): peak = 1718.922 ; gain = 0.000
  206. Phase 4.3 Placer Reporting | Checksum: 236ae8e9c
  207. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.877 . Memory (MB): peak = 1718.922 ; gain = 0.000
  208. Phase 4.4 Final Placement Cleanup
  209. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1718.922 ; gain = 0.000
  210. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.878 . Memory (MB): peak = 1718.922 ; gain = 0.000
  211. Phase 4 Post Placement Optimization and Clean-Up | Checksum: 236ae8e9c
  212. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.878 . Memory (MB): peak = 1718.922 ; gain = 0.000
  213. Ending Placer Task | Checksum: 1c0019b8b
  214. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.879 . Memory (MB): peak = 1718.922 ; gain = 0.000
  215. INFO: [Common 17-83] Releasing license: Implementation
  216. 41 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
  217. place_design completed successfully
  218. Writing placer database...
  219. Writing XDEF routing.
  220. Writing XDEF routing logical nets.
  221. Writing XDEF routing special nets.
  222. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.124 . Memory (MB): peak = 1718.922 ; gain = 0.000
  223. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_placed.dcp' has been generated.
  224. INFO: [runtcl-4] Executing : report_io -file fixedPointTest_io_placed.rpt
  225. report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.079 . Memory (MB): peak = 1718.922 ; gain = 0.000
  226. INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb
  227. INFO: [runtcl-4] Executing : report_control_sets -verbose -file fixedPointTest_control_sets_placed.rpt
  228. report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1718.922 ; gain = 0.000
  229. Command: phys_opt_design
  230. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  231. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  232. INFO: [Vivado_Tcl 4-235] No timing constraint found. The netlist was not modified.
  233. INFO: [Common 17-83] Releasing license: Implementation
  234. 48 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
  235. phys_opt_design completed successfully
  236. Writing placer database...
  237. Writing XDEF routing.
  238. Writing XDEF routing logical nets.
  239. Writing XDEF routing special nets.
  240. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1718.922 ; gain = 0.000
  241. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp' has been generated.
  242. Command: route_design
  243. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  244. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  245. Running DRC as a precondition to command route_design
  246. INFO: [DRC 23-27] Running DRC with 2 threads
  247. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  248. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  249. Starting Routing Task
  250. INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
  251. Phase 1 Build RT Design
  252. Checksum: PlaceDB: faca7d44 ConstDB: 0 ShapeSum: c5371e47 RouteDB: 0
  253. Post Restoration Checksum: NetGraph: 54150718 NumContArr: b3d68f27 Constraints: 0 Timing: 0
  254. Phase 1 Build RT Design | Checksum: 107eb963f
  255. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1755.223 ; gain = 25.043
  256. Phase 2 Router Initialization
  257. INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode.
  258. Phase 2.1 Fix Topology Constraints
  259. Phase 2.1 Fix Topology Constraints | Checksum: 107eb963f
  260. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1761.215 ; gain = 31.035
  261. Phase 2.2 Pre Route Cleanup
  262. Phase 2.2 Pre Route Cleanup | Checksum: 107eb963f
  263. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1761.215 ; gain = 31.035
  264. Number of Nodes with overlaps = 0
  265. Router Utilization Summary
  266. Global Vertical Routing Utilization = 0 %
  267. Global Horizontal Routing Utilization = 0 %
  268. Routable Net Status*
  269. *Does not include unroutable nets such as driverless and loadless.
  270. Run report_route_status for detailed report.
  271. Number of Failed Nets = 46
  272. (Failed Nets is the sum of unrouted and partially routed nets)
  273. Number of Unrouted Nets = 46
  274. Number of Partially Routed Nets = 0
  275. Number of Node Overlaps = 0
  276. Phase 2 Router Initialization | Checksum: d7f76c92
  277. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  278. Phase 3 Initial Routing
  279. Phase 3.1 Global Routing
  280. Phase 3.1 Global Routing | Checksum: d7f76c92
  281. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  282. Phase 3 Initial Routing | Checksum: aa1bb3ea
  283. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  284. Phase 4 Rip-up And Reroute
  285. Phase 4.1 Global Iteration 0
  286. Number of Nodes with overlaps = 0
  287. Phase 4.1 Global Iteration 0 | Checksum: 1a2c45faa
  288. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  289. Phase 4 Rip-up And Reroute | Checksum: 1a2c45faa
  290. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  291. Phase 5 Delay and Skew Optimization
  292. Phase 5 Delay and Skew Optimization | Checksum: 1a2c45faa
  293. Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  294. Phase 6 Post Hold Fix
  295. Phase 6.1 Hold Fix Iter
  296. Phase 6.1 Hold Fix Iter | Checksum: 1a2c45faa
  297. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  298. Phase 6 Post Hold Fix | Checksum: 1a2c45faa
  299. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  300. Phase 7 Route finalize
  301. Router Utilization Summary
  302. Global Vertical Routing Utilization = 0.0663007 %
  303. Global Horizontal Routing Utilization = 0.0248162 %
  304. Routable Net Status*
  305. *Does not include unroutable nets such as driverless and loadless.
  306. Run report_route_status for detailed report.
  307. Number of Failed Nets = 0
  308. (Failed Nets is the sum of unrouted and partially routed nets)
  309. Number of Unrouted Nets = 0
  310. Number of Partially Routed Nets = 0
  311. Number of Node Overlaps = 0
  312. Congestion Report
  313. North Dir 1x1 Area, Max Cong = 5.40541%, No Congested Regions.
  314. South Dir 1x1 Area, Max Cong = 17.1171%, No Congested Regions.
  315. East Dir 1x1 Area, Max Cong = 8.82353%, No Congested Regions.
  316. West Dir 1x1 Area, Max Cong = 7.35294%, No Congested Regions.
  317. ------------------------------
  318. Reporting congestion hotspots
  319. ------------------------------
  320. Direction: North
  321. ----------------
  322. Congested clusters found at Level 0
  323. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  324. Direction: South
  325. ----------------
  326. Congested clusters found at Level 0
  327. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  328. Direction: East
  329. ----------------
  330. Congested clusters found at Level 0
  331. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  332. Direction: West
  333. ----------------
  334. Congested clusters found at Level 0
  335. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  336. Phase 7 Route finalize | Checksum: 1a2c45faa
  337. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1763.191 ; gain = 33.012
  338. Phase 8 Verifying routed nets
  339. Verification completed successfully
  340. Phase 8 Verifying routed nets | Checksum: 1a2c45faa
  341. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.297 ; gain = 34.117
  342. Phase 9 Depositing Routes
  343. Phase 9 Depositing Routes | Checksum: 156fe757f
  344. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.297 ; gain = 34.117
  345. INFO: [Route 35-16] Router Completed Successfully
  346. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.297 ; gain = 34.117
  347. Routing Is Done.
  348. INFO: [Common 17-83] Releasing license: Implementation
  349. 57 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
  350. route_design completed successfully
  351. route_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1764.297 ; gain = 45.375
  352. Writing placer database...
  353. Writing XDEF routing.
  354. Writing XDEF routing logical nets.
  355. Writing XDEF routing special nets.
  356. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.052 . Memory (MB): peak = 1774.078 ; gain = 9.781
  357. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp' has been generated.
  358. INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx
  359. Command: report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx
  360. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  361. INFO: [DRC 23-27] Running DRC with 2 threads
  362. INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpt.
  363. report_drc completed successfully
  364. INFO: [runtcl-4] Executing : report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx
  365. Command: report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx
  366. INFO: [Timing 38-35] Done setting XDC timing constraints.
  367. INFO: [DRC 23-133] Running Methodology with 2 threads
  368. INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpt.
  369. report_methodology completed successfully
  370. INFO: [runtcl-4] Executing : report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx
  371. Command: report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx
  372. INFO: [Timing 38-35] Done setting XDC timing constraints.
  373. WARNING: [Power 33-232] No user defined clocks were found in the design! Power estimation will be inaccurate until this is corrected.
  374. Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate
  375. Running Vector-less Activity Propagation...
  376. Finished Running Vector-less Activity Propagation
  377. 68 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered.
  378. report_power completed successfully
  379. INFO: [runtcl-4] Executing : report_route_status -file fixedPointTest_route_status.rpt -pb fixedPointTest_route_status.pb
  380. INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation
  381. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
  382. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
  383. WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis.
  384. INFO: [runtcl-4] Executing : report_incremental_reuse -file fixedPointTest_incremental_reuse_routed.rpt
  385. INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
  386. INFO: [runtcl-4] Executing : report_clock_utilization -file fixedPointTest_clock_utilization_routed.rpt
  387. INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file fixedPointTest_bus_skew_routed.rpt -pb fixedPointTest_bus_skew_routed.pb -rpx fixedPointTest_bus_skew_routed.rpx
  388. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
  389. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
  390. INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:32:21 2022...