You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

fixedPointTest_17108.backup.vdi 32KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540
  1. #-----------------------------------------------------------
  2. # Vivado v2021.2 (64-bit)
  3. # SW Build 3367213 on Tue Oct 19 02:48:09 MDT 2021
  4. # IP Build 3369179 on Thu Oct 21 08:25:16 MDT 2021
  5. # Start of session at: Fri May 13 14:41:22 2022
  6. # Process ID: 17108
  7. # Current directory: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1
  8. # Command line: vivado.exe -log fixedPointTest.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source fixedPointTest.tcl -notrace
  9. # Log file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest.vdi
  10. # Journal file: C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1\vivado.jou
  11. # Running On: DESKTOP-PAACOM8, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 16927 MB
  12. #-----------------------------------------------------------
  13. source fixedPointTest.tcl -notrace
  14. create_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 10.250
  15. Command: link_design -top fixedPointTest -part xc7z010clg400-1
  16. Design is defaulting to srcset: sources_1
  17. Design is defaulting to constrset: constrs_1
  18. INFO: [Device 21-403] Loading part xc7z010clg400-1
  19. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.340 ; gain = 0.000
  20. INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement
  21. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  22. INFO: [Project 1-479] Netlist was created with Vivado 2021.2
  23. INFO: [Project 1-570] Preparing netlist for logic optimization
  24. Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  25. WARNING: [Vivado 12-584] No ports matched 'clk'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7]
  26. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:7]
  27. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  28. WARNING: [Vivado 12-584] No ports matched 'led0_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11]
  29. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:11]
  30. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  31. WARNING: [Vivado 12-584] No ports matched 'led0_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12]
  32. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:12]
  33. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  34. WARNING: [Vivado 12-584] No ports matched 'led0_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13]
  35. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:13]
  36. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  37. WARNING: [Vivado 12-584] No ports matched 'led1_b'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15]
  38. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:15]
  39. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  40. WARNING: [Vivado 12-584] No ports matched 'led1_g'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16]
  41. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:16]
  42. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  43. WARNING: [Vivado 12-584] No ports matched 'led1_r'. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17]
  44. CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc:17]
  45. Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
  46. Finished Parsing XDC File [C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.srcs/constrs_1/imports/digilent-xdc-master/Cora-Z7-10-Master.xdc]
  47. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  48. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.340 ; gain = 0.000
  49. INFO: [Project 1-111] Unisim Transformation Summary:
  50. No Unisim elements were transformed.
  51. 7 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered.
  52. link_design completed successfully
  53. link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 1261.340 ; gain = 0.000
  54. Command: opt_design
  55. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  56. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  57. Running DRC as a precondition to command opt_design
  58. Starting DRC Task
  59. INFO: [DRC 23-27] Running DRC with 2 threads
  60. INFO: [Project 1-461] DRC finished with 0 Errors
  61. INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
  62. Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1261.340 ; gain = 0.000
  63. Starting Cache Timing Information Task
  64. INFO: [Timing 38-35] Done setting XDC timing constraints.
  65. Ending Cache Timing Information Task | Checksum: d688f8fa
  66. Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1387.457 ; gain = 126.117
  67. Starting Logic Optimization Task
  68. Phase 1 Retarget
  69. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  70. INFO: [Opt 31-49] Retargeted 0 cell(s).
  71. Phase 1 Retarget | Checksum: d688f8fa
  72. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1683.215 ; gain = 0.000
  73. INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
  74. Phase 2 Constant propagation
  75. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  76. Phase 2 Constant propagation | Checksum: d688f8fa
  77. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1683.215 ; gain = 0.000
  78. INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
  79. Phase 3 Sweep
  80. Phase 3 Sweep | Checksum: 9d9fcb97
  81. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1683.215 ; gain = 0.000
  82. INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
  83. Phase 4 BUFG optimization
  84. Phase 4 BUFG optimization | Checksum: 9d9fcb97
  85. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1683.215 ; gain = 0.000
  86. INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
  87. Phase 5 Shift Register Optimization
  88. INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
  89. Phase 5 Shift Register Optimization | Checksum: 9d9fcb97
  90. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000
  91. INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
  92. Phase 6 Post Processing Netlist
  93. Phase 6 Post Processing Netlist | Checksum: 9d9fcb97
  94. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000
  95. INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
  96. Opt_design Change Summary
  97. =========================
  98. -------------------------------------------------------------------------------------------------------------------------
  99. | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
  100. -------------------------------------------------------------------------------------------------------------------------
  101. | Retarget | 0 | 0 | 0 |
  102. | Constant propagation | 0 | 0 | 0 |
  103. | Sweep | 0 | 0 | 0 |
  104. | BUFG optimization | 0 | 0 | 0 |
  105. | Shift Register Optimization | 0 | 0 | 0 |
  106. | Post Processing Netlist | 0 | 0 | 0 |
  107. -------------------------------------------------------------------------------------------------------------------------
  108. Starting Connectivity Check Task
  109. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1683.215 ; gain = 0.000
  110. Ending Logic Optimization Task | Checksum: f158031e
  111. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1683.215 ; gain = 0.000
  112. Starting Power Optimization Task
  113. INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
  114. Ending Power Optimization Task | Checksum: f158031e
  115. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1683.215 ; gain = 0.000
  116. Starting Final Cleanup Task
  117. Ending Final Cleanup Task | Checksum: f158031e
  118. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000
  119. Starting Netlist Obfuscation Task
  120. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000
  121. Ending Netlist Obfuscation Task | Checksum: f158031e
  122. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1683.215 ; gain = 0.000
  123. INFO: [Common 17-83] Releasing license: Implementation
  124. 24 Infos, 7 Warnings, 7 Critical Warnings and 0 Errors encountered.
  125. opt_design completed successfully
  126. opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 1683.215 ; gain = 421.875
  127. INFO: [Timing 38-480] Writing timing data to binary archive.
  128. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_opt.dcp' has been generated.
  129. INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx
  130. Command: report_drc -file fixedPointTest_drc_opted.rpt -pb fixedPointTest_drc_opted.pb -rpx fixedPointTest_drc_opted.rpx
  131. INFO: [IP_Flow 19-234] Refreshing IP repositories
  132. INFO: [IP_Flow 19-1704] No user IP repositories specified
  133. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2021.2/data/ip'.
  134. INFO: [Timing 38-35] Done setting XDC timing constraints.
  135. INFO: [DRC 23-27] Running DRC with 2 threads
  136. INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_opted.rpt.
  137. report_drc completed successfully
  138. Command: place_design
  139. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  140. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  141. INFO: [DRC 23-27] Running DRC with 2 threads
  142. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  143. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  144. Running DRC as a precondition to command place_design
  145. INFO: [DRC 23-27] Running DRC with 2 threads
  146. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  147. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  148. Starting Placer Task
  149. INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs
  150. Phase 1 Placer Initialization
  151. Phase 1.1 Placer Initialization Netlist Sorting
  152. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000
  153. Phase 1.1 Placer Initialization Netlist Sorting | Checksum: c5371e47
  154. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1722.266 ; gain = 0.000
  155. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000
  156. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
  157. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 170083491
  158. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.141 . Memory (MB): peak = 1722.266 ; gain = 0.000
  159. Phase 1.3 Build Placer Netlist Model
  160. Phase 1.3 Build Placer Netlist Model | Checksum: 1e3fab18a
  161. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.149 . Memory (MB): peak = 1722.266 ; gain = 0.000
  162. Phase 1.4 Constrain Clocks/Macros
  163. Phase 1.4 Constrain Clocks/Macros | Checksum: 1e3fab18a
  164. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.150 . Memory (MB): peak = 1722.266 ; gain = 0.000
  165. Phase 1 Placer Initialization | Checksum: 1e3fab18a
  166. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.152 . Memory (MB): peak = 1722.266 ; gain = 0.000
  167. Phase 2 Global Placement
  168. Phase 2.1 Floorplanning
  169. Phase 2.1 Floorplanning | Checksum: 1e3fab18a
  170. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000
  171. Phase 2.2 Update Timing before SLR Path Opt
  172. Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1e3fab18a
  173. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.153 . Memory (MB): peak = 1722.266 ; gain = 0.000
  174. Phase 2.3 Post-Processing in Floorplanning
  175. Phase 2.3 Post-Processing in Floorplanning | Checksum: 1e3fab18a
  176. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.154 . Memory (MB): peak = 1722.266 ; gain = 0.000
  177. Phase 2.4 Global Placement Core
  178. WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer
  179. Phase 2.4 Global Placement Core | Checksum: 17701980b
  180. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.691 . Memory (MB): peak = 1722.266 ; gain = 0.000
  181. Phase 2 Global Placement | Checksum: 17701980b
  182. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.693 . Memory (MB): peak = 1722.266 ; gain = 0.000
  183. Phase 3 Detail Placement
  184. Phase 3.1 Commit Multi Column Macros
  185. Phase 3.1 Commit Multi Column Macros | Checksum: 17701980b
  186. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.694 . Memory (MB): peak = 1722.266 ; gain = 0.000
  187. Phase 3.2 Commit Most Macros & LUTRAMs
  188. Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 236ae8e9c
  189. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.699 . Memory (MB): peak = 1722.266 ; gain = 0.000
  190. Phase 3.3 Area Swap Optimization
  191. Phase 3.3 Area Swap Optimization | Checksum: 236ae8e9c
  192. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.707 . Memory (MB): peak = 1722.266 ; gain = 0.000
  193. Phase 3.4 Pipeline Register Optimization
  194. Phase 3.4 Pipeline Register Optimization | Checksum: 236ae8e9c
  195. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.708 . Memory (MB): peak = 1722.266 ; gain = 0.000
  196. Phase 3.5 Small Shape Detail Placement
  197. Phase 3.5 Small Shape Detail Placement | Checksum: 236ae8e9c
  198. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.748 . Memory (MB): peak = 1722.266 ; gain = 0.000
  199. Phase 3.6 Re-assign LUT pins
  200. Phase 3.6 Re-assign LUT pins | Checksum: 236ae8e9c
  201. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000
  202. Phase 3.7 Pipeline Register Optimization
  203. Phase 3.7 Pipeline Register Optimization | Checksum: 236ae8e9c
  204. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.751 . Memory (MB): peak = 1722.266 ; gain = 0.000
  205. Phase 3 Detail Placement | Checksum: 236ae8e9c
  206. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.752 . Memory (MB): peak = 1722.266 ; gain = 0.000
  207. Phase 4 Post Placement Optimization and Clean-Up
  208. Phase 4.1 Post Commit Optimization
  209. Phase 4.1 Post Commit Optimization | Checksum: 236ae8e9c
  210. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.771 . Memory (MB): peak = 1722.266 ; gain = 0.000
  211. Phase 4.2 Post Placement Cleanup
  212. Phase 4.2 Post Placement Cleanup | Checksum: 236ae8e9c
  213. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.773 . Memory (MB): peak = 1722.266 ; gain = 0.000
  214. Phase 4.3 Placer Reporting
  215. Phase 4.3.1 Print Estimated Congestion
  216. INFO: [Place 30-612] Post-Placement Estimated Congestion
  217. ____________________________________________________
  218. | | Global Congestion | Short Congestion |
  219. | Direction | Region Size | Region Size |
  220. |___________|___________________|___________________|
  221. | North| 1x1| 1x1|
  222. |___________|___________________|___________________|
  223. | South| 1x1| 1x1|
  224. |___________|___________________|___________________|
  225. | East| 1x1| 1x1|
  226. |___________|___________________|___________________|
  227. | West| 1x1| 1x1|
  228. |___________|___________________|___________________|
  229. Phase 4.3.1 Print Estimated Congestion | Checksum: 236ae8e9c
  230. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.774 . Memory (MB): peak = 1722.266 ; gain = 0.000
  231. Phase 4.3 Placer Reporting | Checksum: 236ae8e9c
  232. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000
  233. Phase 4.4 Final Placement Cleanup
  234. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1722.266 ; gain = 0.000
  235. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.775 . Memory (MB): peak = 1722.266 ; gain = 0.000
  236. Phase 4 Post Placement Optimization and Clean-Up | Checksum: 236ae8e9c
  237. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000
  238. Ending Placer Task | Checksum: 1c0019b8b
  239. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.776 . Memory (MB): peak = 1722.266 ; gain = 0.000
  240. INFO: [Common 17-83] Releasing license: Implementation
  241. 43 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered.
  242. place_design completed successfully
  243. INFO: [Timing 38-480] Writing timing data to binary archive.
  244. Writing placer database...
  245. Writing XDEF routing.
  246. Writing XDEF routing logical nets.
  247. Writing XDEF routing special nets.
  248. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.057 . Memory (MB): peak = 1722.266 ; gain = 0.000
  249. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_placed.dcp' has been generated.
  250. INFO: [runtcl-4] Executing : report_io -file fixedPointTest_io_placed.rpt
  251. report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.067 . Memory (MB): peak = 1722.266 ; gain = 0.000
  252. INFO: [runtcl-4] Executing : report_utilization -file fixedPointTest_utilization_placed.rpt -pb fixedPointTest_utilization_placed.pb
  253. INFO: [runtcl-4] Executing : report_control_sets -verbose -file fixedPointTest_control_sets_placed.rpt
  254. report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1722.266 ; gain = 0.000
  255. Command: phys_opt_design
  256. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  257. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  258. INFO: [Vivado_Tcl 4-235] No timing constraint found. The netlist was not modified.
  259. INFO: [Common 17-83] Releasing license: Implementation
  260. 51 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered.
  261. phys_opt_design completed successfully
  262. INFO: [Timing 38-480] Writing timing data to binary archive.
  263. Writing placer database...
  264. Writing XDEF routing.
  265. Writing XDEF routing logical nets.
  266. Writing XDEF routing special nets.
  267. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1722.266 ; gain = 0.000
  268. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_physopt.dcp' has been generated.
  269. Command: route_design
  270. Attempting to get a license for feature 'Implementation' and/or device 'xc7z010'
  271. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010'
  272. Running DRC as a precondition to command route_design
  273. INFO: [DRC 23-27] Running DRC with 2 threads
  274. INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
  275. INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
  276. Starting Routing Task
  277. INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
  278. Phase 1 Build RT Design
  279. Checksum: PlaceDB: faca7d44 ConstDB: 0 ShapeSum: c5371e47 RouteDB: 0
  280. Post Restoration Checksum: NetGraph: 54150718 NumContArr: b3d68f27 Constraints: 0 Timing: 0
  281. Phase 1 Build RT Design | Checksum: 107eb963f
  282. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1757.188 ; gain = 23.668
  283. Phase 2 Router Initialization
  284. INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode.
  285. Phase 2.1 Fix Topology Constraints
  286. Phase 2.1 Fix Topology Constraints | Checksum: 107eb963f
  287. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699
  288. Phase 2.2 Pre Route Cleanup
  289. Phase 2.2 Pre Route Cleanup | Checksum: 107eb963f
  290. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1762.219 ; gain = 28.699
  291. Number of Nodes with overlaps = 0
  292. Router Utilization Summary
  293. Global Vertical Routing Utilization = 0 %
  294. Global Horizontal Routing Utilization = 0 %
  295. Routable Net Status*
  296. *Does not include unroutable nets such as driverless and loadless.
  297. Run report_route_status for detailed report.
  298. Number of Failed Nets = 46
  299. (Failed Nets is the sum of unrouted and partially routed nets)
  300. Number of Unrouted Nets = 46
  301. Number of Partially Routed Nets = 0
  302. Number of Node Overlaps = 0
  303. Phase 2 Router Initialization | Checksum: d7f76c92
  304. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  305. Phase 3 Initial Routing
  306. Phase 3.1 Global Routing
  307. Phase 3.1 Global Routing | Checksum: d7f76c92
  308. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  309. Phase 3 Initial Routing | Checksum: aa1bb3ea
  310. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  311. Phase 4 Rip-up And Reroute
  312. Phase 4.1 Global Iteration 0
  313. Number of Nodes with overlaps = 0
  314. Phase 4.1 Global Iteration 0 | Checksum: 1a2c45faa
  315. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  316. Phase 4 Rip-up And Reroute | Checksum: 1a2c45faa
  317. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  318. Phase 5 Delay and Skew Optimization
  319. Phase 5 Delay and Skew Optimization | Checksum: 1a2c45faa
  320. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  321. Phase 6 Post Hold Fix
  322. Phase 6.1 Hold Fix Iter
  323. Phase 6.1 Hold Fix Iter | Checksum: 1a2c45faa
  324. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  325. Phase 6 Post Hold Fix | Checksum: 1a2c45faa
  326. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  327. Phase 7 Route finalize
  328. Router Utilization Summary
  329. Global Vertical Routing Utilization = 0.0663007 %
  330. Global Horizontal Routing Utilization = 0.0248162 %
  331. Routable Net Status*
  332. *Does not include unroutable nets such as driverless and loadless.
  333. Run report_route_status for detailed report.
  334. Number of Failed Nets = 0
  335. (Failed Nets is the sum of unrouted and partially routed nets)
  336. Number of Unrouted Nets = 0
  337. Number of Partially Routed Nets = 0
  338. Number of Node Overlaps = 0
  339. Congestion Report
  340. North Dir 1x1 Area, Max Cong = 5.40541%, No Congested Regions.
  341. South Dir 1x1 Area, Max Cong = 17.1171%, No Congested Regions.
  342. East Dir 1x1 Area, Max Cong = 8.82353%, No Congested Regions.
  343. West Dir 1x1 Area, Max Cong = 7.35294%, No Congested Regions.
  344. ------------------------------
  345. Reporting congestion hotspots
  346. ------------------------------
  347. Direction: North
  348. ----------------
  349. Congested clusters found at Level 0
  350. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  351. Direction: South
  352. ----------------
  353. Congested clusters found at Level 0
  354. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  355. Direction: East
  356. ----------------
  357. Congested clusters found at Level 0
  358. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  359. Direction: West
  360. ----------------
  361. Congested clusters found at Level 0
  362. Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
  363. Phase 7 Route finalize | Checksum: 1a2c45faa
  364. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1764.324 ; gain = 30.805
  365. Phase 8 Verifying routed nets
  366. Verification completed successfully
  367. Phase 8 Verifying routed nets | Checksum: 1a2c45faa
  368. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910
  369. Phase 9 Depositing Routes
  370. Phase 9 Depositing Routes | Checksum: 156fe757f
  371. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910
  372. INFO: [Route 35-16] Router Completed Successfully
  373. Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 1765.430 ; gain = 31.910
  374. Routing Is Done.
  375. INFO: [Common 17-83] Releasing license: Implementation
  376. 61 Infos, 8 Warnings, 7 Critical Warnings and 0 Errors encountered.
  377. route_design completed successfully
  378. route_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1765.430 ; gain = 43.164
  379. INFO: [Timing 38-480] Writing timing data to binary archive.
  380. Writing placer database...
  381. Writing XDEF routing.
  382. Writing XDEF routing logical nets.
  383. Writing XDEF routing special nets.
  384. Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.049 . Memory (MB): peak = 1775.246 ; gain = 9.816
  385. INFO: [Common 17-1381] The checkpoint 'C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_routed.dcp' has been generated.
  386. INFO: [runtcl-4] Executing : report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx
  387. Command: report_drc -file fixedPointTest_drc_routed.rpt -pb fixedPointTest_drc_routed.pb -rpx fixedPointTest_drc_routed.rpx
  388. INFO: [IP_Flow 19-1839] IP Catalog is up to date.
  389. INFO: [DRC 23-27] Running DRC with 2 threads
  390. INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_drc_routed.rpt.
  391. report_drc completed successfully
  392. INFO: [runtcl-4] Executing : report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx
  393. Command: report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx
  394. INFO: [Timing 38-35] Done setting XDC timing constraints.
  395. INFO: [DRC 23-133] Running Methodology with 2 threads
  396. INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file C:/Users/Felix/OneDrive/Master/Projektarbeit_FPGA/Programme/Git_Projekte/FPGA_Projekt_Regler/StreckenSim_mitRegler/fixedPointTest/fixedPointTest.runs/impl_1/fixedPointTest_methodology_drc_routed.rpt.
  397. report_methodology completed successfully
  398. INFO: [runtcl-4] Executing : report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx
  399. Command: report_power -file fixedPointTest_power_routed.rpt -pb fixedPointTest_power_summary_routed.pb -rpx fixedPointTest_power_routed.rpx
  400. INFO: [Timing 38-35] Done setting XDC timing constraints.
  401. WARNING: [Power 33-232] No user defined clocks were found in the design! Power estimation will be inaccurate until this is corrected.
  402. Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate
  403. Running Vector-less Activity Propagation...
  404. Finished Running Vector-less Activity Propagation
  405. 73 Infos, 9 Warnings, 7 Critical Warnings and 0 Errors encountered.
  406. report_power completed successfully
  407. INFO: [runtcl-4] Executing : report_route_status -file fixedPointTest_route_status.rpt -pb fixedPointTest_route_status.pb
  408. INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation
  409. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
  410. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
  411. WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis.
  412. INFO: [runtcl-4] Executing : report_incremental_reuse -file fixedPointTest_incremental_reuse_routed.rpt
  413. INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
  414. INFO: [runtcl-4] Executing : report_clock_utilization -file fixedPointTest_clock_utilization_routed.rpt
  415. INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file fixedPointTest_bus_skew_routed.rpt -pb fixedPointTest_bus_skew_routed.pb -rpx fixedPointTest_bus_skew_routed.rpx
  416. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
  417. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
  418. INFO: [Common 17-206] Exiting Vivado at Fri May 13 14:42:11 2022...