You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

fixedPointTest_methodology_drc_routed.rpt 1.4KB

12345678910111213141516171819202122232425262728293031323334
  1. Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  2. --------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
  3. | Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021
  4. | Date : Fri May 13 14:42:10 2022
  5. | Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200)
  6. | Command : report_methodology -file fixedPointTest_methodology_drc_routed.rpt -pb fixedPointTest_methodology_drc_routed.pb -rpx fixedPointTest_methodology_drc_routed.rpx
  7. | Design : fixedPointTest
  8. | Device : xc7z010clg400-1
  9. | Speed File : -1
  10. | Design State : Fully Routed
  11. --------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
  12. Report Methodology
  13. Table of Contents
  14. -----------------
  15. 1. REPORT SUMMARY
  16. 2. REPORT DETAILS
  17. 1. REPORT SUMMARY
  18. -----------------
  19. Netlist: netlist
  20. Floorplan: design_1
  21. Design limits: <entire design considered>
  22. Max violations: <unlimited>
  23. Violations found: 0
  24. +------+----------+-------------+------------+
  25. | Rule | Severity | Description | Violations |
  26. +------+----------+-------------+------------+
  27. +------+----------+-------------+------------+
  28. 2. REPORT DETAILS
  29. -----------------