You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

fixedPointTest_timing_summary_routed.rpt 47KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805
  1. Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
  2. ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
  3. | Tool Version : Vivado v.2021.2 (win64) Build 3367213 Tue Oct 19 02:48:09 MDT 2021
  4. | Date : Fri May 13 14:42:10 2022
  5. | Host : DESKTOP-PAACOM8 running 64-bit major release (build 9200)
  6. | Command : report_timing_summary -max_paths 10 -report_unconstrained -file fixedPointTest_timing_summary_routed.rpt -pb fixedPointTest_timing_summary_routed.pb -rpx fixedPointTest_timing_summary_routed.rpx -warn_on_violation
  7. | Design : fixedPointTest
  8. | Device : 7z010-clg400
  9. | Speed File : -1 PRODUCTION 1.12 2019-11-22
  10. ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
  11. Timing Summary Report
  12. ------------------------------------------------------------------------------------------------
  13. | Timer Settings
  14. | --------------
  15. ------------------------------------------------------------------------------------------------
  16. Enable Multi Corner Analysis : Yes
  17. Enable Pessimism Removal : Yes
  18. Pessimism Removal Resolution : Nearest Common Node
  19. Enable Input Delay Default Clock : No
  20. Enable Preset / Clear Arcs : No
  21. Disable Flight Delays : No
  22. Ignore I/O Paths : No
  23. Timing Early Launch at Borrowing Latches : No
  24. Borrow Time for Max Delay Exceptions : Yes
  25. Merge Timing Exceptions : Yes
  26. Corner Analyze Analyze
  27. Name Max Paths Min Paths
  28. ------ --------- ---------
  29. Slow Yes Yes
  30. Fast Yes Yes
  31. ------------------------------------------------------------------------------------------------
  32. | Report Methodology
  33. | ------------------
  34. ------------------------------------------------------------------------------------------------
  35. Rule Severity Description Violations
  36. ---- -------- ----------- ----------
  37. Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report.
  38. check_timing report
  39. Table of Contents
  40. -----------------
  41. 1. checking no_clock (0)
  42. 2. checking constant_clock (0)
  43. 3. checking pulse_width_clock (0)
  44. 4. checking unconstrained_internal_endpoints (0)
  45. 5. checking no_input_delay (0)
  46. 6. checking no_output_delay (0)
  47. 7. checking multiple_clock (0)
  48. 8. checking generated_clocks (0)
  49. 9. checking loops (0)
  50. 10. checking partial_input_delay (0)
  51. 11. checking partial_output_delay (0)
  52. 12. checking latch_loops (0)
  53. 1. checking no_clock (0)
  54. ------------------------
  55. There are 0 register/latch pins with no clock.
  56. 2. checking constant_clock (0)
  57. ------------------------------
  58. There are 0 register/latch pins with constant_clock.
  59. 3. checking pulse_width_clock (0)
  60. ---------------------------------
  61. There are 0 register/latch pins which need pulse_width check
  62. 4. checking unconstrained_internal_endpoints (0)
  63. ------------------------------------------------
  64. There are 0 pins that are not constrained for maximum delay.
  65. There are 0 pins that are not constrained for maximum delay due to constant clock.
  66. 5. checking no_input_delay (0)
  67. ------------------------------
  68. There are 0 input ports with no input delay specified.
  69. There are 0 input ports with no input delay but user has a false path constraint.
  70. 6. checking no_output_delay (0)
  71. -------------------------------
  72. There are 0 ports with no output delay specified.
  73. There are 0 ports with no output delay but user has a false path constraint
  74. There are 0 ports with no output delay but with a timing clock defined on it or propagating through it
  75. 7. checking multiple_clock (0)
  76. ------------------------------
  77. There are 0 register/latch pins with multiple clocks.
  78. 8. checking generated_clocks (0)
  79. --------------------------------
  80. There are 0 generated clocks that are not connected to a clock source.
  81. 9. checking loops (0)
  82. ---------------------
  83. There are 0 combinational loops in the design.
  84. 10. checking partial_input_delay (0)
  85. ------------------------------------
  86. There are 0 input ports with partial input delay specified.
  87. 11. checking partial_output_delay (0)
  88. -------------------------------------
  89. There are 0 ports with partial output delay specified.
  90. 12. checking latch_loops (0)
  91. ----------------------------
  92. There are 0 combinational latch loops in the design through latch input
  93. ------------------------------------------------------------------------------------------------
  94. | Design Timing Summary
  95. | ---------------------
  96. ------------------------------------------------------------------------------------------------
  97. WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints
  98. ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- --------------------
  99. inf 0.000 0 15 inf 0.000 0 15 NA NA NA NA
  100. There are no user specified timing constraints.
  101. ------------------------------------------------------------------------------------------------
  102. | Clock Summary
  103. | -------------
  104. ------------------------------------------------------------------------------------------------
  105. ------------------------------------------------------------------------------------------------
  106. | Intra Clock Table
  107. | -----------------
  108. ------------------------------------------------------------------------------------------------
  109. Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints
  110. ----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- --------------------
  111. ------------------------------------------------------------------------------------------------
  112. | Inter Clock Table
  113. | -----------------
  114. ------------------------------------------------------------------------------------------------
  115. From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints
  116. ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- -------------------
  117. ------------------------------------------------------------------------------------------------
  118. | Other Path Groups Table
  119. | -----------------------
  120. ------------------------------------------------------------------------------------------------
  121. Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints
  122. ---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- -------------------
  123. ------------------------------------------------------------------------------------------------
  124. | User Ignored Path Table
  125. | -----------------------
  126. ------------------------------------------------------------------------------------------------
  127. Path Group From Clock To Clock
  128. ---------- ---------- --------
  129. ------------------------------------------------------------------------------------------------
  130. | Unconstrained Path Table
  131. | ------------------------
  132. ------------------------------------------------------------------------------------------------
  133. Path Group From Clock To Clock
  134. ---------- ---------- --------
  135. (none)
  136. ------------------------------------------------------------------------------------------------
  137. | Timing Details
  138. | --------------
  139. ------------------------------------------------------------------------------------------------
  140. --------------------------------------------------------------------------------------
  141. Path Group: (none)
  142. From Clock:
  143. To Clock:
  144. Max Delay 15 Endpoints
  145. Min Delay 15 Endpoints
  146. --------------------------------------------------------------------------------------
  147. Max Delay Paths
  148. --------------------------------------------------------------------------------------
  149. Slack: inf
  150. Source: a[-3]
  151. (input port)
  152. Destination: c[7]
  153. (output port)
  154. Path Group: (none)
  155. Path Type: Max at Slow Process Corner
  156. Data Path Delay: 9.462ns (logic 4.925ns (52.050%) route 4.537ns (47.950%))
  157. Logic Levels: 7 (CARRY4=4 IBUF=1 LUT2=1 OBUF=1)
  158. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  159. ------------------------------------------------------------------- -------------------
  160. P18 0.000 0.000 r a[-3] (IN)
  161. net (fo=0) 0.000 0.000 a[-3]
  162. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  163. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  164. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  165. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  166. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  167. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  168. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  169. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3])
  170. 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3]
  171. net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0
  172. SLICE_X43Y20 CARRY4 (Prop_carry4_CI_CO[3])
  173. 0.114 3.825 r c_OBUF[5]_inst_i_1/CO[3]
  174. net (fo=1, routed) 0.000 3.825 c_OBUF[5]_inst_i_1_n_0
  175. SLICE_X43Y21 CARRY4 (Prop_carry4_CI_O[1])
  176. 0.334 4.159 r c_OBUF[8]_inst_i_1/O[1]
  177. net (fo=1, routed) 2.437 6.596 c_OBUF[7]
  178. W13 OBUF (Prop_obuf_I_O) 2.866 9.462 r c_OBUF[7]_inst/O
  179. net (fo=0) 0.000 9.462 c[7]
  180. W13 r c[7] (OUT)
  181. ------------------------------------------------------------------- -------------------
  182. Slack: inf
  183. Source: a[-3]
  184. (input port)
  185. Destination: c[8]
  186. (output port)
  187. Path Group: (none)
  188. Path Type: Max at Slow Process Corner
  189. Data Path Delay: 9.266ns (logic 4.823ns (52.047%) route 4.443ns (47.953%))
  190. Logic Levels: 7 (CARRY4=4 IBUF=1 LUT2=1 OBUF=1)
  191. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  192. ------------------------------------------------------------------- -------------------
  193. P18 0.000 0.000 r a[-3] (IN)
  194. net (fo=0) 0.000 0.000 a[-3]
  195. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  196. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  197. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  198. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  199. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  200. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  201. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  202. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3])
  203. 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3]
  204. net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0
  205. SLICE_X43Y20 CARRY4 (Prop_carry4_CI_CO[3])
  206. 0.114 3.825 r c_OBUF[5]_inst_i_1/CO[3]
  207. net (fo=1, routed) 0.000 3.825 c_OBUF[5]_inst_i_1_n_0
  208. SLICE_X43Y21 CARRY4 (Prop_carry4_CI_O[2])
  209. 0.239 4.064 r c_OBUF[8]_inst_i_1/O[2]
  210. net (fo=1, routed) 2.343 6.407 c_OBUF[8]
  211. V12 OBUF (Prop_obuf_I_O) 2.858 9.266 r c_OBUF[8]_inst/O
  212. net (fo=0) 0.000 9.266 c[8]
  213. V12 r c[8] (OUT)
  214. ------------------------------------------------------------------- -------------------
  215. Slack: inf
  216. Source: a[-3]
  217. (input port)
  218. Destination: c[6]
  219. (output port)
  220. Path Group: (none)
  221. Path Type: Max at Slow Process Corner
  222. Data Path Delay: 9.175ns (logic 4.778ns (52.082%) route 4.396ns (47.918%))
  223. Logic Levels: 7 (CARRY4=4 IBUF=1 LUT2=1 OBUF=1)
  224. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  225. ------------------------------------------------------------------- -------------------
  226. P18 0.000 0.000 r a[-3] (IN)
  227. net (fo=0) 0.000 0.000 a[-3]
  228. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  229. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  230. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  231. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  232. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  233. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  234. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  235. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3])
  236. 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3]
  237. net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0
  238. SLICE_X43Y20 CARRY4 (Prop_carry4_CI_CO[3])
  239. 0.114 3.825 r c_OBUF[5]_inst_i_1/CO[3]
  240. net (fo=1, routed) 0.000 3.825 c_OBUF[5]_inst_i_1_n_0
  241. SLICE_X43Y21 CARRY4 (Prop_carry4_CI_O[0])
  242. 0.222 4.047 r c_OBUF[8]_inst_i_1/O[0]
  243. net (fo=1, routed) 2.296 6.343 c_OBUF[6]
  244. T14 OBUF (Prop_obuf_I_O) 2.831 9.175 r c_OBUF[6]_inst/O
  245. net (fo=0) 0.000 9.175 c[6]
  246. T14 r c[6] (OUT)
  247. ------------------------------------------------------------------- -------------------
  248. Slack: inf
  249. Source: a[-3]
  250. (input port)
  251. Destination: c[3]
  252. (output port)
  253. Path Group: (none)
  254. Path Type: Max at Slow Process Corner
  255. Data Path Delay: 9.173ns (logic 4.784ns (52.155%) route 4.389ns (47.845%))
  256. Logic Levels: 6 (CARRY4=3 IBUF=1 LUT2=1 OBUF=1)
  257. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  258. ------------------------------------------------------------------- -------------------
  259. P18 0.000 0.000 r a[-3] (IN)
  260. net (fo=0) 0.000 0.000 a[-3]
  261. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  262. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  263. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  264. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  265. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  266. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  267. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  268. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3])
  269. 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3]
  270. net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0
  271. SLICE_X43Y20 CARRY4 (Prop_carry4_CI_O[1])
  272. 0.334 4.045 r c_OBUF[5]_inst_i_1/O[1]
  273. net (fo=1, routed) 2.289 6.334 c_OBUF[3]
  274. R14 OBUF (Prop_obuf_I_O) 2.839 9.173 r c_OBUF[3]_inst/O
  275. net (fo=0) 0.000 9.173 c[3]
  276. R14 r c[3] (OUT)
  277. ------------------------------------------------------------------- -------------------
  278. Slack: inf
  279. Source: a[-3]
  280. (input port)
  281. Destination: c[5]
  282. (output port)
  283. Path Group: (none)
  284. Path Type: Max at Slow Process Corner
  285. Data Path Delay: 9.153ns (logic 4.765ns (52.061%) route 4.388ns (47.939%))
  286. Logic Levels: 6 (CARRY4=3 IBUF=1 LUT2=1 OBUF=1)
  287. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  288. ------------------------------------------------------------------- -------------------
  289. P18 0.000 0.000 r a[-3] (IN)
  290. net (fo=0) 0.000 0.000 a[-3]
  291. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  292. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  293. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  294. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  295. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  296. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  297. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  298. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3])
  299. 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3]
  300. net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0
  301. SLICE_X43Y20 CARRY4 (Prop_carry4_CI_O[3])
  302. 0.313 4.024 r c_OBUF[5]_inst_i_1/O[3]
  303. net (fo=1, routed) 2.288 6.312 c_OBUF[5]
  304. T15 OBUF (Prop_obuf_I_O) 2.841 9.153 r c_OBUF[5]_inst/O
  305. net (fo=0) 0.000 9.153 c[5]
  306. T15 r c[5] (OUT)
  307. ------------------------------------------------------------------- -------------------
  308. Slack: inf
  309. Source: a[-3]
  310. (input port)
  311. Destination: c[1]
  312. (output port)
  313. Path Group: (none)
  314. Path Type: Max at Slow Process Corner
  315. Data Path Delay: 9.090ns (logic 4.700ns (51.703%) route 4.390ns (48.297%))
  316. Logic Levels: 5 (CARRY4=2 IBUF=1 LUT2=1 OBUF=1)
  317. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  318. ------------------------------------------------------------------- -------------------
  319. P18 0.000 0.000 r a[-3] (IN)
  320. net (fo=0) 0.000 0.000 a[-3]
  321. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  322. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  323. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  324. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  325. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  326. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  327. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  328. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_O[3])
  329. 0.313 3.910 r c_OBUF[1]_inst_i_1/O[3]
  330. net (fo=1, routed) 2.290 6.201 c_OBUF[1]
  331. Y17 OBUF (Prop_obuf_I_O) 2.890 9.090 r c_OBUF[1]_inst/O
  332. net (fo=0) 0.000 9.090 c[1]
  333. Y17 r c[1] (OUT)
  334. ------------------------------------------------------------------- -------------------
  335. Slack: inf
  336. Source: a[-3]
  337. (input port)
  338. Destination: c[-1]
  339. (output port)
  340. Path Group: (none)
  341. Path Type: Max at Slow Process Corner
  342. Data Path Delay: 9.079ns (logic 4.743ns (52.242%) route 4.336ns (47.758%))
  343. Logic Levels: 5 (CARRY4=2 IBUF=1 LUT2=1 OBUF=1)
  344. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  345. ------------------------------------------------------------------- -------------------
  346. P18 0.000 0.000 r a[-3] (IN)
  347. net (fo=0) 0.000 0.000 a[-3]
  348. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  349. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  350. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  351. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  352. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  353. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  354. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  355. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_O[1])
  356. 0.334 3.931 r c_OBUF[1]_inst_i_1/O[1]
  357. net (fo=1, routed) 2.236 6.167 c_OBUF[-1]
  358. Y14 OBUF (Prop_obuf_I_O) 2.912 9.079 r c_OBUF[-1]_inst/O
  359. net (fo=0) 0.000 9.079 c[-1]
  360. Y14 r c[-1] (OUT)
  361. ------------------------------------------------------------------- -------------------
  362. Slack: inf
  363. Source: a[-3]
  364. (input port)
  365. Destination: c[4]
  366. (output port)
  367. Path Group: (none)
  368. Path Type: Max at Slow Process Corner
  369. Data Path Delay: 9.079ns (logic 4.693ns (51.693%) route 4.386ns (48.307%))
  370. Logic Levels: 6 (CARRY4=3 IBUF=1 LUT2=1 OBUF=1)
  371. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  372. ------------------------------------------------------------------- -------------------
  373. P18 0.000 0.000 r a[-3] (IN)
  374. net (fo=0) 0.000 0.000 a[-3]
  375. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  376. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  377. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  378. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  379. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  380. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  381. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  382. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3])
  383. 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3]
  384. net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0
  385. SLICE_X43Y20 CARRY4 (Prop_carry4_CI_O[2])
  386. 0.239 3.950 r c_OBUF[5]_inst_i_1/O[2]
  387. net (fo=1, routed) 2.286 6.236 c_OBUF[4]
  388. P14 OBUF (Prop_obuf_I_O) 2.843 9.079 r c_OBUF[4]_inst/O
  389. net (fo=0) 0.000 9.079 c[4]
  390. P14 r c[4] (OUT)
  391. ------------------------------------------------------------------- -------------------
  392. Slack: inf
  393. Source: a[-3]
  394. (input port)
  395. Destination: c[2]
  396. (output port)
  397. Path Group: (none)
  398. Path Type: Max at Slow Process Corner
  399. Data Path Delay: 8.971ns (logic 4.723ns (52.647%) route 4.248ns (47.353%))
  400. Logic Levels: 6 (CARRY4=3 IBUF=1 LUT2=1 OBUF=1)
  401. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  402. ------------------------------------------------------------------- -------------------
  403. P18 0.000 0.000 r a[-3] (IN)
  404. net (fo=0) 0.000 0.000 a[-3]
  405. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  406. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  407. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  408. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  409. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  410. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  411. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  412. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_CO[3])
  413. 0.114 3.711 r c_OBUF[1]_inst_i_1/CO[3]
  414. net (fo=1, routed) 0.000 3.711 c_OBUF[1]_inst_i_1_n_0
  415. SLICE_X43Y20 CARRY4 (Prop_carry4_CI_O[0])
  416. 0.222 3.933 r c_OBUF[5]_inst_i_1/O[0]
  417. net (fo=1, routed) 2.148 6.081 c_OBUF[2]
  418. Y16 OBUF (Prop_obuf_I_O) 2.890 8.971 r c_OBUF[2]_inst/O
  419. net (fo=0) 0.000 8.971 c[2]
  420. Y16 r c[2] (OUT)
  421. ------------------------------------------------------------------- -------------------
  422. Slack: inf
  423. Source: a[-3]
  424. (input port)
  425. Destination: c[0]
  426. (output port)
  427. Path Group: (none)
  428. Path Type: Max at Slow Process Corner
  429. Data Path Delay: 8.884ns (logic 4.645ns (52.282%) route 4.239ns (47.718%))
  430. Logic Levels: 5 (CARRY4=2 IBUF=1 LUT2=1 OBUF=1)
  431. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  432. ------------------------------------------------------------------- -------------------
  433. P18 0.000 0.000 r a[-3] (IN)
  434. net (fo=0) 0.000 0.000 a[-3]
  435. P18 IBUF (Prop_ibuf_I_O) 0.972 0.972 r a_IBUF[-3]_inst/O
  436. net (fo=2, routed) 2.100 3.072 a_IBUF[-3]
  437. SLICE_X43Y18 LUT2 (Prop_lut2_I0_O) 0.124 3.196 r c_OBUF[-3]_inst_i_2/O
  438. net (fo=1, routed) 0.000 3.196 c_OBUF[-3]_inst_i_2_n_0
  439. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_CO[3])
  440. 0.401 3.597 r c_OBUF[-3]_inst_i_1/CO[3]
  441. net (fo=1, routed) 0.000 3.597 c_OBUF[-3]_inst_i_1_n_0
  442. SLICE_X43Y19 CARRY4 (Prop_carry4_CI_O[2])
  443. 0.239 3.836 r c_OBUF[1]_inst_i_1/O[2]
  444. net (fo=1, routed) 2.139 5.975 c_OBUF[0]
  445. W14 OBUF (Prop_obuf_I_O) 2.909 8.884 r c_OBUF[0]_inst/O
  446. net (fo=0) 0.000 8.884 c[0]
  447. W14 r c[0] (OUT)
  448. ------------------------------------------------------------------- -------------------
  449. Min Delay Paths
  450. --------------------------------------------------------------------------------------
  451. Slack: inf
  452. Source: b[-3]
  453. (input port)
  454. Destination: c[-3]
  455. (output port)
  456. Path Group: (none)
  457. Path Type: Min at Fast Process Corner
  458. Data Path Delay: 2.431ns (logic 1.568ns (64.485%) route 0.863ns (35.515%))
  459. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  460. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  461. ------------------------------------------------------------------- -------------------
  462. W20 0.000 0.000 r b[-3] (IN)
  463. net (fo=0) 0.000 0.000 b[-3]
  464. W20 IBUF (Prop_ibuf_I_O) 0.206 0.206 r b_IBUF[-3]_inst/O
  465. net (fo=1, routed) 0.329 0.534 b_IBUF[-3]
  466. SLICE_X43Y18 LUT2 (Prop_lut2_I1_O) 0.045 0.579 r c_OBUF[-3]_inst_i_2/O
  467. net (fo=1, routed) 0.000 0.579 c_OBUF[-3]_inst_i_2_n_0
  468. SLICE_X43Y18 CARRY4 (Prop_carry4_S[3]_O[3])
  469. 0.063 0.642 r c_OBUF[-3]_inst_i_1/O[3]
  470. net (fo=1, routed) 0.535 1.177 c_OBUF[-3]
  471. U17 OBUF (Prop_obuf_I_O) 1.254 2.431 r c_OBUF[-3]_inst/O
  472. net (fo=0) 0.000 2.431 c[-3]
  473. U17 r c[-3] (OUT)
  474. ------------------------------------------------------------------- -------------------
  475. Slack: inf
  476. Source: b[-5]
  477. (input port)
  478. Destination: c[-5]
  479. (output port)
  480. Path Group: (none)
  481. Path Type: Min at Fast Process Corner
  482. Data Path Delay: 2.505ns (logic 1.604ns (64.018%) route 0.901ns (35.982%))
  483. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  484. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  485. ------------------------------------------------------------------- -------------------
  486. Y19 0.000 0.000 r b[-5] (IN)
  487. net (fo=0) 0.000 0.000 b[-5]
  488. Y19 IBUF (Prop_ibuf_I_O) 0.205 0.205 r b_IBUF[-5]_inst/O
  489. net (fo=1, routed) 0.375 0.580 b_IBUF[-5]
  490. SLICE_X43Y18 LUT2 (Prop_lut2_I1_O) 0.045 0.625 r c_OBUF[-3]_inst_i_4/O
  491. net (fo=1, routed) 0.000 0.625 c_OBUF[-3]_inst_i_4_n_0
  492. SLICE_X43Y18 CARRY4 (Prop_carry4_S[1]_O[1])
  493. 0.065 0.690 r c_OBUF[-3]_inst_i_1/O[1]
  494. net (fo=1, routed) 0.527 1.217 c_OBUF[-5]
  495. W15 OBUF (Prop_obuf_I_O) 1.288 2.505 r c_OBUF[-5]_inst/O
  496. net (fo=0) 0.000 2.505 c[-5]
  497. W15 r c[-5] (OUT)
  498. ------------------------------------------------------------------- -------------------
  499. Slack: inf
  500. Source: b[-6]
  501. (input port)
  502. Destination: c[-6]
  503. (output port)
  504. Path Group: (none)
  505. Path Type: Min at Fast Process Corner
  506. Data Path Delay: 2.520ns (logic 1.587ns (62.965%) route 0.933ns (37.035%))
  507. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  508. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  509. ------------------------------------------------------------------- -------------------
  510. V16 0.000 0.000 r b[-6] (IN)
  511. net (fo=0) 0.000 0.000 b[-6]
  512. V16 IBUF (Prop_ibuf_I_O) 0.185 0.185 r b_IBUF[-6]_inst/O
  513. net (fo=1, routed) 0.461 0.646 b_IBUF[-6]
  514. SLICE_X43Y18 LUT2 (Prop_lut2_I1_O) 0.045 0.691 r c_OBUF[-3]_inst_i_5/O
  515. net (fo=1, routed) 0.000 0.691 c_OBUF[-3]_inst_i_5_n_0
  516. SLICE_X43Y18 CARRY4 (Prop_carry4_S[0]_O[0])
  517. 0.070 0.761 r c_OBUF[-3]_inst_i_1/O[0]
  518. net (fo=1, routed) 0.473 1.233 c_OBUF[-6]
  519. U14 OBUF (Prop_obuf_I_O) 1.287 2.520 r c_OBUF[-6]_inst/O
  520. net (fo=0) 0.000 2.520 c[-6]
  521. U14 r c[-6] (OUT)
  522. ------------------------------------------------------------------- -------------------
  523. Slack: inf
  524. Source: b[-2]
  525. (input port)
  526. Destination: c[-2]
  527. (output port)
  528. Path Group: (none)
  529. Path Type: Min at Fast Process Corner
  530. Data Path Delay: 2.529ns (logic 1.573ns (62.198%) route 0.956ns (37.802%))
  531. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  532. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  533. ------------------------------------------------------------------- -------------------
  534. V20 0.000 0.000 r b[-2] (IN)
  535. net (fo=0) 0.000 0.000 b[-2]
  536. V20 IBUF (Prop_ibuf_I_O) 0.204 0.204 r b_IBUF[-2]_inst/O
  537. net (fo=1, routed) 0.419 0.622 b_IBUF[-2]
  538. SLICE_X43Y19 LUT2 (Prop_lut2_I1_O) 0.045 0.667 r c_OBUF[1]_inst_i_5/O
  539. net (fo=1, routed) 0.000 0.667 c_OBUF[1]_inst_i_5_n_0
  540. SLICE_X43Y19 CARRY4 (Prop_carry4_S[0]_O[0])
  541. 0.070 0.737 r c_OBUF[1]_inst_i_1/O[0]
  542. net (fo=1, routed) 0.537 1.275 c_OBUF[-2]
  543. T16 OBUF (Prop_obuf_I_O) 1.254 2.529 r c_OBUF[-2]_inst/O
  544. net (fo=0) 0.000 2.529 c[-2]
  545. T16 r c[-2] (OUT)
  546. ------------------------------------------------------------------- -------------------
  547. Slack: inf
  548. Source: b[-1]
  549. (input port)
  550. Destination: c[-1]
  551. (output port)
  552. Path Group: (none)
  553. Path Type: Min at Fast Process Corner
  554. Data Path Delay: 2.576ns (logic 1.613ns (62.626%) route 0.963ns (37.374%))
  555. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  556. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  557. ------------------------------------------------------------------- -------------------
  558. U20 0.000 0.000 r b[-1] (IN)
  559. net (fo=0) 0.000 0.000 b[-1]
  560. U20 IBUF (Prop_ibuf_I_O) 0.193 0.193 r b_IBUF[-1]_inst/O
  561. net (fo=1, routed) 0.375 0.567 b_IBUF[-1]
  562. SLICE_X43Y19 LUT2 (Prop_lut2_I1_O) 0.045 0.612 r c_OBUF[1]_inst_i_4/O
  563. net (fo=1, routed) 0.000 0.612 c_OBUF[1]_inst_i_4_n_0
  564. SLICE_X43Y19 CARRY4 (Prop_carry4_S[1]_O[1])
  565. 0.065 0.677 r c_OBUF[1]_inst_i_1/O[1]
  566. net (fo=1, routed) 0.588 1.265 c_OBUF[-1]
  567. Y14 OBUF (Prop_obuf_I_O) 1.311 2.576 r c_OBUF[-1]_inst/O
  568. net (fo=0) 0.000 2.576 c[-1]
  569. Y14 r c[-1] (OUT)
  570. ------------------------------------------------------------------- -------------------
  571. Slack: inf
  572. Source: b[0]
  573. (input port)
  574. Destination: c[0]
  575. (output port)
  576. Path Group: (none)
  577. Path Type: Min at Fast Process Corner
  578. Data Path Delay: 2.593ns (logic 1.617ns (62.342%) route 0.977ns (37.658%))
  579. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  580. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  581. ------------------------------------------------------------------- -------------------
  582. T20 0.000 0.000 r b[0] (IN)
  583. net (fo=0) 0.000 0.000 b[0]
  584. T20 IBUF (Prop_ibuf_I_O) 0.196 0.196 r b_IBUF[0]_inst/O
  585. net (fo=1, routed) 0.420 0.616 b_IBUF[0]
  586. SLICE_X43Y19 LUT2 (Prop_lut2_I1_O) 0.045 0.661 r c_OBUF[1]_inst_i_3/O
  587. net (fo=1, routed) 0.000 0.661 c_OBUF[1]_inst_i_3_n_0
  588. SLICE_X43Y19 CARRY4 (Prop_carry4_S[2]_O[2])
  589. 0.066 0.727 r c_OBUF[1]_inst_i_1/O[2]
  590. net (fo=1, routed) 0.557 1.284 c_OBUF[0]
  591. W14 OBUF (Prop_obuf_I_O) 1.309 2.593 r c_OBUF[0]_inst/O
  592. net (fo=0) 0.000 2.593 c[0]
  593. W14 r c[0] (OUT)
  594. ------------------------------------------------------------------- -------------------
  595. Slack: inf
  596. Source: a[5]
  597. (input port)
  598. Destination: c[5]
  599. (output port)
  600. Path Group: (none)
  601. Path Type: Min at Fast Process Corner
  602. Data Path Delay: 2.595ns (logic 1.510ns (58.186%) route 1.085ns (41.814%))
  603. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  604. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  605. ------------------------------------------------------------------- -------------------
  606. R17 0.000 0.000 r a[5] (IN)
  607. net (fo=0) 0.000 0.000 a[5]
  608. R17 IBUF (Prop_ibuf_I_O) 0.161 0.161 r a_IBUF[5]_inst/O
  609. net (fo=2, routed) 0.467 0.628 a_IBUF[5]
  610. SLICE_X43Y20 LUT2 (Prop_lut2_I0_O) 0.045 0.673 r c_OBUF[5]_inst_i_2/O
  611. net (fo=1, routed) 0.000 0.673 c_OBUF[5]_inst_i_2_n_0
  612. SLICE_X43Y20 CARRY4 (Prop_carry4_S[3]_O[3])
  613. 0.063 0.736 r c_OBUF[5]_inst_i_1/O[3]
  614. net (fo=1, routed) 0.618 1.354 c_OBUF[5]
  615. T15 OBUF (Prop_obuf_I_O) 1.241 2.595 r c_OBUF[5]_inst/O
  616. net (fo=0) 0.000 2.595 c[5]
  617. T15 r c[5] (OUT)
  618. ------------------------------------------------------------------- -------------------
  619. Slack: inf
  620. Source: b[-5]
  621. (input port)
  622. Destination: c[-4]
  623. (output port)
  624. Path Group: (none)
  625. Path Type: Min at Fast Process Corner
  626. Data Path Delay: 2.603ns (logic 1.698ns (65.228%) route 0.905ns (34.772%))
  627. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  628. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  629. ------------------------------------------------------------------- -------------------
  630. Y19 0.000 0.000 r b[-5] (IN)
  631. net (fo=0) 0.000 0.000 b[-5]
  632. Y19 IBUF (Prop_ibuf_I_O) 0.205 0.205 r b_IBUF[-5]_inst/O
  633. net (fo=1, routed) 0.375 0.580 b_IBUF[-5]
  634. SLICE_X43Y18 LUT2 (Prop_lut2_I1_O) 0.045 0.625 r c_OBUF[-3]_inst_i_4/O
  635. net (fo=1, routed) 0.000 0.625 c_OBUF[-3]_inst_i_4_n_0
  636. SLICE_X43Y18 CARRY4 (Prop_carry4_S[1]_O[2])
  637. 0.152 0.777 r c_OBUF[-3]_inst_i_1/O[2]
  638. net (fo=1, routed) 0.531 1.307 c_OBUF[-4]
  639. V15 OBUF (Prop_obuf_I_O) 1.296 2.603 r c_OBUF[-4]_inst/O
  640. net (fo=0) 0.000 2.603 c[-4]
  641. V15 r c[-4] (OUT)
  642. ------------------------------------------------------------------- -------------------
  643. Slack: inf
  644. Source: b[2]
  645. (input port)
  646. Destination: c[2]
  647. (output port)
  648. Path Group: (none)
  649. Path Type: Min at Fast Process Corner
  650. Data Path Delay: 2.608ns (logic 1.615ns (61.934%) route 0.993ns (38.066%))
  651. Logic Levels: 4 (CARRY4=1 IBUF=1 LUT2=1 OBUF=1)
  652. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  653. ------------------------------------------------------------------- -------------------
  654. N20 0.000 0.000 r b[2] (IN)
  655. net (fo=0) 0.000 0.000 b[2]
  656. N20 IBUF (Prop_ibuf_I_O) 0.208 0.208 r b_IBUF[2]_inst/O
  657. net (fo=1, routed) 0.435 0.643 b_IBUF[2]
  658. SLICE_X43Y20 LUT2 (Prop_lut2_I1_O) 0.045 0.688 r c_OBUF[5]_inst_i_5/O
  659. net (fo=1, routed) 0.000 0.688 c_OBUF[5]_inst_i_5_n_0
  660. SLICE_X43Y20 CARRY4 (Prop_carry4_S[0]_O[0])
  661. 0.070 0.758 r c_OBUF[5]_inst_i_1/O[0]
  662. net (fo=1, routed) 0.558 1.316 c_OBUF[2]
  663. Y16 OBUF (Prop_obuf_I_O) 1.293 2.608 r c_OBUF[2]_inst/O
  664. net (fo=0) 0.000 2.608 c[2]
  665. Y16 r c[2] (OUT)
  666. ------------------------------------------------------------------- -------------------
  667. Slack: inf
  668. Source: a[2]
  669. (input port)
  670. Destination: c[3]
  671. (output port)
  672. Path Group: (none)
  673. Path Type: Min at Fast Process Corner
  674. Data Path Delay: 2.631ns (logic 1.552ns (58.994%) route 1.079ns (41.006%))
  675. Logic Levels: 3 (CARRY4=1 IBUF=1 OBUF=1)
  676. Location Delay type Incr(ns) Path(ns) Netlist Resource(s)
  677. ------------------------------------------------------------------- -------------------
  678. V17 0.000 0.000 r a[2] (IN)
  679. net (fo=0) 0.000 0.000 a[2]
  680. V17 IBUF (Prop_ibuf_I_O) 0.190 0.190 r a_IBUF[2]_inst/O
  681. net (fo=2, routed) 0.467 0.657 a_IBUF[2]
  682. SLICE_X43Y20 CARRY4 (Prop_carry4_DI[0]_O[1])
  683. 0.124 0.781 r c_OBUF[5]_inst_i_1/O[1]
  684. net (fo=1, routed) 0.612 1.393 c_OBUF[3]
  685. R14 OBUF (Prop_obuf_I_O) 1.239 2.631 r c_OBUF[3]_inst/O
  686. net (fo=0) 0.000 2.631 c[3]
  687. R14 r c[3] (OUT)
  688. ------------------------------------------------------------------- -------------------